CN104040699B - 使用三氟化氯的装置中的三氟化氯供给路的内面处理方法 - Google Patents

使用三氟化氯的装置中的三氟化氯供给路的内面处理方法 Download PDF

Info

Publication number
CN104040699B
CN104040699B CN201280066775.8A CN201280066775A CN104040699B CN 104040699 B CN104040699 B CN 104040699B CN 201280066775 A CN201280066775 A CN 201280066775A CN 104040699 B CN104040699 B CN 104040699B
Authority
CN
China
Prior art keywords
gas
chlorine trifluoride
inner face
supply road
chamber housing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201280066775.8A
Other languages
English (en)
Other versions
CN104040699A (zh
Inventor
吉野裕
小池国彦
佐枝学
真锅俊树
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Iwatani Corp
Original Assignee
Iwatani Sangyo KK
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Iwatani Sangyo KK filed Critical Iwatani Sangyo KK
Publication of CN104040699A publication Critical patent/CN104040699A/zh
Application granted granted Critical
Publication of CN104040699B publication Critical patent/CN104040699B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45561Gas plumbing upstream of the reaction chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

本发明提供一种能够确实地抑制在处理作业时反应室中的ClF3浓度的降低的三氟化氯供给路的内面处理方法。使作为蚀刻气体使用三氟化氯的处理装置的处理腔室(1)与气体供给路(2)和气体排出路(3)连结成一体,使与蚀刻处理操作时供给的三氟化氯气体浓度相同的浓度或者比该浓度高的浓度的三氟化氯气体作用于该形成一体的处理腔室(1)、气体供给路(2)和气体排出路(3)中的至少处理腔室(1)和气体供给路(2)的内面,至少对处理腔室(1)和气体供给路(2)的内面通过氟化膜形成覆膜。

Description

使用三氟化氯的装置中的三氟化氯供给路的内面处理方法
技术领域
本发明涉及一种针对通过暴露于三氟化氯(ClF3)而形成由氟化物构成的覆膜的处理装置以及连接于该处理装置的配管系统的内面部分进行处理的方法。
背景技术
在半导体、太阳能电池、感光鼓等的制造中所使用的CVD装置、PVD装置、外延生长装置等在膜形成操作系统的运转时,作为蚀刻气体使用了ClF3
该ClF3易吸附于金属,另外在金属表面容易发生氟化反应。因此,在CVD装置、PVD装置、外延生长装置等的膜形成操作系统中,ClF3吸附于构成装置的反应室等的装置、供给路的金属上,从而会使反应室内的ClF3浓度降低。
因此,本申请人在先提出了使用在金属材料表面形成由氟化物构成的覆膜的金属材料来构成气体供给路,对处理时使用的ClF3浓度的降低进行抑制的技术(专利文献1)。
现有技术文献
专利文献
专利文献1:日本特开2009-197274号公报。
发明内容
发明要解决的课题
上述先前提出的方案是以预先附有氟化膜的材料来构成配管路,因此,在配管彼此之间、或者配管与机器之间的熔接连接部、接头引起的连接部不被氟化膜所覆盖,无法防止该不被氟化膜所覆盖的部分吸附有ClF3,且无法防止反应室内ClF3浓度的降低。
本发明就是着眼于上述观点而完成的,其目的在于提供一种能够确实地抑制在处理作业时反应室中ClF3浓度的降低的三氟化氯供给路的内面处理方法。
解决课题的方法
为了实现上述目的,本发明的技术方案1的发明的特征在于,使作为蚀刻气体使用三氟化氯的处理装置的处理腔室与气体供给路和气体排出路连结成一体,在通过三氟化氯气体进行蚀刻处理之前,使在蚀刻处理操作时供给的三氟化氯气体的浓度或者比该浓度高的浓度的三氟化氯气体作用于该形成一体的处理腔室、气体供给路和气体排出路中的至少处理腔室和气体供给路的内面,至少对处理腔室和气体供给路的内面通过氟化膜形成覆膜。
另外,本发明的技术方案2的发明的特征在于,在技术方案1中,对处理腔室与气体供给路的内面通过氟化膜形成覆膜的三氟化氯气体暴露处理,是在室温(20~30℃)实施。
发明的效果
在本发明中,将使用三氟化氯的装置的配管、机器类连结成一体后,在通过三氟化氯气体进行蚀刻处理之前,使处理操作时的三氟化氯气体的浓度或比该浓度高的浓度的三氟化氯气体,作用于构成使用三氟化氯的装置的至少处理腔室和气体供给路的内面,在处理腔室和气体供给路的内面通过氟化膜形成覆膜,由此,在未被氟化膜覆盖的配管彼此之间、配管与机器之间的熔接连接部、接头引起的连接部处,未被氟化膜覆盖的部位已不存在,当使用ClF3进行蚀刻等处理作业时供给的ClF3不会吸附于构成配管、腔室的金属原料上,因此,能够确实地抑制ClF3的处理作业时的浓度的降低。
另外,如技术方案2所公开的那样,优选在处理腔室和气体供给路的内面通过氟化膜形成覆膜的暴露处理是在室温(20~30℃)实施。由此,在配管、腔室的内壁面不会发生加热偏差,能够均匀地形成用以抑制浓度降低的充分的氟化膜。若在60℃以上的高温环境中实施该暴露处理,则所谓配管内发生腐蚀的负面效果显著。
附图说明
图1是表示适用本发明的使用三氟化氯的装置的一个实例的概要结构图。
图2是表示处理时间与蚀刻量的关系的图表。
具体实施方式
作为本发明的实施方式,是以使用三氟化氯(ClF3)作为处理气体或清洗气体的半导体薄膜制造装置为例进行说明。该半导体薄膜装置,是由CVD装置、PVD装置、外延生长装置等的处理腔室(1)、对该处理腔室(1)导入处理气体的气体供给路(2)、以及连接于处理腔室(1)且导出前述处理气体的气体排出路(3)所构成。
在气体供给路(2)的气体导入侧的端部,分岔成两股,并通过分别安装在各分岔路的调节器(4)和质量流量控制器(5),使一端连接于三氟化氯(ClF3)的供给源(6),而另一端于连接氩气(Ar)的供给源(7)。
在连接于处理腔室(1)的气体排出路(3)中,从处理腔室(1)侧起依次安装有可调流量阀(8)、真空泵(9)、除害装置(10)。
例如,在半导体、太阳能电池等的制造中规格化的薄膜形成用的CVD装置、PVD装置等的膜形成操作系统中,使用硅烷系气体在基板上形成Si薄膜,并在该Si薄膜的蚀刻处理中使用ClF3气体。
本发明是,在通过ClF3气体进行蚀刻处理前,使与蚀刻处理时的ClF3气体浓度相同的或者比其更高浓度的ClF3气体发生作用,针对处理腔室和气体供给路的内面,通过氟化膜形成覆膜。
如此一来,在通过ClF3气体进行蚀刻处理之前,使与蚀刻处理时的ClF3气体浓度相同的或者比其更高浓度的ClF3气体发生作用,并针对处理腔室和气体供给路的内面暴露ClF3气体,由此预先使处理腔室和气体供给路的内面通过氟化膜形成覆膜,则配管系统和处理腔室的内面全体被氟化膜所覆盖,因此,能够确实地抑制,在使用ClF3气体进行蚀刻等处理作业时供给的ClF3气体被吸附于构成配管、腔室的金属原料上而导致ClF3气体在处理作业时浓度降低。
此外,利用该ClF3气体进行的暴露处理(预处理),优选在室温(20℃~30℃)实施。由此,在配管、腔室的内壁面不会发生加热偏差,因此,能够均匀地形成用以抑制浓度降低的充分的氟化膜。若在60℃以上的高温环境中实施利用该ClF3气体进行的暴露处理,则所谓配管内发生腐蚀的负面效果显著化。
实施例1
为了比较有没有预先实施ClF3气体的暴露处理对蚀刻处理的效果,通过图1中所示的装置,作为构成气体供给路(2)的配管,使用了按以下所示条件预先实施ClF3气体的暴露处理的配管以及未实施暴露处理的配管,针对将处理腔室(1)内已配置的多晶硅膜进行制膜而成的试样,按以下所示条件进行了蚀刻。此外,作为减少ClF3气体浓度的主要部位的、从气动阀(11)至手动阀(12)之间的气体供给路(2),形成为配管直径1/4"、配管长度4m(配管内壁表面积:54636mm2)。
ClF3的预先暴露处理条件如下所示。
ClF3气体浓度:100%;
温度:室温(20℃)。
此外,ClF3的预先暴露是通过将100%ClF3气体封入处理系统内保持72小时来实施。
蚀刻处理的条件如下所述:
ClF3气体浓度:500ppm(氩气平衡);
处理腔室(1)内压强:500Torr(66.7kPa);
温度:室温(20℃)。
将其结果示于表1、表2中。
表1 多晶硅(Poly-Si)的蚀刻量
工业实用性
本发明能够应用于半导体、太阳能电池、感光鼓等的制造中所用的CVD装置、PVD装置、外延生长装置等的半导体薄膜的形成。
附图标记的说明
1处理腔室;2气体供给路;3气体排出路。

Claims (2)

1.一种使用三氟化氯的处理装置中的内面处理方法,其特征在于,其使作为蚀刻气体使用三氟化氯的处理装置的处理腔室(1)与气体供给路(2)和气体排出路(3)连结成一体,在通过三氟化氯气体进行蚀刻处理之前,使比蚀刻处理操作时供给的三氟化氯气体浓度高的浓度的三氟化氯气体作用于该形成一体的处理腔室(1)、气体供给路(2)和气体排出路(3)中的至少处理腔室(1)和气体供给路(2)的内面,至少对处理腔室(1)和气体供给路(2)和这些中含有的配管彼此之间或配管与机器之间的熔接连接部的内面通过氟化膜形成覆膜。
2.如权利要求1所述的使用三氟化氯的处理装置中的内面处理方法,其中,对处理腔室(1)和气体供给路(2)的内面通过氟化膜形成覆膜的三氟化氯气体暴露处理,是在室温环境20~30℃实施。
CN201280066775.8A 2012-02-08 2012-02-08 使用三氟化氯的装置中的三氟化氯供给路的内面处理方法 Active CN104040699B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
PCT/JP2012/052862 WO2013118260A1 (ja) 2012-02-08 2012-02-08 三フッ化塩素使用装置での三フッ化塩素供給路の内面処理方法

Publications (2)

Publication Number Publication Date
CN104040699A CN104040699A (zh) 2014-09-10
CN104040699B true CN104040699B (zh) 2018-06-26

Family

ID=48947064

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201280066775.8A Active CN104040699B (zh) 2012-02-08 2012-02-08 使用三氟化氯的装置中的三氟化氯供给路的内面处理方法

Country Status (5)

Country Link
US (1) US9416445B2 (zh)
KR (1) KR20140123930A (zh)
CN (1) CN104040699B (zh)
SG (1) SG11201403527UA (zh)
WO (1) WO2013118260A1 (zh)

Families Citing this family (81)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
KR102322973B1 (ko) * 2016-04-05 2021-11-08 칸토 덴카 코교 가부시키가이샤 재료, 이 재료를 이용한 보존 용기, 이 보존 용기에 부착되는 밸브, 및 ClF의 보존 방법, ClF 보존 용기의 사용 방법
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
JPWO2018043446A1 (ja) * 2016-08-31 2019-07-25 国立大学法人横浜国立大学 半導体製造用チャンバのクリーニング方法
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10424487B2 (en) 2017-10-24 2019-09-24 Applied Materials, Inc. Atomic layer etching processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
JP7013414B2 (ja) * 2019-05-21 2022-02-15 株式会社Kokusai Electric 半導体装置の製造方法、基板処理方法、基板処理装置、およびプログラム

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1680005A (zh) * 2003-11-12 2005-10-12 波克股份有限公司 室清洁或蚀刻气体再生并循环利用的方法

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5380370A (en) * 1993-04-30 1995-01-10 Tokyo Electron Limited Method of cleaning reaction tube
US5609721A (en) * 1994-03-11 1997-03-11 Fujitsu Limited Semiconductor device manufacturing apparatus and its cleaning method
US6290779B1 (en) * 1998-06-12 2001-09-18 Tokyo Electron Limited Systems and methods for dry cleaning process chambers
JP4368443B2 (ja) * 1999-01-29 2009-11-18 日鉱金属株式会社 気相成長方法
US6929784B1 (en) * 1999-03-04 2005-08-16 Surface Technology Systems Plc Chlorotrifuorine gas generator system
KR20030008228A (ko) 2001-07-16 2003-01-25 삼성전자 주식회사 플라즈마를 이용한 건식 식각 장치
DE102005031602A1 (de) * 2005-07-06 2007-01-11 Robert Bosch Gmbh Reaktor zur Durchführung eines Ätzverfahrens für einen Stapel von maskierten Wafern und Ätzverfahren
JP5317321B2 (ja) * 2008-02-21 2013-10-16 岩谷産業株式会社 金属材料及びこれを用いた保存容器、ガス配管、装置、並びに、その製造方法、ClF3の保存方法

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1680005A (zh) * 2003-11-12 2005-10-12 波克股份有限公司 室清洁或蚀刻气体再生并循环利用的方法

Also Published As

Publication number Publication date
US9416445B2 (en) 2016-08-16
US20150041430A1 (en) 2015-02-12
CN104040699A (zh) 2014-09-10
KR20140123930A (ko) 2014-10-23
SG11201403527UA (en) 2014-09-26
WO2013118260A1 (ja) 2013-08-15

Similar Documents

Publication Publication Date Title
CN104040699B (zh) 使用三氟化氯的装置中的三氟化氯供给路的内面处理方法
US7959737B2 (en) Film formation apparatus and method for using the same
US10663336B2 (en) Processing chamber gas detection system and operation method thereof
JP2009512221A (ja) 大面積pecvd装置のためのリモートプラズマ源を使用したクリーニング手段
CN106796881A (zh) 蚀刻方法
CN105122432A (zh) 蚀刻方法
TW201422999A (zh) 具有抑制粉末產生功能的半導體製造裝置
JP4856010B2 (ja) 触媒化学気相成長装置
US11592025B2 (en) Dry pump and exhaust gas treatment method
JP2004343094A5 (zh)
CN112458435B (zh) 原子层沉积设备及清洗方法
US11557476B2 (en) Film forming method and film forming apparatus
JP5550412B2 (ja) 真空吸気配管のクリーニング方法
JP6098997B2 (ja) エピタキシャル成長装置の汚染評価方法及びエピタキシャルウェーハの製造方法
US20170167022A1 (en) Apparatus for high speed atomic layer deposition and deposition method using the same
TWI567239B (zh) Use of Chlorine Chloride in the Chlorine Chloride Supply Pathway
US20110203610A1 (en) Remote plasma cleaning method and apparatus for applying said method
JP6090183B2 (ja) 気相成長装置の清掃又は点検方法及びエピタキシャルウェーハの製造方法
JP7219494B2 (ja) クロロシランから不純物を除去するための方法及び装置
WO2011115002A1 (ja) 液化ガスの供給方法
KR20130077286A (ko) 히터 유닛 및 이를 포함하는 기판 처리 장치
JP2005079123A (ja) 成膜装置のクリーニング方法
JP2009302555A (ja) 成膜装置のクリーニング方法
TW201704527A (zh) 電漿cvd裝置及成膜方法
JP2008047597A (ja) 気相成長装置

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant