JP5142692B2 - 不揮発性半導体記憶装置 - Google Patents

不揮発性半導体記憶装置

Info

Publication number
JP5142692B2
JP5142692B2 JP2007320215A JP2007320215A JP5142692B2 JP 5142692 B2 JP5142692 B2 JP 5142692B2 JP 2007320215 A JP2007320215 A JP 2007320215A JP 2007320215 A JP2007320215 A JP 2007320215A JP 5142692 B2 JP5142692 B2 JP 5142692B2
Authority
JP
Japan
Prior art keywords
layer
memory
insulating layer
conductive layer
transistor
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2007320215A
Other languages
English (en)
Other versions
JP2009146954A (ja
Inventor
嘉晃 福住
英明 青地
竜太 勝又
傑 鬼頭
大 木藤
啓安 田中
陽介 小森
恵 石月
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Toshiba Corp
Original Assignee
Toshiba Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority to JP2007320215A priority Critical patent/JP5142692B2/ja
Application filed by Toshiba Corp filed Critical Toshiba Corp
Priority to PCT/JP2008/072727 priority patent/WO2009075370A1/en
Priority to US12/679,991 priority patent/US8372720B2/en
Priority to CN2008801201725A priority patent/CN102037557B/zh
Priority to EP08860456.6A priority patent/EP2201601B1/en
Priority to KR1020107013010A priority patent/KR101239559B1/ko
Priority to TW097148254A priority patent/TWI384615B/zh
Publication of JP2009146954A publication Critical patent/JP2009146954A/ja
Priority to US13/740,803 priority patent/US8729624B2/en
Application granted granted Critical
Publication of JP5142692B2 publication Critical patent/JP5142692B2/ja
Priority to US14/246,849 priority patent/US9035374B2/en
Priority to US14/668,270 priority patent/US9356042B2/en
Priority to US15/141,135 priority patent/US9741738B2/en
Priority to US15/664,924 priority patent/US9985050B2/en
Priority to US15/960,842 priority patent/US10163931B2/en
Priority to US16/204,444 priority patent/US20190096908A1/en
Priority to US15/929,185 priority patent/US11393840B2/en
Priority to US17/499,357 priority patent/US11574926B2/en
Priority to US17/843,320 priority patent/US11844218B2/en
Priority to US18/091,728 priority patent/US20230146470A1/en
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/40EEPROM devices comprising charge-trapping gate insulators characterised by the peripheral circuit region
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C16/00Erasable programmable read-only memories
    • G11C16/02Erasable programmable read-only memories electrically programmable
    • G11C16/04Erasable programmable read-only memories electrically programmable using variable threshold transistors, e.g. FAMOS
    • G11C16/0483Erasable programmable read-only memories electrically programmable using variable threshold transistors, e.g. FAMOS comprising cells having several storage transistors connected in series
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/06Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration
    • H01L27/0688Integrated circuits having a three-dimensional layout
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/511Insulating materials associated therewith with a compositional variation, e.g. multilayer structures
    • H01L29/513Insulating materials associated therewith with a compositional variation, e.g. multilayer structures the variation being perpendicular to the channel plane
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/20Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/20Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B41/23Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B41/27Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/20EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/20EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B43/23EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B43/27EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/50EEPROM devices comprising charge-trapping gate insulators characterised by the boundary region between the core and peripheral circuit regions
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B99/00Subject matter not provided for in other groups of this subclass

Description

本発明は、電気的にデータの書き換えが可能な不揮発性半導体記憶装置に関する。
従来、シリコン基板上の2次元平面内に素子を集積して、LSIが形成されてきた。メモリの記憶容量を増加させるには、一素子の寸法を小さくする(微細化する)のが一般的だが、近年その微細化もコスト的、技術的に困難なものになってきた。微細化のためにはフォトリソグラフィの技術向上が必要であるが、リソグラフィ工程に要するコストは増加の一途を辿っている。また、仮に微細化が達成されたとしても、駆動電圧などがスケーリングされない限り、素子間の耐圧など物理的な限界点を迎える事が予想される。つまり、デバイスとしての動作が困難になる可能性が高い。
そこで、近年、メモリの集積度を高めるために、メモリセルを3次元的に配置した半導体記憶装置が多数提案されている(特許文献1乃至3参照)。
メモリセルを3次元的に配置した従来の半導体記憶装置の一つに、円柱型構造のトランジスタを用いた半導体記憶装置がある(特許文献1乃至3)。円柱型構造のトランジスタを用いた半導体記憶装置においては、ゲート電極となる多層の導電層、及びピラー状の柱状半導体が設けられる。柱状半導体は、トランジスタのチャネル(ボディ)部として機能する。柱状半導体の周りには、メモリゲート絶縁層が設けられている。これら導電層、柱状半導体、メモリゲート絶縁層を含む構成は、メモリストリングスと呼ばれる。
上記従来技術においては、積層された導電層に対して、一括で孔を開口する。続いて、形成した孔の側壁にメモリゲート絶縁層を形成し、希フッ酸処理を行う。そして、孔を埋めるように柱状半導体を形成する。これら工程を複数回に亘って繰り返し、メモリセルを3次元的に形成する。しかしながら、希フッ酸処理により、メモリゲート絶縁層がエッチング除去されるという問題が生じる。
特開2007−266143号 米国特許第5599724号 米国特許第5707885号
本発明は、高い信頼性を有し且つ安価な不揮発性半導体記憶装置を提供する。
本発明の一態様に係る不揮発性半導体記憶装置は、電気的に書き換え可能な複数のメモリセルが直列に接続された複数のメモリストリングスを有する不揮発性半導体記憶装置であって、前記メモリストリングスは、基板に対して垂直方向に延びる一対の柱状部、及び前記一対の柱状部の下端を連結させるように形成された連結部を有する半導体層と、前記柱状部の側面を取り囲むように形成された電荷蓄積層と、前記柱状部の側面及び前記電荷蓄積層を取り囲むように形成された第1導電層と、前記連結部に絶縁層を介して接するように形成された第2導電層とを備え、前記第1導電層は、前記メモリセルの制御電極として機能し、前記第2導電層は、前記連結部にチャネルを形成するトランジスタの制御電極として機能することを特徴とする。
本発明は、高い信頼性を有し且つ安価な不揮発性半導体記憶装置を提供することが可能となる。
以下、図面を参照して、本発明に係る不揮発性半導体記憶装置の一実施形態について説明する。
[第1実施形態]
(第1実施形態に係る不揮発性半導体記憶装置100の構成)
図1は、本発明の第1実施形態に係る不揮発性半導体記憶装置100の概略図を示す。図1に示すように、第1実施形態に係る不揮発性半導体記憶装置100は、主として、メモリトランジスタ領域12、ワード線駆動回路13、ソース側選択ゲート線(SGS)駆動回路14、ドレイン側選択ゲート線(SGD)駆動回路15、センスアンプ16、ソース線駆動回路17、及びバックゲートトランジスタ駆動回路18を有する。メモリトランジスタ領域12は、データを記憶するメモリトランジスタを有する。ワード線駆動回路13は、ワード線WLに印加する電圧を制御する。ソース側選択ゲート線(SGS)駆動回路14は、ソース側選択ゲート線SGSに印加する電圧を制御する。ドレイン側選択ゲート線(SGD)駆動回路15は、ドレイン側選択ゲート線(SGD)に印加する電圧を制御する。センスアンプ16は、メモリトランジスタから読み出した電位を増幅する。ソース線駆動回路17は、ソース線SLに印加する電圧を制御する。バックゲートトランジスタ駆動回路18は、バックゲート線BGに印加する電圧を制御する。なお、上記の他、第1実施形態に係る不揮発性半導体記憶装置100は、ビット線BLに印加する電圧を制御するビット線駆動回路を有する。(図示略)。
図2は、第1実施形態に係る不揮発性半導体記憶装置100のメモリトランジスタ領域12の一部の概略斜視図である。第1実施形態においては、メモリトランジスタ領域12は、メモリトランジスタ(MTr1mn〜MTr8mn)、ソース側選択トランジスタSSTrmn及びドレイン側選択トランジスタSDTrmnからなるメモリストリングスMSをm×n個(m、nは自然数)を有している。図2においては、m=6、n=2の一例を示している。図3は、図2の一部拡大断面図である。
第1実施形態に係る不揮発性半導体記憶装置100において、メモリトランジスタ領域12には、複数のメモリストリングスMSが設けられている。詳しくは後述するが、メモリストリングスMSは、電気的に書き換え可能な複数のメモリトランジスタMTrmnが直列に接続された構成を有する。図1及び図2に示すように、メモリストリングスMSを構成するメモリトランジスタMTrmnは、半導体層を複数積層することによって形成されている。
各メモリストリングスMSは、U字状半導体SCmn、ワード線WLmn(WL1〜WL8)、ソース側選択ゲート線SGS、ドレイン側選択ゲート線SGDを有する。また、メモリストリングスMSは、バックゲート線BGを有する。
U字状半導体SCmnは、ロウ方向からみてU字状に形成されている。U字状半導体SCmnは、半導体基板Baに対して略垂直方向に延びる一対の柱状部CLmn、及び一対の柱状部CLmnの下端を連結させるように形成された連結部JPmnを有する。また、図3に示すように、U字状半導体SCmnは、一方の柱状部CLmnの上端から連結部JPmnを介して他方の柱状部CLmnの上端へと連通する中空H1を有する。中空H1内には、絶縁部Iが形成されている。なお、柱状部CLmnは、円柱状であっても、角柱状であってもよい。また、柱状部CLmnは、段々形状を有する柱状であってもよい。ここで、ロウ方向は、積層方向に直交する方向であり、後述するカラム方向は、垂直方向及びロウ方向に直交する方向である。
U字状半導体SCmnは、一対の柱状部CLmnの中心軸を結ぶ直線がカラム方向に平行になるように配置されている。また、U字状半導体SCmnは、ロウ方向及びカラム方向から構成される面内にマトリクス状となるように配置されている。
各層のワード線WLmnは、ロウ方向に平行に延びる形状を有している。各層のワード線WLmnは、カラム方向に第1の間隔を設けて、互いに絶縁分離してライン状に繰り返して形成されている。
カラム方向の同位置に設けられロウ方向に並ぶメモリトランジスタ(MTr1mn〜MTr8mn)のゲートは、同一のワード線WLmnに接続されている。各ワード線WLmnは、メモリストリングスMSに略垂直に配置されている。ワード線WLmnのロウ方向の端部及びカラム方向の端部は、階段状に形成されている。なお、ワード線WLmnのカラム方向の端部は、階段状に限られない。例えば、ワード線WLmnのカラム方向の端部は、カラム方向の所定位置にて揃って形成されていてもよい。
図3に示すように、ワード線WLmnと柱状部CLmnとの間には、ONO(Oxide-Nitride-Oxide)層NLが形成されている。ONO層NLは、柱状部CLmnに接するトンネル絶縁層TI、トンネル絶縁層TIに接する電荷蓄積層EC、及び電荷蓄積層ECに接するブロック絶縁層BIを有する。電荷蓄積層ECは、電荷を蓄積する機能を有する。
上記構成を換言すると、電荷蓄積層ECは、柱状部CLmnの側面を取り囲むように形成されている。また、各ワード線WLmnは、柱状部CLmnの側面及び電荷蓄積層ECを取り囲むように形成されている。また、各々のワード線WLmnは、カラム方向に隣接する各柱状部CLmn毎に分割されている。
ドレイン側選択ゲート線SGDは、最上部のワード線WLmnの上部に設けられている。ドレイン側選択ゲート線SGDは、ロウ方向に平行に延びる形状を有している。ドレイン側選択ゲート線SGDは、カラム方向に第1の間隔D1、又は第2の間隔D2(D2>D1)を交互に設けて、互いに絶縁分離してライン状に繰り返し形成されている。ドレイン側選択ゲート線SGDは、後述するソース側選択ゲート線SGSを間に挟んで第2の間隔D2で形成されている。また、ドレイン側選択ゲート線SGDのカラム方向の中心を貫通して柱状部CLmnが形成されている。図3に示すように、ドレイン側選択ゲート線SGDと柱状部CLmnとの間には、ゲート絶縁層DGIが形成されている。
ソース側選択ゲート線SGSは、最上部のワード線WLmnの上部に設けられている。ソース側選択ゲート線SGSは、ロウ方向に平行に延びる形状を有している。ソース側選択ゲート線SGSは、カラム方向に第1の間隔D1、第2の間隔D2を交互に設けて、互いに絶縁分離してライン状に繰り返し形成されている。ソース側選択ゲート線SGSは、ドレイン側選択ゲート線SGDを挟んで第2の間隔D2で形成されている。また、ソース側選択ゲート線SGSのカラム方向の中心を貫通して柱状部CLmnが形成されている。図3に示すように、ソース側選択ゲート線SGSと柱状部CLmnとの間には、ゲート絶縁層SGIが形成されている。
上記構成を換言すると、カラム方向に、第1の間隔D1を設けて、2つのドレイン側選択ゲート線SGD、2つのソース側選択ゲート線SGSが、交互に形成されている。また、各ドレイン側選択ゲート線SGD及び各ソース側選択ゲート線SGSは、柱状部CLmn及びゲート絶縁層SGI、DGIを取り囲むように形成されている。また、各ドレイン側選択ゲート線SGD及び各ソース側選択ゲート線SGSは、カラム方向に隣接する各CLmn毎に分割されている。
バックゲート線BGは、複数の連結部JPmnの下部を覆うように、ロウ方向及びカラム方向に2次元的に広がって形成されている。図3に示すように、バックゲート線BGと連結部JPmnとの間には、上述したONO層NLが形成されている。
また、カラム方向に隣接するU字状半導体SCmnの柱状部CLmnの上端には、ソース線SLが形成されている。
また、ドレイン側選択ゲート線SGDの上方に延びる柱状部CLmnの上端には、プラグ線PLmnを介してビット線BLが形成されている。各ビット線BLは、ソース線SLよりも上方に位置するように形成されている。各ビット線BLは、ロウ方向に所定間隔を設けてカラム方向に延びるライン状に繰り返し形成されている。
次に、図2〜図4を参照して、第1実施形態におけるメモリストリングスMSにより構成される回路構成を説明する。図4は、第1実施形態における一つのメモリストリングスMSの回路図である。
図2〜図4に示すように、第1実施形態において、各メモリストリングスMSは、8つのメモリトランジスタMTr1mn〜MTr8mn、ソース側選択トランジスタSSTrmn、ドレイン側選択トランジスタSDTrmn、及びバックゲートトランジスタBGTrmnを有している。これら8つのメモリトランジスタMTr1mn〜MTr8mn並びにソース側選択トランジスタSSTrmn及びドレイン側選択トランジスタSDTrmnは、それぞれ直列に接続されている(図4参照)。また、ソース線SLには、制御回路が接続されている。
各メモリトランジスタMTrmnは、柱状部CLmn、ONO層NL(電荷蓄積層EC)、及びワード線WLmnにより構成されている。ワード線WLmnのONO層NLに接する端部は、メモリトランジスタMTrmnの制御ゲート電極として機能する。
ドレイン側選択トランジスタSDTrmnは、柱状部CLmn、ゲート絶縁層DGI、及びドレイン側選択ゲート線SGDにより構成されている。ドレイン側選択ゲート線SGDのゲート絶縁層DGIに接する端部は、ドレイン側選択トランジスタSDTrmnの制御ゲート電極として機能する。
ソース側選択トランジスタSSTrmnは、柱状部CLmn、ゲート絶縁層SGI、及びソース側選択ゲート線SGSにより構成されている。ソース側選択ゲート線SGSのゲート絶縁層SGIに接する端部は、ソース側選択トランジスタSSTrmnの制御ゲート電極として機能する。
バックゲートトランジスタBGTrmnは、連結部JPmn、ONO層NL(電荷蓄積層EC)、及びバックゲート線BGにより構成されている。バックゲート線BGのONO層NLに接する端部は、バックゲートトランジスタBGTrmnの制御ゲート電極として機能する。
(第1実施形態に係る不揮発性半導体装置100の具体的構成)
次に、図5及び図6を参照して、第1実施形態に係る不揮発性半導体装置100の具体的構成について説明する。図5は、第1実施形態に係る不揮発性半導体装置100のメモリトランジスタ領域12の断面図であり、図6は、そのメモリトランジスタ領域12の終端及び周辺領域Phのロウ方向断面図である。また、図5(a)は、カラム方向の断面を示し、図5(b)は、ロウ方向の断面を示す。図5及び図6においては、上記図1〜図4とは異なり、16個のメモリトランジスタが直列接続したメモリストリングスを示している。
先ず、第1実施形態に係る不揮発性半導体記憶装置100のメモリセトランジスタ領域12の構成について説明する。図5及び図6に示すように、メモリセトランジスタ領域12(メモリストリングスMS)は、半導体基板Baから積層方向に、順次、バックゲートトランジスタ層20、メモリトランジスタ層30、選択トランジスタ層40、及び配線層50を有する。バックゲートトランジスタ層20は、上述したバックゲートトランジスタBGTrmnとして機能する。メモリトランジスタ層30は、上述したメモリトランジスタMTrmnとして機能する。選択トランジスタ層30は、上述したソース側選択トランジスタ層SSTrmn及びドレイン側選択トランジスタSDTrmnとして機能する
バックゲートトランジスタ層20は、半導体基板Baの上に順次積層されたバックゲート絶縁層21、及びバックゲート導電層22を有する。これらバックゲート絶縁層21、及びバックゲート導電層22は、メモリトランジスタ領域12の端部までロウ方向及びカラム方向に広がって形成されている。また、バックゲートトランジスタ層20は、バックゲート絶縁層21、及びバックゲート導電層22のロウ方向及びカラム方向の端部側面を覆う側壁絶縁層23を有する。
バックゲート導電層22は、後述するU字状半導体層63の連結部63aの下面及び側面を覆い且つ連結部63aの上面と同じ高さまで形成されている。
バックゲート絶縁層21は、酸化シリコン(SiO)にて構成されている。バックゲート導電層22は、ポリシリコン(p−Si)にて構成されている。側壁絶縁層23は、酸化シリコン(SiO)にて構成されている。
また、バックゲートトランジスタ層20は、バックゲート導電層22を堀込むように形成されたバックゲートホール24を有する。バックゲートホール24は、ロウ方向に短手、カラム方向に長手とした開口部を有する。バックゲートホール24は、ロウ方向及びカラム方向に所定間隔毎に形成されている。換言すると、バックゲートホール24は、ロウ方向及びカラム方向を含む面内にてマトリクス状に形成されている。
メモリトランジスタ層30は、バックゲート導電層22の上に、交互に積層された第1〜第4ワード線間絶縁層31a〜31d、及び第1〜第4ワード線導電層32a〜32dを有する。また、メモリトランジスタ層30は、第4ワード線導電層32dの上に堆積された第1分離絶縁層33aを有する。また、メモリトランジスタ層30は、第1分離絶縁層33aの上に、交互に積層された第5〜第8ワード線間絶縁層31e〜31h、及び第5〜第8ワード線導電層32e〜32hを有する。また、メモリトランジスタ層30は、第8ワード線導電層32hの上に順次堆積された第2分離絶縁層33b、及びメモリ保護絶縁層34を有する。
第1〜第8ワード線間絶縁層31a〜31h、第1〜第8ワード線導電層32a〜32h、第1分離絶縁層33a、及び第2分離絶縁層33bは、ロウ方向に延びるように且つカラム方向に所定間隔を設けて繰り返しライン状に形成されている。第1〜第8ワード線間絶縁層31a〜31h、第1〜第8ワード線導電層32a〜32h、第1分離絶縁層33a、及び第2分離絶縁層33bは、ロウ方向の端部にて階段状に加工されている。メモリ保護絶縁層34は、第1〜第8ワード線間絶縁層31a〜31h、第1〜第8ワード線導電層32a〜32h、第1分離絶縁層33a、及び第2分離絶縁層33bのロウ方向及びカラム方向の端部、及び第2分離絶縁層33bの上面を覆うように形成されている。また、各第1〜第8ワード線導電層32a〜32hのカラム方向の端部側面には、シリサイド膜36が形成されている。
第1〜第8ワード線間絶縁層31a〜31hは、酸化シリコン(SiO)にて構成されている。第1〜第8ワード線導電層32a〜32hは、ポリシリコン(p−Si)にて構成されている。第1分離絶縁層33a及び第2分離絶縁層33bは、酸化シリコン(SiO)にて構成されている。メモリ保護絶縁層34は、窒化シリコン(SiN)にて構成されている。シリサイド膜36は、ケイ化コバルト(CoSi)にて構成されている。
また、メモリトランジスタ層30は、第1分離絶縁層33a、第1〜第4ワード線間絶縁層31a〜31d、第1〜第4ワード線導電層32a〜32dを貫通するように形成された第1メモリホール35aを有する。第1メモリホール35aは、各バックゲートホール24のカラム方向の両端近傍の位置に整合するように形成されている。また、メモリトランジスタ層30は、第2分離絶縁層33b、第5〜第8ワード線間絶縁層31e〜31h、第5〜第8ワード線導電層32e〜32h、第1分離絶縁層33aを貫通し、第4ワード線導電層32dを堀込むように形成された第2メモリホール35bを有する。つまり、第1メモリホール35aと第2メモリホール35bとは、積層方向において所定長さだけオーバラップして形成されている。このオーバラップの長さは、予想される合わせずれの最大値、例えば、最小加工寸法の1/3程度とする。なお、図5において、第1メモリホール35aと第2メモリホール35bの中心軸は、ずれて形成されているが、中心軸を揃えて形成した構成であってもよい。
選択トランジスタ層40は、メモリ保護絶縁層34の上に堆積されたドレイン側導電層41、ソース側導電層42、層間絶縁層43を有する。これらドレイン側導電層41、ソース側導電層42、層間絶縁層43は、ロウ方向に延びるように且つカラム方向に所定間隔を設けて繰り返しライン状に形成されている。
ドレイン側導電層41は、カラム方向において第1の間隔D1又は第2の間隔D2を交互に設けて形成されている。同様に、ソース側導電層42は、カラム方向において第1の間隔D1又は第2の間隔D2を交互に設けて形成されている。カラム方向に第2の間隔D2をもって形成されたドレイン側導電層41の間に、第1の間隔D1をもって形成された2層のソース側導電層41が形成されている。また、カラム方向に第2の間隔D2をもって形成されたソース側導電層42の間に、第1の間隔D1をもって形成された2層のドレイン側導電層42が形成されている。層間絶縁層43は、上記のように形成されたドレイン側導電層41及びソース側導電層42の間に形成されている。
また、選択トランジスタ層40は、ドレイン側導電層41、ソース側導電層42、及び層間絶縁層43上に形成された選択トランジスタ絶縁層44を有する。
ドレイン側導電層41及びソース側導電層42は、ポリシリコン(p−Si)にて構成されている。層間絶縁層43、及び選択トランジスタ絶縁層44は、酸化シリコン(SiO)にて構成されている。
また、選択トランジスタ層40は、選択トランジスタ絶縁層44及びドレイン側導電層41を貫通するように形成されたドレイン側ホール45aを有する。また、選択トランジスタ層40は、選択トランジスタ絶縁層44及びソース側導電層42を貫通するように形成されたソース側ホール45bを有する。ドレイン側ホール45a及びソース側ホール45bは、第2メモリホール35bに整合する位置に形成されている。カラム方向に隣接するソース側ホール45bの上部には選択トランジスタ絶縁層44を掘り込むようにソース線配線溝45cが形成されている。ソース線配線溝45cは、カラム方向に隣接するソース側ホール45bの上部を繋ぎ且つロウ方向に延びて形成されている。
上記構成において、ドレイン側ホール45aに面する側壁には、ドレイン側ゲート絶縁層61aが形成されている。また、ソース側ホール45bに面する側壁には、ソース側ゲート絶縁層61bが形成されている。また、第2メモリホール35b、第1メモリホール35a、及びバックゲートホール24に面する側壁には、メモリゲート絶縁層62が形成されている。また、ドレイン側ホール45a、ソース側ホール45bの第1の高さまで、ドレイン側ゲート絶縁層61a、ソース側ゲート絶縁層61b、及びメモリゲート絶縁層62に接するようにU字状半導体層63が形成されている。U字状半導体層63は、中空を有する。U字状半導体層63の中空内には、内部絶縁層64が形成されている。
ドレイン側ゲート絶縁層61a及びソース側ゲート絶縁層61bは、筒状の形状を有する。メモリゲート絶縁層62は、ロウ方向からみてU字状の形状を有する。メモリゲート絶縁層62は、一方の上端から他方の上端へと連なる中空を有する。U字状半導体層63は、ロウ方向からみてU字状の形状を有する。U字状半導体層63は、ロウ方向からみて半導体基板Baに対して垂直方向に延びる一対の柱状部63a、及び一対の柱状部63aの下端を連結させるように形成された連結部63bを有する。
U字状半導体層63は、上述したU字状半導体SCmnとして機能する。バックゲート導電層22は、バックゲート線BGとして機能する。また、バックゲート導電層22の連結部63a近傍となる端部は、バックゲートトランジスタBGTrmnの制御ゲートとして機能する。第1〜第8ワード線導電層32a〜32hは、ワード線WL1〜WL8として機能する。また、第1〜第8ワード線導電層32a〜32hの柱状部63b近傍となる端部は、メモリトランジスタMTrmnの制御ゲートとして機能する。ドレイン側導電層41は、ドレイン側選択ゲート線SGDとして機能する。また、ドレイン側導電層41の柱状部63b近傍となる端部は、ドレイン側選択トランジスタSDTrmnの制御ゲートとして機能する。ソース側導電層42は、ソース側選択ゲート線SGSとして機能する。また、ソース側導電層42の柱状部63b近傍となる端部は、ソース側選択トランジスタSSTrmnの制御ゲートとして機能する。また、内部絶縁層64は、絶縁部Iに対応する。
また、上記構成において、ソース側ホール45bの第1の高さからソース線配線溝45c内を満たすようにソース線導電層65が形成されている。ソース線導電層65は、半導体基板Ba平行な板状に形成されている。ソース線導電層65は、上述したソース線SLに対応する。
ドレイン側ゲート絶縁層61a及びソース側ゲート絶縁層61bは、酸化シリコン(SiO)にて構成されている。メモリゲート絶縁層62は、ブロック絶縁層BI、電荷蓄積層EC、トンネル絶縁層TIから構成されている。ブロック絶縁層BIは、酸化シリコン(SiO)にて構成されている。電荷蓄積層ECは、窒化シリコン(SiN)にて構成されている。トンネル絶縁層TIは、酸化シリコン(SiO)にて構成されている。つまり、メモリゲート絶縁層62は、ONO層にて構成されている。U字状半導体層63は、ポリシリコン(p−Si)にて構成されている。内部絶縁層64は、酸化シリコン(SiO)にて構成されている。ソース線導電層65は、チタン(Ti)−窒化チタン(TiN)−タングステン(W)にて構成されている。
配線層50は、選択トランジスタ絶縁層44上に順次積層された第1配線絶縁層51、第2配線絶縁層52、第3配線絶縁層53、及び第4配線絶縁層54を有する。
第1〜第3配線絶縁層51〜53は、酸化シリコン(SiO)にて構成されている。第4配線絶縁層54は、窒化シリコン(SiN)にて構成されている。
また、配線層50は、第1配線絶縁層51を堀込むように形成されたビット線配線溝56a、及びビット線配線溝56aの下方から第1配線絶縁層51を貫通するように形成されたビット線プラグホール56を有する。
ビット線配線溝56aは、ビット線プラグホール56に整合する位置に形成されている。ビット線配線溝56aは、カラム方向に延びるように形成され、且つロウ方向に所定間隔を設けて繰り返しライン状に形成されている。ビット線プラグホール56は、ドレイン側ホール45aに整合する位置に形成されている。
ビット線配線溝56a内には、ビット線導電層55が形成されている。ビット線導電層55は、上述したビット線BLに対応する。また、ドレイン側ホール45a内のU字状半導体層63の上面からビット線プラグホール56の開口部まで、ビット線プラグ層57が形成されている。ビット線導電層55は、カラム方向に延びるように形成され、且つロウ方向に所定間隔を設けて繰り返しライン状に形成されている。また、ビット線プラグ層57は、ビット線導電層55の下面に接するように柱状に形成されている。
ビット線導電層55は、タンタル(Ta)−窒化タンタル(TaN)−銅(Cu)にて構成されている。ビット線プラグ層57は、チタン(Ti)−窒化チタン(TiN)−タングステン(W)にて構成されている。
次に、第1実施形態に係る不揮発性半導体記憶装置の周辺領域Phについて説明する。図6に示すように、周辺領域Phにおいて、半導体基板Ba上には、ベース領域71が形成されている。
半導体基板Baのベース領域71上には、ゲート絶縁層81、ゲート導電層82が設けられている。また、ゲート絶縁層81及びゲート導電層82の側壁には、側壁絶縁層83が設けられている。つまり、周辺領域Phにおいて、ベース領域71、ゲート絶縁層81,ゲート導電層82により、トランジスタが構成されている。このトランジスタは、周辺領域Phに形成される周辺回路に用いられる。
また、ゲート絶縁層81、ゲート導電層82、及び側壁絶縁層83を埋めるように、メモリトランジスタ領域12のドレイン側導電層41及びソース側導電層42の上面まで、層間絶縁層43が形成されている。また、層間絶縁層43上には、選択トランジスタ絶縁層44が形成されている。
さらに、周辺領域Phにおいて、選択トランジスタ絶縁層44上には、順次積層された第1配線絶縁層51、第2配線絶縁層52、第3配線層84、第3配線絶縁層53、及び第4配線絶縁層54が形成されている。
周辺領域Phにおいて、選択トランジスタ絶縁層44、或いは選択トランジスタ絶縁層44及び層間絶縁層43を貫通するように第1プラグホール85aが形成されている。各第1プラグホール85aは、ドレイン側導電層41、ソース側導電層42、第1〜第8ワード線導電層32a〜32h、バックゲート導電層22、ゲート導電層82、及びベース領域71に達するように形成されている。
各第1プラグホール85aの上部には、選択トランジスタ絶縁層44を掘り込むようにカラム方向に延びる第1配線溝85bが形成されている。各第1配線溝85bの上部には、各第1プラグホール85aと整合する位置に第1配線絶縁層51を貫通するように第2プラグホール85cが形成されている。各第2プラグホール85cの上部には、第1配線絶縁層51を掘り込むようにロウ方向或いはカラム方向に延びる第2配線溝85dが形成されている。各第2配線溝85dの上部には、第2プラグホール85cと整合する位置に第2配線絶縁層52を貫通するように第3プラグホール85eが形成されている。
各第1プラグホール85a内には、第1プラグ導電層86aが形成されている。各第1配線溝85b内には、第1配線層86bが形成されている。各第2プラグホール85c内には、第2プラグ導電層86cが形成されている。各第2配線溝85dには、第2配線層86dが形成されている。各第3プラグホール85e内には、第2配線層86dの上面に接するように第3配線層84が下方に突出して形成されている。
第1プラグ導電層86a、第1配線層86b、及び第2プラグ導電層86cは、チタン(Ti)−窒化チタン(TiN)−タングステン(W)にて構成されている。第2配線層86dは、タンタル(Ta)−窒化タンタル(TaN)−銅(Cu)にて構成されている。第3配線層84は、チタン(Ti)−窒化チタン(TiN)−アルミ・銅(AlCu)にて構成されている。
(第1実施形態に係る不揮発性半導体記憶装置100の動作)
次に、再び図1〜図4を参照して、第1実施形態に係る不揮発性半導体装置100の動作を説明する。メモリトランジスタMTr1mn〜MTr8mnにおける「読み出し動作」、「書き込み動作」、「消去動作」について説明する。なお、「読み出し動作」、「書き込み動作」については、メモリトランジスタMTr4mnを読み出し、書き込みの対象とする場合を例として説明する。また、電荷蓄積層ECに電荷が蓄積されていない状態のメモリトランジスタMTrの閾値Vth(中性閾値)が0V付近にあるとして説明する。
(読み出し動作)
メモリトランジスタMTr4mnからのデータ読み出し時、ビット線駆動回路は、ビット線BLにビット線電圧Vblを印加する。ソース線駆動回路17は、ソース線SLを0Vとする。ソース側選択ゲート線駆動回路14は、ソース側選択ゲート線SGSに駆動電圧Vddを印加する。ドレイン側選択ゲート線駆動回路15は、ドレイン側選択ゲート線SGDに駆動電圧Vddを印加する。バックゲート線駆動回路19は、バックゲート線BGに導通電圧Vjを印加する。つまり、ソース側選択トランジスタSSTrmn、ドレイン側選択トランジスタSSTrmn、及びバックゲートトランジスタBGTrmnをオン状態とする。
また、読み出し時、ワード線駆動回路13は、読み出したいビット(MTr4mn)が接続されているワード線WL4を0Vとする。一方、ワード線駆動回路13は、その他のビットが接続されているワード線WLmnを読出電圧Vread(例えば、4.5V)に設定する。これにより、読み出したいメモリトランジスタMTr4mnの閾値電圧Vthが0Vより大きいか小さいかにより、ビット線BLに電流が流れるかどうかが決まる。したがって、センスアンプ16によりビット線BLの電流をセンスすることで、メモリトランジスタMTr4mnのデータ情報を読み出すことが可能となる。
(書き込み動作)
メモリトランジスタMTr4mnにデータ”0”を書き込む場合、即ち、メモリトランジスタMTr4mnの電荷蓄積層ECに電子を注入して、メモリトランジスタMTr4mnの閾値電圧Vthを上げる場合、ビット線駆動回路は、ビット線Blmを0Vとする。ソース線駆動回路17は、ソース線SLnに駆動電圧Vdd(例えば、3V)を印加する。ソース側選択ゲート線駆動回路14は、ソース側選択ゲート線SGSにオフ電圧Voff(例えば、0V)を印加する。ドレイン側選択ゲート線駆動回路15は、ドレイン側選択ゲート線SGDに駆動電圧Vddを印加する。バックゲート線駆動回路19は、バックゲート線BGに導通電圧Vjを印加する。
また、データ”0”の書き込み時、ワード線駆動回路13は、書き込みたいビット(MTr4mn)のワード線WL4にプログラム電圧Vprog(例えば18V)を印加する。一方、ワード線駆動回路13は、その他のワード線WLmnにパス電圧Vpass(例えば10V)を印加する。これにより、所望のビット(MTr4mn)においてのみ電荷蓄積層ECに印加される電界強度が強くなり電荷蓄積層ECに電子が注入され、メモリトランジスタMTr4mnの閾値電圧Vthが正の方向にシフトする。
メモリトランジスタMTr4mnにデータ”1”を書き込む場合、即ち、メモリトランジスタMTr4mの消去状態から閾値電圧Vthを上げない(電荷蓄積層ECに電子を注入しない)場合、ビット線駆動回路は、ビット線BLに駆動電圧Vddを印加する。なお、その他の駆動回路は、データ”0”の書き込み時と同様の動作を実行する。このビット線BLへの駆動電圧Vddの印加により、ドレイン側選択トランジスタSDTrmnのゲート電位とそのソース電位とが同電位になる。これにより、ドレイン側選択トランジスタSDTrmnがオフ状態になり、メモリトランジスタMTr4mnのチャネル形成領域(ボディ部)とワード線WL4との間の電位差が低減するため、メモリトランジスタMTr4mnの電荷蓄積層ECには電子の注入がおこらない。
(消去動作)
データの消去時には、複数のメモリストリングスMSからなるブロック単位でメモリトランジスタのデータの消去を行う。
先ず、バックゲート線駆動回路19は、バックゲート線BGに導通電圧Vjを印加する。続いて、選択ブロック(消去したいブロック)において、ソース線SLの一端に消去電圧Verase(例えば20V)を印加し、また、ソース線SLをフローティング状態とする。そして、このソース線SLをフローティング状態にするタイミングと若干時間をずらして、ソース側選択ゲート線駆動回路14は、ソース側選択トランジスタSSTrmnの電位を上昇(例えば15V)させる。同様に、ドレイン側選択ゲート線駆動回路15は、ドレイン側選択トランジスタSDTrmnの電位を上昇(例えば15V)させる。このような動作により、ソース側選択トランジスタSSTrmnのゲート端付近でGIDL(Gate Induced Drain Leak)電流が発生し、生成したホールがメモリトランジスタMTr1mn〜MTr8mnのボディ部となる柱状部CLmnの内部に流れる。一方、電子が、ソース線SLの方向に流れる。これにより、メモリトランジスタMTrのチャネル形成領域(ボディ部)には消去電圧Veraseに近い電位が伝達するため、ワード駆動回路13が、ワード線WL1〜WL8を例えば0Vに設定すると、メモリトランジスタMTr1mn〜MTr8mnの電荷蓄積層ECの電子が、引き抜かれる。つまり、メモリトランジスタMTr1mn〜MTr8mnのデータの消去が行われる。
一方、選択ブロックのメモリトランジスタのデータ消去を行うとき、非選択ブロックにおいては、ワード線WL1〜WL8をフローティング状態とする。これにより、メモリトランジスタMTr1mn〜MTr8mnのチャネル形成領域(ボディ部)の電位の上昇とともに、カップリングによってワード線WL1〜WL8の電位が上昇する。したがって、ワード線WL1〜WL8とメモリトランジスタMTr1〜MTr8mnの電荷蓄積層ECとの間に電位差が生じないため、電荷蓄積層ECから電子の引き抜き(消去)が行われない。
(第1実施形態に係る不揮発性半導体記憶装置100の製造方法)
次に、図7〜図46を参照して、第1実施形態に係る不揮発性半導体記憶装置100の製造方法を説明する。図7〜図45の奇数番の図は、メモリトランジスタ領域12を示す断面図である。図7〜図45の奇数番における図において、(a)は、ロウ方向の断面図であり、(b)は、カラム方向の断面図である。図8〜図46の偶数番の図は、メモリトランジスタ領域12の終端及び周辺領域Phを示すカラム方向の断面図である。
先ず、図7及び図8に示すように、周辺領域Phとなる位置の表面にベース領域71を形成した半導体基板Baを用意する。次に、半導体基板Ba上に酸化シリコン(SiO)及びポリシリコン(p−Si)を堆積させた後、リソグラフィ法やRIE(Reactive Ion Etching)法、イオン注入法を用いて、メモリトランジスタ領域12にて、バックゲート絶縁層21、バックゲート導電層22、及び側壁絶縁層23を形成する。また、周辺領域Phにて、ゲート絶縁層81、ゲート導電層82、及び側壁絶縁層83を形成する。
次に、図9及び図10に示すように、周辺領域Phにおいて、半導体基板Baの上面からゲート導電層82(バックゲート導電層22)の上面まで酸化シリコン(SiO)を堆積させ、層間絶縁層83aを形成する。続いて、メモリトランジスタ領域12において、バックゲート導電層22を堀込み、バックゲートホール24を形成する。バックゲートホール24は、ロウ方向に短手、カラム方向に長手となるような島状の開口部を有するように形成する。バックゲートホール24は、ロウ方向及びカラム方向に所定間隔毎に形成する。次に、バックゲートホール24内を埋めるように窒化シリコン(SiN)を堆積させる。続いて、化学機械研磨法(CMP:Chemical Mechanical Polishing)、又はRIE法でバックゲート導電層22の上部の窒化シリコン(SiN)を除去し、バックゲートホール24内に第1犠牲層91を形成する。なお、図9に示すように、バックゲートホール24は、バックゲート導電層22を貫通しない深さまで形成しているが、バックゲート導電層22を貫通するように形成してもよい。
次に、図11及び図12に示すように、バックゲート導電層22、犠牲層91、ゲート導電層82、及び層間絶縁層83a上に、交互に酸化シリコン(SiO)、ポリシリコン(p−Si)を積層させ、第1〜第4板状ワード線間絶縁層31a’〜31d ’、第1〜第4板状ポリシリコン導電層32a’〜32d’、及び第1板状分離絶縁層33a’を形成する。これら第1〜第4板状ワード線間絶縁層31a’〜31d’、第1〜第4板状ポリシリコン導電層32a’〜32d’、及び第1板状分離絶縁層33a’は、積層方向に直交する方向(ロウ方向、及びカラム方向)に2次元的に広がるように形成される。
続いて、第1〜第4板状ワード線間絶縁層31a’〜31d’、第1〜第4板状ポリシリコン層32a’〜32d’、及び第1板状分離絶縁層33a’を貫通するように第1メモリホール35aを形成する。また、第1メモリホール35aは、バックゲートホール24のカラム方向の両端付近に整合する位置に形成する。第1メモリホール35a内には、窒化シリコン(SiN)を堆積させ、第2犠牲層92aを形成する。
続いて、第1板状分離絶縁層33’a上に、交互に酸化シリコン(SiO)、ポリシリコン(p−Si)を積層させ、第5〜第8板状ワード線間絶縁層31e’〜31h’、第5〜第8板状ポリシリコン層32e’〜32h’、及び第2板状分離絶縁層33b’を形成する。これら第5〜第8板状ワード線間絶縁層31e’〜31h’、第5〜第8板状ポリシリコン層32e’〜32h’、及び第2板状分離絶縁層33b’は、積層方向に直交する方向(ロウ方向、及びカラム方向)に2次元的に広がるように形成される。
続いて、第2板状分離絶縁層33b’、第5〜第8板状ワード線間絶縁層31e’〜31h’、及び第5〜第8板状ポリシリコン層32e’〜32h’、第1板状分離絶縁層33a’を貫通し、第4板状ワード線ポリシリコン層32d’を掘り込むように第2メモリホール35bを形成する。また、第2メモリホール35bは、第1メモリホール35aに整合する位置に形成する。第2メモリホール35b内には、窒化シリコン(SiN)を堆積させ、第3犠牲層92bを形成する。
次に、図13及び図14に示すように、第1犠牲層91、第2犠牲層92a、及び第3犠牲層92bを除去する。例えば、第1犠牲層91、第2犠牲層92a、及び第3犠牲層92bの除去は、熱燐酸溶液中で行う。このような図13及び図14に示す工程を経て、再び、第1メモリホール35a、第2メモリホール35b、及びバックゲートホール24が形成される。第1メモリホール35a、第2メモリホール35b、及びバックゲートホール24は、連通しており、ロウ方向からみてU字状に形成されている。続いて、希フッ酸処理により、露出したバックゲート導電層22の表面、及び露出した第1〜第8板状ポリシリコン層32a〜32hの表面を清浄化し、自然酸化膜を除去する。
続いて、図15及び図16に示すように、バックゲートホール24、第1メモリホール35a、及び第2メモリホール35bに面する側壁、及び第2板状分離絶縁層33b’を覆うように、メモリゲート絶縁層62を形成する。具体的には、酸化シリコン(SiO)、窒化シリコン(SiN)、酸化シリコン(SiO)を堆積させ、メモリゲート絶縁層62を形成する。
次に、図17及び図18に示すように、メモリゲート絶縁層62上に、アモルファスシリコン(a−Si)を堆積させ、アモルファスシリコン層93を形成する。アモルファスシリコン層93は、中空93aを有するように形成する。換言すると、アモルファスシリコン層93は、バックゲートホール24内、第1メモリホール35a内、及び第2メモリホール35b内を完全に埋めないように形成する。
続いて、図19及び図20に示すように、中空93aに面するアモルファスシリコン層93の側壁を熱酸化させ、酸化シリコン(SiO)を形成する。また、残存したアモルファスシリコン層93を結晶化させ、ポリシリコン(p−Si)を形成し、U字状半導体層63を形成する。また、U字状半導体層63の中空93aに形成された酸化シリコン(SiO)上に、さらにCVD(Chemical Vapor Deposition)法にて酸化シリコン(SiO)を堆積し、中空93a内を埋めるように内部絶縁層64を形成する。さらに、CMP処理により、第2板状分離絶縁層33b’上に堆積されたメモリゲート絶縁層62、U字状半導体層63、及び内部絶縁層64を除去する。
次に、図21及び図22に示すように、第1〜第8板状ワード線間絶縁層31a’〜31h ’、第1〜第8板状ポリシリコン層32a’〜32h ’、及び第1,第2板状分離絶縁層32a’,32b’の周辺領域Ph側の端部を階段状に加工する。この工程は、例えば、レジスト膜のスリミングとRIE(又はリソグラフィ)を繰り返すことによって実行される。
続いて、図23及び図24に示すように、メモリトランジスタ領域12において、第2板状分離絶縁層33b’、及び階段状に加工した端部を覆うように窒化シリコン(SiN)を堆積させ、メモリ保護絶縁層34を形成する。次に、メモリトランジスタ領域12及び周辺領域Phにおいて、メモリ保護絶縁層34の最上面まで酸化シリコン(SiO)を堆積させ、層間絶縁層43を形成する。
次に、図25及び図26に示すように、メモリトランジスタ領域12において、ロウ方向に延び、カラム方向に所定間隔を設けて繰り返してライン状にメモリ分離溝94を形成する。メモリ分離溝94は、カラム方向における第1メモリホール35a及び第2メモリホール35bの間に位置するように形成する。メモリ分離溝94は、メモリ保護絶縁層34’、第1〜第8板状ワード線間絶縁層31a’〜31h’、第1〜第8板状ポリシリコン層32a’〜32h ’、及び第1,第2分離絶縁層33a’,33b’を貫通するように形成する。
上記図25及び図26に示すメモリ分離溝94の形成工程によって、第1〜第8板状ワード線間絶縁層31a’〜31h’は、ロウ方向に平行に延びる形状を有し、カラム方向に第1の間隔を設けて繰り返しライン状に形成された第1〜第8ワード線間絶縁層31a〜31hとなる。また、第1〜第8板状ポリシリコン層32a’〜32h’は、ロウ方向に平行に延びる形状を有し、カラム方向に第1の間隔を設けて繰り返しライン状に形成された第1〜第8ワード線導電層32a〜32hとなる。また、第1,第2板状分離絶縁層33a’,33b’は、ロウ方向に平行に延びる形状を有し、カラム方向に第1の間隔を設けて繰り返しライン状に形成された第1,第2分離絶縁層33a,33bとなる。
続いて、図27及び図28に示すように、メモリ分離溝94の側面にコバルト(Co)膜をCVD法により堆積させる。この後、更に、RTA(Rapid Thermal Annealing)処理を施すことにより、コバルト膜は、第1〜第8ワード線導電層32a〜32hを構成するポリシリコン(p−Si)と自己整合的に反応し、第1〜第8ワード線導電層32a〜32hの表面にシリサイド膜36を形成する。なお、未反応のコバルト膜は、硫酸・過酸化水素水混合液中で除去する。
次に、図29及び図30に示すように、窒化シリコン(SiN)にてメモリ分離溝94を埋め、メモリ保護絶縁層34をメモリ分離溝94内へと延びるように形成する。
続いて、メモリ保護絶縁層34上に、ポリシリコン(p−Si)を堆積させる。そして、メモリ分離溝94と整合する位置で、選択トランジスタ分離溝95を形成する。選択トランジスタ分離溝95は、カラム方向に所定間隔を設けて繰り返しライン状に形成する。この工程によって、ドレイン側導電層41、及びソース側導電層42が形成される。
次に、図31及び図32に示すように、ドレイン側導電層41、及びソース側導電層42上に酸化シリコン(SiO)を堆積させ、選択トランジスタ絶縁層44を形成する。続いて、第2メモリホール35bと整合するように、選択トランジスタ絶縁層44、ドレイン側導電層41、及び層間絶縁層34を貫通するようにドレイン側ホール45aを形成する。また、第2メモリホール35bと整合するように、選択トランジスタ絶縁層44、ソース側導電層42、及び層間絶縁層34を貫通するようにソース側ホール45bを形成する。
次に、図33及び図34に示すように、窒化シリコン(SiN)を堆積させた後、リソグラフィ工程を行う。この工程によって、ドレイン側ホール45a及びソース側ホール45bの側壁にドレイン側ゲート絶縁層61a及びソース側ゲート絶縁層61bを形成する。
続いて、ドレイン側導電層41及びソース側導電層42より高い所定位置まで、ドレイン側ホール45a及びソース側ホール45b内のゲート絶縁層61aに接するようにポリシリコン(p−Si)を堆積させる。つまり、U字状半導体層63の上面をドレイン側導電層41及びソース側導電層42より高い所定位置まで延ばして形成する。
次に、図35及び図36に示すように、周辺領域Phにおいて、選択トランジスタ絶縁層44、層間絶縁層43、及びメモリ保護絶縁層34を貫通するように第1プラグホール85aを形成する。第1プラグホール85aは、ベース領域71、ゲート導電層82、バックゲート導電層22、第1〜第8ワード線導電層32a〜32h、ドレイン側導電層41、ソース側導電層42に達するように形成する。なお、図36においては、ソース側導電層42に達する第1プラグホール85aの図示、第1ワード線導電層32a、及び第3〜第8ワード線導電層32c〜32hに達する第1プラグホール85aの図示を省略している。
続いて、図37及び図38に示すように、カラム方向に隣接する各ソース側ホール45bの上部をカラム方向につなぐように選択トランジスタ絶縁層44を堀込み、ソース線配線溝45cを形成する。ソース線配線溝45cは、カラム方向に短手、ロウ方向に長手となる矩形状の開口を有するように形成する。同時に、周辺領域Phにおいては、各第1プラグホール85aの上部にて、選択トランジスタ絶縁層44を掘込み、第1配線溝85bを形成する。
次に、図39及び図40に示すように、ソース線配線溝45c、及び第1配線溝85b及び第1プラグホール85aを埋めるように、チタン(Ti)、窒化チタン(TiN)、タングステン(W)を順次堆積させる。この後、選択トランジスタ絶縁層44の上面に堆積されたチタン(Ti)、窒化チタン(TiN)、タングステン(W)をCMPにより除去する。上記工程(所謂、デュアルダマシン工程)を経て、ソース線配線溝45cを埋めるようにソース線導電層65を形成する。また、第1プラグホール85aを埋めるように第1プラグ導電層86aを形成し、第1配線溝85bを埋めるように第1配線層86bを形成する。
続いて、図41及び図42に示すように、選択トランジスタ絶縁層44上に、酸化シリコン(SiO)を堆積させ、第1配線絶縁層51を形成する。次に、第1配線絶縁層51を貫通するようにビット線プラグホール56、及び第2プラグホール85cを形成する。ビット線プラグホール56は、ドレイン側ホール45aに整合する位置に形成する。また、第2プラグホール85cは、第1プラグホール85aに整合する位置に形成する。
次に、ビット線プラグホール56及び第2プラグホール85cを埋めるように、チタン(Ti)、窒化チタン(TiN)、及びタングステン(W)を順次堆積する。続いて、第1配線絶縁層51上のチタン(Ti)、窒化チタン(TiN)、及びタングステン(W)をCMPにより除去する。上記図41及び図42に示す工程を経て、ビット線プラグホール56内には、ビット線プラグ層57が形成される。また、第2プラグホール85c内には、第2プラグ導電層86cが形成される。
続いて、図43及び図44に示すように、酸化シリコン(SiO)を堆積させ、第1配線絶縁層51の上面をさらに高く形成する。次に、第1配線絶縁層51を堀込むように、ビット線配線溝56aを形成する。ビット線配線溝56aは、ビット線プラグホール56に整合する位置に形成する。ビット線配線溝56aは、カラム方向に延びるように形成し、且つロウ方向に所定間隔を設けて繰り返しライン状に形成する。また、周辺領域Phにおいて、第1配線絶縁層51を堀込むように、第2配線溝85dを形成する。
次に、ビット線配線溝56a及び第2配線溝85dを埋めるように、タンタル(Ta)−窒化タンタル(TaN)−銅(Cu)を順次堆積させる。続いて、第1配線絶縁層51上のタンタル(Ta)−窒化タンタル(TaN)−銅(Cu)をCMPにより除去する。以上の工程を経て、ビット線配線溝56aには、ビット線導電層55が形成される。また、第2配線溝86dには、第2配線層86dが形成される。
続いて、図45及び図46に示すように、第1配線絶縁層51上に酸化シリコン(SiO)を堆積させ、第2配線絶縁層52を形成する。次に、周辺領域Phにおいて、第2配線絶縁層52を貫通するように、第3プラグホール85eを形成する。第3プラグホール85eは、第2配線溝86dに整合する位置に形成する。続いて、第3プラグホール85eを埋めるように、且つ第2配線絶縁層52の上面の所定高さまで(Ti)−窒化チタン(TiN)−アルミ・銅(AlCu)を順次堆積する。次に、チタン(Ti)−窒化チタン(TiN)−アルミ・銅(AlCu)を所定の形状に加工する。以上の工程を経て、チタン(Ti)−窒化チタン(TiN)−アルミ・銅(AlCu)から第3配線層84が形成される。また、同様の工程を経て、ボンディングパッドが形成される(図示略)。
図45及び図46に続いて、第2配線絶縁層52及び第3配線層84の上に、酸化シリコン(SiO)及び窒化シリコン(SiN)を堆積させ、第3配線絶縁層53及び第4配線絶縁層54を形成する。以上の工程を経て、図5及び図6に示すような第1実施形態に係る不揮発性半導体記憶装置100が製造される。
(第1実施形態に係る不揮発性半導体記憶装置100の効果)
次に、第1実施形態に係る不揮発性半導体記憶装置100の効果について説明する。第1実施形態に係る不揮発性半導体記憶装置100は、上記積層構造に示したように高集積化可能である。また、不揮発性半導体記憶装置100は、上記製造工程にて説明したように、メモリトランジスタMTrmnとなる各層、及びソース側選択トランジスタSSTrmn,ドレイン側選択トランジスタ層SDTrmnとなる各層を、ワード線WLmnの積層数に関係なく所定のリソグラフィ工程数で製造することができる。すなわち、安価に不揮発性半導体記憶装置100を製造することが可能である。
また、第1実施形態に係る不揮発性半導体記憶装置100は、U字状半導体層SCmnの連結部JPmn(U字状の下部)に接するバックゲート線BGを有する。そして、このバックゲート線BGは、連結部JPmnにチャネルを形成するバックゲートトランジスタBGTrmnとして機能する。したがって、ノン・ドープに近い状態のU字状半導体層SCmnにて、良好な導通を有するメモリストリングスMSを構成することができる。
また、第1実施形態に係る不揮発性半導体記憶装置100は、ソース線SL(ソース線導電層65)は、チタン(Ti)−窒化チタン(TiN)−タングステン(W)にて構成されている。したがって、第1実施形態に係る不揮発性半導体記憶装置100は、ソース線SLをポリシリコン等の半導体により構成した場合と比較して、読み出し速度を向上させることができる。
ここで、複数回に分けてポリシリコンを堆積させてU字状半導体層63を形成する比較例を考える。比較例の製造工程においては、例えば、第1メモリホール35a内に、犠牲層91の代わりとして、ポリシリコンを形成する。続いて、ポリシリコンの上部に第5〜第8ワード線導電層32e〜32hを形成し、第2メモリホール35bを形成し、第2メモリホール35b内にメモリゲート絶縁層32を形成する。
上記比較例においては、続いて、第2メモリホール35b内にポリシリコンを堆積させる際、第2メモリホール35bの底部(第1メモリホール35a内のポリシリコンの上面)の自然酸化膜をウェット処理によって、除去する必要が生じる。しかしながら、このウェット処理によって、第2メモリホール35b内のメモリゲート絶縁層32をエッチング除去してしまうという問題が生じる。
また、このような比較例における製造工程では、第1メモリホール35a内のポリシリコンと第2メモリホール35b内のポリシリコンとの間にコンタクト抵抗が生じる。このコンタクト抵抗により、U字状半導体層62を流れる電流は、不安定となる。
一方、第1実施形態に係る不揮発性半導体記憶装置100の製造工程においては、ウェット処理を行うことなく、メモリゲート絶縁層62、及びU字状半導体層63を形成することができる。つまり、バックゲートホール24、第1メモリホール35a、及び第2メモリホール35b内に連続したメモリゲート絶縁層62、及びU字状半導体層63を形成している。したがって、第1実施形態に係る不揮発性半導体記憶装置100において、メモリゲート絶縁層62は、エッチング除去されることなく、所定の厚みで形成することができる。また、ウェット処理の必要がなく、メモリゲート絶縁層62を構成する材料の選択の幅が広がる。したがって、メモリゲート絶縁層62を多値化に対応した材料にて構成することも可能である。これによりさらに、メモリ素子の高密度化を図ることができる。
更に、U字状半導体層63は、連続して形成されているので、第1メモリホール35aと第2メモリホール35bとの境界にコンタクト抵抗を有しない。したがって、第1実施形態に係る不揮発性半導体記憶装置100において、U字状半導体層62は、比較例よりも、安定して電流を流すことが可能である。
また、第1実施形態に係る不揮発性半導体記憶装置100においては、U字状半導体層62は、中空を有して形成されている。この構成により、バックゲートホール24の径、第1メモリホール35aの径、及び第2メモリホール35bの径によらず、一定の厚みを有するU字状半導体層62を形成することが可能である。つまり、第1実施形態に係る不揮発性半導体装置100は、製造時の開口径のバラツキによらず、メモリトランジスタMTrmnの特性を保つことが可能である。
また、第1実施形態に係る不揮発性半導体記憶装置100においては、第1メモリホール35aと第2メモリホール35bとは積層方向にてオーバラップして形成されている。したがって、第1メモリホール35aの中心位置と第2メモリホール35bの中心位置とが、所定長さずれる場合であっても、第1メモリホール35aと第2メモリホール35bとを連通させることができる。つまり、第1実施形態に係る不揮発性半導体記憶装置100は、信頼性を高めることが可能であり、また歩留まりの低下を抑制することができる。
また、第1実施形態に係る不揮発性半導体装置100においては、ドレイン側選択トランジスタ層41、及びソース側選択トランジスタ層42は、堆積させた同一の層から構成する。したがって、第1実施形態に係る不揮発性半導体装置100は、プロセスコストを低減することができる。
以上のように、第1実施形態に係る不揮発性半導体記憶装置100は、高い信頼性を有し且つ安価に製造することが可能である。
[第2実施形態]
(第2実施形態に係る不揮発性半導体記憶装置の構成)
次に、図47及び図48を参照して、第2実施形態に係る不揮発性半導体記憶装置の構成について説明する。図47は、第2実施形態に係る不揮発性半導体記憶装置のメモリトランジスタ領域の一部概略斜視図であり、図48は、メモリトランジスタ領域の断面図である。
図47及び図48に示すように、第2実施形態に係る不揮発性半導体記憶装置においては、第1実施形態と比較して、メモリトランジスタ層30a、及び選択トランジスタ層40aの構成が異なる。
メモリトランジスタ層30a、及び選択トランジスタ層40aにおいては、第1実施形態と比較して、ソース側選択ゲート線SGS’(ソース側導電層421)、ワード線WL1’〜WL8’(第1〜第8ワード線導電層321a〜321h)の構成が異なる。
第2実施形態において、ワード線WL1’〜WL8’、及びソース側選択ゲート線SGS’は、カラム方向に隣接する一対の柱状部CLmn(U字状半導体層63)を取り囲むように形成されている。換言すると、ワード線WL1’〜WL8’、及びソース側選択ゲート線SGS’は、カラム方向に隣接するU字状半導体層Smnを構成する一対の柱状部CLmn毎に分割されている。なお、ドレイン側選択ゲート線SGDは、第1実施形態と同様の構成を有する。ソース側選択ゲート線SGS’も、第1実施形態と同様の構成としてもよい。
(第2実施形態に係る不揮発性半導体記憶装置の製造方法)
次に、第2実施形態に係る不揮発性半導体記憶装置の製造方法を説明する。第2実施形態に係る不揮発性半導体記憶装置の製造工程においては、第1実施形態の図25及び図26に示す工程にて、カラム方向に隣接する各U字状半導体層63の間に、メモリ分離溝94を形成しない。換言すると、第2実施形態に係る不揮発性半導体記憶装置の製造工程においては、各U字状半導体層63のカラム方向の中心にのみにメモリ分離溝94を形成する。この後、第1実施形態と同様の工程を経て、第2実施形態に係る不揮発性半導体記憶装置は製造される。
(第2実施形態に係る不揮発性半導体記憶装置の効果)
第2実施形態に係る不揮発性半導体記憶装置は、第1実施形態と同様の効果を奏する。
また、第2実施形態に係る不揮発性半導体記憶装置において、ワード線WL5’〜WL8’、及びソース側選択ゲート線SGS’は、カラム方向に隣接する一対の柱状部CLを取り囲むように形成されている。つまり、ワード線WL1’〜WL8’、及びソース側選択ゲート線SGS’は、第1実施形態と比較して、カラム方向に幅広に形成されている。これにより、第2実施形態に係る不揮発性半導体記憶装置は、第1実施形態と比較して、第1プラグ導電層86aとソース側選択ゲート線SGS’(ソース側導電層421)との間のコンタクト抵抗、及び第1プラグ導電層86aとワード線WL1’〜WL8’ (第1〜第8ワード線導電層321a〜321h)との間のコンタクト抵抗を低減させることができる。
また、第2実施形態に係る不揮発性半導体装置は、第1実施形態と比較して、その製造工程において、カラム方向に隣接するU字状半導体層63の間に、メモリ分離溝94を形成しない。したがって、第1実施形態の図27及び図28に示す工程(シリサイド工程)を行う際、金属膜を形成する部分のアスペクト比を低下させることができるので、そのシリサイド工程におけるプロセス安定性を向上させることができる。
[第3実施形態]
(第3実施形態に係る不揮発性半導体記憶装置の構成)
次に、図49及び図50を参照して、第3実施形態に係る不揮発性半導体記憶装置の構成について説明する。図49は、第3実施形態に係る不揮発性半導体記憶装置のメモリトランジスタ領域の一部概略斜視図であり、図50は、メモリトランジスタ領域の断面図である。
図49及び図50に示すように、第3実施形態に係る不揮発性半導体記憶装置は、第1実施形態と比較して、バックゲート線BG’(バックゲートトランジスタ層20a)の構成が異なる。第3実施形態に係るバックゲート線BG’ (バックゲートトランジスタ層20a)は、第1バックゲート線BG1’(第1バックゲート導電層22a)、及び第1バックゲート線BG1’ (第1バックゲート導電層22a)の上部に形成された第2バックゲート線BG2’(第2バックゲート導電層22b)を有する。第1バックゲート線BG1’ (第1バックゲート導電層22a)は、第1実施形態と同様に連結部JPmn(U字状半導体層63の下部)の下面を及び側面を覆い且つ上面と同じ高さまで形成されている。第2バックゲート線BG2’ (第2バックゲート導電層22b)は、連結部JPmn(連結部63a)の上面を覆うように形成されている。
(第3実施形態に係る不揮発性半導体記憶装置の製造方法)
次に、第3実施形態に係る不揮発性半導体記憶装置の製造方法を説明する。第3実施形態に係る不揮発性半導体記憶装置は、第1実施形態の図11及び図12に示す工程を経て、第1バックゲート導電層22aを形成する。続いて、第1犠牲層91を形成した後、第1犠牲層91上にポリシリコンを堆積させ、さらに第2バックゲート導電層22bを形成する。この後、第1実施形態の図13〜図46に示す工程を経て、図50に示す第3実施形態に係る不揮発性半導体記憶装置が製造される。
(第3実施形態に係る不揮発性半導体記憶装置の効果)
第3実施形態に係る不揮発性半導体記憶装置は、第1実施形態と同様の効果を奏する。
また、第3実施形態に係る不揮発性半導体記憶装置は、連結部JPmnの下面及び側面を覆い且つ上面と同じ高さまで形成された第1バックゲート線BG1’、及び連結部JPmnの上端を覆う第2バックゲート線BG2’を有する。したがって、第1バックゲート線BG1’及び第2バックゲート線BG2’により、連結部JPmnの全周に亘ってチャネルを形成することができる。つまり、第3実施形態に係る不揮発性半導体記憶装置は、第1及び第2実施形態と比較して、連結部JPmnをさらに低抵抗化することができる。
また、製造工程において、上記第2バックゲート線BG2’の厚みを変えることにより、第1及び第2実施形態と比較して、最下層のワード線WLmnと連結部JPmnとの間の距離を容易に設計変更することが可能である。
[第4実施形態]
(第4実施形態に係る不揮発性半導体記憶装置の構成)
次に、図51を参照して、第4実施形態に係る不揮発性半導体記憶装置の構成について説明する。図51は、第4実施形態に係る不揮発性半導体記憶装置のメモリトランジスタ領域の一部概略上面図である。
図51に示すように、第4実施形態に係る不揮発性半導体記憶装置は、第1実施形態と比較して、ワード線WLmn’’の構成が異なる。
第4実施形態において、各ワード線WLmn’’は、積層方向の各位置においてロウ方向及びカラム方向に2次元に広がる形状を有する。また、上面からみて、各ワード線WLmn’’は、ロウ方向の所定位置Aを中心に駆歯状となるように破断されている。そして、各ワード線WLmn’’が破断された領域Bの上層にビット線BLが形成されている。つまり、この領域Bが、メモリトランジスタ領域12’として機能する。
(第4実施形態に係る不揮発性半導体記憶装置の効果)
第4実施形態に係る不揮発性半導体記憶装置は、第1実施形態と同様の効果を奏する。
また、第4実施形態に係る不揮発性半導体記憶装置において、上面からみて、各ワード線WLmn’’ は、積層方向の各位置においてロウ方向及びカラム方向に2次元に広がる形状を有する。また、上面からみて、各ワード線WLmn’’は、ロウ方向の所定位置Aを中心に駆歯状となるように破断されている。したがって、第4実施形態に係る不揮発性半導体記憶装置は、第1〜第3実施形態のようにワード線WLmnをライン状に加工しないため、第1〜第3実施形態よりも製造工程を容易に行うことができる。また、上記ワード線WLmn’’の構成から、ワード線駆動回路13を共通化することができる。したがって、第4実施形態に係る不揮発性半導体記憶装置は、ワード線駆動回路13等を含む制御回路の占有面積を縮小化することができる。
[その他実施形態]
以上、不揮発性半導体記憶装置の一実施形態を説明してきたが、本発明は、上記実施形態に限定されるものではなく、発明の趣旨を逸脱しない範囲内において種々の変更、追加、置換等が可能である。
例えば、上記第1実施形態において、バックゲート線BGは、読み出し動作、書き込み動作、消去動作の実行に際して、導通電圧Vjを印加する構成としたが、通常時においても導通電圧Vjを印加する構成としてもよい。
また、上記第1実施形態において、バックゲート導電層22は、U字状半導体層63の連結部63aの下面及び側面を覆う構成であるが、バックゲート導電層22は、連結部63aの側面のみを覆う構成としてもよい。また、バックゲート導電層22は、連結部63aの底面のみを覆う構成としてもよい。
本発明の第1実施形態に係る不揮発性半導体記憶装置100の構成概略図である。 本発明の第1実施形態に係るメモリトランジスタ領域12の一部概略斜視図である。 本発明の第1実施形態に係る一つのメモリストリングスMSの拡大図である。 本発明の第1実施形態に係る一つのメモリストリングスMSの回路図である。 第1実施形態に係るメモリトランジスタ領域12の断面図である。 第1実施形態に係るメモリトランジスタ領域12の終端から周辺領域Phのロウ方向断面図である。 第1実施形態に係る製造工程を示すメモリトランジスタ領域12の断面図である。 第1実施形態に係る製造工程を示すメモリトランジスタ領域12の終端から周辺領域Phのロウ方向断面図である。 第1実施形態に係る製造工程を示すメモリトランジスタ領域12の断面図である。 第1実施形態に係る製造工程を示すメモリトランジスタ領域12の終端から周辺領域Phのロウ方向断面図である。 第1実施形態に係る製造工程を示すメモリトランジスタ領域12の断面図である。 第1実施形態に係る製造工程を示すメモリトランジスタ領域12の終端から周辺領域Phのロウ方向断面図である。 第1実施形態に係る製造工程を示すメモリトランジスタ領域12の断面図である。 第1実施形態に係る製造工程を示すメモリトランジスタ領域12の終端から周辺領域Phのロウ方向断面図である。 第1実施形態に係る製造工程を示すメモリトランジスタ領域12の断面図である。 第1実施形態に係る製造工程を示すメモリトランジスタ領域12の終端から周辺領域Phのロウ方向断面図である。 第1実施形態に係る製造工程を示すメモリトランジスタ領域12の断面図である。 第1実施形態に係る製造工程を示すメモリトランジスタ領域12の終端から周辺領域Phのロウ方向断面図である。 第1実施形態に係る製造工程を示すメモリトランジスタ領域12の断面図である。 第1実施形態に係る製造工程を示すメモリトランジスタ領域12の終端から周辺領域Phのロウ方向断面図である。 第1実施形態に係る製造工程を示すメモリトランジスタ領域12の断面図である。 第1実施形態に係る製造工程を示すメモリトランジスタ領域12の終端から周辺領域Phのロウ方向断面図である。 第1実施形態に係る製造工程を示すメモリトランジスタ領域12の断面図である。 第1実施形態に係る製造工程を示すメモリトランジスタ領域12の終端から周辺領域Phのロウ方向断面図である。 第1実施形態に係る製造工程を示すメモリトランジスタ領域12の断面図である。 第1実施形態に係る製造工程を示すメモリトランジスタ領域12の終端から周辺領域Phのロウ方向断面図である。 第1実施形態に係る製造工程を示すメモリトランジスタ領域12の断面図である。 第1実施形態に係る製造工程を示すメモリトランジスタ領域12の終端から周辺領域Phのロウ方向断面図である。 第1実施形態に係る製造工程を示すメモリトランジスタ領域12の断面図である。 第1実施形態に係る製造工程を示すメモリトランジスタ領域12の終端から周辺領域Phのロウ方向断面図である。 第1実施形態に係る製造工程を示すメモリトランジスタ領域12の断面図である。 第1実施形態に係る製造工程を示すメモリトランジスタ領域12の終端から周辺領域Phのロウ方向断面図である。 第1実施形態に係る製造工程を示すメモリトランジスタ領域12の断面図である。 第1実施形態に係る製造工程を示すメモリトランジスタ領域12の終端から周辺領域Phのロウ方向断面図である。 第1実施形態に係る製造工程を示すメモリトランジスタ領域12の断面図である。 第1実施形態に係る製造工程を示すメモリトランジスタ領域12の終端から周辺領域Phのロウ方向断面図である。 第1実施形態に係る製造工程を示すメモリトランジスタ領域12の断面図である。 第1実施形態に係る製造工程を示すメモリトランジスタ領域12の終端から周辺領域Phのロウ方向断面図である。 第1実施形態に係る製造工程を示すメモリトランジスタ領域12の断面図である。 第1実施形態に係る製造工程を示すメモリトランジスタ領域12の終端から周辺領域Phのロウ方向断面図である。 第1実施形態に係る製造工程を示すメモリトランジスタ領域12の断面図である。 第1実施形態に係る製造工程を示すメモリトランジスタ領域12の終端から周辺領域Phのロウ方向断面図である。 第1実施形態に係る製造工程を示すメモリトランジスタ領域12の断面図である。 第1実施形態に係る製造工程を示すメモリトランジスタ領域12の終端から周辺領域Phのロウ方向断面図である。 第1実施形態に係る製造工程を示すメモリトランジスタ領域12の断面図である。 第1実施形態に係る製造工程を示すメモリトランジスタ領域12の終端から周辺領域Phのロウ方向断面図である。 本発明の第2実施形態に係る不揮発性半導体記憶装置のメモリトランジスタ領域の一部概略斜視図である。 第2実施形態に係るメモリトランジスタ領域の断面図である。 本発明の第3実施形態に係る不揮発性半導体記憶装置のメモリトランジスタ領域の一部概略斜視図である。 第3実施形態に係るメモリトランジスタ領域の断面図である。 本発明の第4実施形態に係る不揮発性半導体記憶装置のメモリトランジスタ領域の一部概略上面図である。
符号の説明
100…不揮発性半導体記憶装置、12…メモリトランジスタ領域、13…ワード線駆動回路、14…ソース側選択ゲート線駆動回路、15…ドレイン側選択ゲート線駆動回路、16…センスアンプ、17…ソース線駆動回路、18…バックゲートトランジスタ駆動回路、20,20a…バックゲートトランジスタ層、30,30a…メモリトランジスタ層、40,40a…選択トランジスタ層、Ba…半導体基板、CLmn…U字状半導体、MTr1mn〜MTr8mn…メモリトランジスタ、SSTrmn…ソース側選択トランジスタ、SDTrmn…ドレイン側選択トランジスタ、BGTrmn…バックゲートトランジスタ。

Claims (4)

  1. 電気的に書き換え可能な複数のメモリセルが直列に接続された複数のメモリストリングスを有する不揮発性半導体記憶装置であって、
    前記メモリストリングスは、
    基板に対して垂直方向に延びる一対の柱状部、及び前記一対の柱状部の下端を連結させるように形成された連結部を有する半導体層と、
    前記柱状部の側面を取り囲むように形成された電荷蓄積層と、
    前記柱状部の側面及び前記電荷蓄積層を取り囲むように形成された第1導電層と
    前記連結部に絶縁層を介して接するように形成された第2導電層と
    を備え、
    前記第1導電層は、前記メモリセルの制御電極として機能し、
    前記第2導電層は、前記連結部にチャネルを形成するトランジスタの制御電極として機能する
    ことを特徴とする不揮発性半導体記憶装置。
  2. 各々の前記第1導電層は、第1方向に隣接する各前記柱状部毎に分割されている
    ことを特徴とする請求項記載の不揮発性半導体記憶装置。
  3. 各々の前記第1導電層は、第1方向に隣接する前記半導体層を構成する一対の前記柱状部毎に分割されている
    ことを特徴とする請求項記載の不揮発性半導体記憶装置。
  4. 前記第2導電層は、前記連結部の下面、側面、及び上面を取り囲むように形成されている
    ことを特徴とする請求項1乃至請求項のいずれか1項記載の不揮発性半導体記憶装置。
JP2007320215A 2007-12-11 2007-12-11 不揮発性半導体記憶装置 Expired - Fee Related JP5142692B2 (ja)

Priority Applications (18)

Application Number Priority Date Filing Date Title
JP2007320215A JP5142692B2 (ja) 2007-12-11 2007-12-11 不揮発性半導体記憶装置
US12/679,991 US8372720B2 (en) 2007-12-11 2008-12-09 Non-volatile semiconductor storage device and method of manufacturing the same
CN2008801201725A CN102037557B (zh) 2007-12-11 2008-12-09 非易失性半导体存储器件及其制造方法
EP08860456.6A EP2201601B1 (en) 2007-12-11 2008-12-09 Non-volatile semiconductor storage device and method of manufacturing the same
PCT/JP2008/072727 WO2009075370A1 (en) 2007-12-11 2008-12-09 Non-volatile semiconductor storage device and method of manufacturing the same
KR1020107013010A KR101239559B1 (ko) 2007-12-11 2008-12-09 불휘발성 반도체 기억 장치 및 이를 제조하는 방법
TW097148254A TWI384615B (zh) 2007-12-11 2008-12-11 非揮發性半導體記憶裝置及其製造方法
US13/740,803 US8729624B2 (en) 2007-12-11 2013-01-14 Non-volatile semiconductor storage device and method of manufacturing the same
US14/246,849 US9035374B2 (en) 2007-12-11 2014-04-07 Non-volatile semiconductor storage device and method of manufacturing the same
US14/668,270 US9356042B2 (en) 2007-12-11 2015-03-25 Non-volatile semiconductor storage device and method of manufacturing the same
US15/141,135 US9741738B2 (en) 2007-12-11 2016-04-28 Non-volatile semiconductor storage device and method of manufacturing the same
US15/664,924 US9985050B2 (en) 2007-12-11 2017-07-31 Non-volatile semiconductor storage device and method of manufacturing the same
US15/960,842 US10163931B2 (en) 2007-12-11 2018-04-24 Non-volatile semiconductor storage device and method of manufacturing the same
US16/204,444 US20190096908A1 (en) 2007-12-11 2018-11-29 Non-volatile semiconductor storage device and method of manufacturing the same
US15/929,185 US11393840B2 (en) 2007-12-11 2019-12-11 Non-volatile semiconductor storage device and method of manufacturing the same
US17/499,357 US11574926B2 (en) 2007-12-11 2021-10-12 Non-volatile semiconductor storage device and method of manufacturing the same
US17/843,320 US11844218B2 (en) 2007-12-11 2022-06-17 Non-volatile semiconductor storage device and method of manufacturing the same
US18/091,728 US20230146470A1 (en) 2007-12-11 2022-12-30 Non-volatile semiconductor storage device and method of manufacturing the same

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2007320215A JP5142692B2 (ja) 2007-12-11 2007-12-11 不揮発性半導体記憶装置

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2012251671A Division JP5908389B2 (ja) 2012-11-15 2012-11-15 不揮発性半導体記憶装置

Publications (2)

Publication Number Publication Date
JP2009146954A JP2009146954A (ja) 2009-07-02
JP5142692B2 true JP5142692B2 (ja) 2013-02-13

Family

ID=40755605

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2007320215A Expired - Fee Related JP5142692B2 (ja) 2007-12-11 2007-12-11 不揮発性半導体記憶装置

Country Status (7)

Country Link
US (12) US8372720B2 (ja)
EP (1) EP2201601B1 (ja)
JP (1) JP5142692B2 (ja)
KR (1) KR101239559B1 (ja)
CN (1) CN102037557B (ja)
TW (1) TWI384615B (ja)
WO (1) WO2009075370A1 (ja)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9985044B2 (en) 2016-03-11 2018-05-29 Toshiba Memory Corporation Semiconductor memory device and method for manufacturing the same
US10553610B2 (en) 2018-03-29 2020-02-04 Samsung Electronics Co., Ltd. Three-dimensional semiconductor memory devices
US11588035B2 (en) 2019-12-24 2023-02-21 Samsung Electronics Co., Ltd. Semiconductor devices

Families Citing this family (591)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4468433B2 (ja) 2007-11-30 2010-05-26 株式会社東芝 不揮発性半導体記憶装置
JP5142692B2 (ja) 2007-12-11 2013-02-13 株式会社東芝 不揮発性半導体記憶装置
JP5112201B2 (ja) 2008-07-11 2013-01-09 株式会社東芝 不揮発性半導体記憶装置
JP5288936B2 (ja) * 2008-08-12 2013-09-11 株式会社東芝 不揮発性半導体記憶装置
JP2010080561A (ja) 2008-09-25 2010-04-08 Toshiba Corp 不揮発性半導体記憶装置
JP5388537B2 (ja) 2008-10-20 2014-01-15 株式会社東芝 不揮発性半導体記憶装置、及びその製造方法
JP5364336B2 (ja) 2008-11-04 2013-12-11 株式会社東芝 半導体記憶装置
JP5300419B2 (ja) * 2008-11-05 2013-09-25 株式会社東芝 不揮発性半導体記憶装置及びその製造方法
JP5364342B2 (ja) * 2008-11-10 2013-12-11 株式会社東芝 不揮発性半導体記憶装置、及びその製造方法
JP2010118530A (ja) 2008-11-13 2010-05-27 Toshiba Corp 不揮発性半導体記憶装置
JP2010118580A (ja) 2008-11-14 2010-05-27 Toshiba Corp 不揮発性半導体記憶装置
JP5356005B2 (ja) 2008-12-10 2013-12-04 株式会社東芝 不揮発性半導体記憶装置及びその製造方法
JP5317664B2 (ja) 2008-12-17 2013-10-16 株式会社東芝 不揮発性半導体記憶装置の製造方法
JP2010161132A (ja) 2009-01-07 2010-07-22 Toshiba Corp 不揮発性半導体記憶装置、及びその製造方法
JP5275052B2 (ja) 2009-01-08 2013-08-28 株式会社東芝 不揮発性半導体記憶装置
JP5388600B2 (ja) 2009-01-22 2014-01-15 株式会社東芝 不揮発性半導体記憶装置の製造方法
JP5376976B2 (ja) 2009-02-06 2013-12-25 株式会社東芝 不揮発性半導体記憶装置及びその製造方法
JP5364394B2 (ja) 2009-02-16 2013-12-11 株式会社東芝 不揮発性半導体記憶装置
JP5383241B2 (ja) 2009-02-16 2014-01-08 株式会社東芝 不揮発性半導体記憶装置及びその製造方法
JP2010199235A (ja) 2009-02-24 2010-09-09 Toshiba Corp 不揮発性半導体記憶装置
JP5395460B2 (ja) * 2009-02-25 2014-01-22 株式会社東芝 不揮発性半導体記憶装置、及びその製造方法
JP5330027B2 (ja) 2009-02-25 2013-10-30 株式会社東芝 不揮発性半導体記憶装置、及びその製造方法
JP2010205904A (ja) 2009-03-03 2010-09-16 Toshiba Corp 不揮発性半導体記憶装置の製造方法及び不揮発性半導体記憶装置
JP5279560B2 (ja) 2009-03-11 2013-09-04 株式会社東芝 不揮発性半導体記憶装置
KR101539699B1 (ko) 2009-03-19 2015-07-27 삼성전자주식회사 3차원 구조의 비휘발성 메모리 소자 및 그 제조방법
JP4897009B2 (ja) 2009-03-24 2012-03-14 株式会社東芝 不揮発性半導体記憶装置の製造方法
JP5398378B2 (ja) 2009-06-24 2014-01-29 株式会社東芝 半導体記憶装置及びその製造方法
JP2011009409A (ja) 2009-06-25 2011-01-13 Toshiba Corp 不揮発性半導体記憶装置
JP5306080B2 (ja) * 2009-07-01 2013-10-02 株式会社東芝 不揮発性半導体記憶装置及びその製造方法
JP5464928B2 (ja) * 2009-07-02 2014-04-09 ルネサスエレクトロニクス株式会社 半導体装置及び半導体装置の製造方法
JP2011014817A (ja) 2009-07-06 2011-01-20 Toshiba Corp 不揮発性半導体記憶装置
JP2011040706A (ja) 2009-07-15 2011-02-24 Toshiba Corp 不揮発性半導体記憶装置
JP2011023687A (ja) 2009-07-21 2011-02-03 Toshiba Corp 不揮発性半導体記憶装置
JP2011029234A (ja) 2009-07-21 2011-02-10 Toshiba Corp 不揮発性半導体記憶装置
JP5380190B2 (ja) 2009-07-21 2014-01-08 株式会社東芝 不揮発性半導体記憶装置及びその製造方法
KR101660944B1 (ko) 2009-07-22 2016-09-28 삼성전자 주식회사 수직형의 비휘발성 메모리 소자 및 그 제조 방법
JP2011035228A (ja) 2009-08-04 2011-02-17 Toshiba Corp 不揮発性半導体記憶装置及びその製造方法
JP2011035237A (ja) * 2009-08-04 2011-02-17 Toshiba Corp 半導体装置の製造方法及び半導体装置
JP4977180B2 (ja) 2009-08-10 2012-07-18 株式会社東芝 不揮発性半導体記憶装置の製造方法
JP2011049206A (ja) * 2009-08-25 2011-03-10 Toshiba Corp 半導体装置の製造方法及び半導体装置
JP5052575B2 (ja) 2009-09-01 2012-10-17 株式会社東芝 不揮発性半導体記憶装置
JP2011054802A (ja) 2009-09-02 2011-03-17 Toshiba Corp 不揮発性半導体記憶装置、及びその製造方法
JP4982540B2 (ja) 2009-09-04 2012-07-25 株式会社東芝 不揮発性半導体記憶装置、及びその製造方法
JP4922370B2 (ja) * 2009-09-07 2012-04-25 株式会社東芝 不揮発性半導体記憶装置、及びその製造方法
KR101164954B1 (ko) * 2009-09-14 2012-07-12 에스케이하이닉스 주식회사 3차원 구조를 갖는 비휘발성 메모리 소자 및 그 제조 방법
JP2011061159A (ja) * 2009-09-14 2011-03-24 Toshiba Corp 不揮発性半導体記憶装置
KR101698193B1 (ko) * 2009-09-15 2017-01-19 삼성전자주식회사 3차원 반도체 메모리 장치 및 그 제조 방법
JP4975794B2 (ja) 2009-09-16 2012-07-11 株式会社東芝 不揮発性半導体記憶装置
JP4913188B2 (ja) 2009-09-18 2012-04-11 株式会社東芝 不揮発性半導体記憶装置
JP5044624B2 (ja) 2009-09-25 2012-10-10 株式会社東芝 不揮発性半導体記憶装置
JP5297342B2 (ja) 2009-11-02 2013-09-25 株式会社東芝 不揮発性半導体記憶装置
JP5259552B2 (ja) 2009-11-02 2013-08-07 株式会社東芝 不揮発性半導体記憶装置及びその駆動方法
JP2011108921A (ja) * 2009-11-19 2011-06-02 Toshiba Corp 不揮発性半導体記憶装置及びその製造方法
JP5457815B2 (ja) 2009-12-17 2014-04-02 株式会社東芝 不揮発性半導体記憶装置
JP5394270B2 (ja) * 2010-01-25 2014-01-22 株式会社東芝 不揮発性半導体記憶装置及びその製造方法
JP2011171698A (ja) * 2010-01-25 2011-09-01 Toshiba Corp 半導体装置の製造方法
JP5378255B2 (ja) * 2010-02-02 2013-12-25 株式会社東芝 不揮発性半導体記憶装置および不揮発性半導体記憶装置の駆動方法
JP2011159364A (ja) 2010-02-02 2011-08-18 Toshiba Corp 不揮発性半導体記憶装置および不揮発性半導体記憶装置の駆動方法
JP2011165815A (ja) 2010-02-08 2011-08-25 Toshiba Corp 不揮発性半導体記憶装置
JP2011166061A (ja) 2010-02-15 2011-08-25 Toshiba Corp 半導体装置の製造方法
KR101652873B1 (ko) * 2010-02-18 2016-08-31 삼성전자주식회사 3차원 반도체 장치 및 그 동작 방법
KR101702060B1 (ko) * 2010-02-19 2017-02-02 삼성전자주식회사 3차원 반도체 장치의 배선 구조체
JP5144698B2 (ja) 2010-03-05 2013-02-13 株式会社東芝 半導体記憶装置及びその製造方法
JP5248541B2 (ja) 2010-03-05 2013-07-31 株式会社東芝 半導体記憶装置の動作方法
JP2011187794A (ja) 2010-03-10 2011-09-22 Toshiba Corp 半導体記憶装置及びその製造方法
JP2011198806A (ja) 2010-03-17 2011-10-06 Toshiba Corp 半導体記憶装置及びその製造方法
JP5121869B2 (ja) 2010-03-23 2013-01-16 株式会社東芝 不揮発性半導体記憶装置の製造方法
JP2011198435A (ja) 2010-03-23 2011-10-06 Toshiba Corp 不揮発性半導体記憶装置
JP5072995B2 (ja) * 2010-03-24 2012-11-14 株式会社東芝 不揮発性半導体記憶装置
US9536970B2 (en) 2010-03-26 2017-01-03 Samsung Electronics Co., Ltd. Three-dimensional semiconductor memory devices and methods of fabricating the same
KR101738533B1 (ko) * 2010-05-24 2017-05-23 삼성전자 주식회사 적층 메모리 장치 및 그 제조 방법
JP5504053B2 (ja) 2010-05-27 2014-05-28 株式会社東芝 半導体装置及びその製造方法
JP2011258776A (ja) 2010-06-09 2011-12-22 Toshiba Corp 不揮発性半導体メモリ
JP5514004B2 (ja) 2010-06-15 2014-06-04 株式会社東芝 半導体記憶装置及びその製造方法
JP5491982B2 (ja) 2010-06-21 2014-05-14 株式会社東芝 不揮発性半導体記憶装置及びその製造方法
JP2012009512A (ja) 2010-06-22 2012-01-12 Toshiba Corp 不揮発性半導体記憶装置及びその製造方法
US8980731B2 (en) 2010-06-24 2015-03-17 Samsung Electronics Co., Ltd. Methods of forming a semiconductor device
KR101713228B1 (ko) 2010-06-24 2017-03-07 삼성전자주식회사 비대칭 워드라인 패드를 갖는 반도체 메모리 소자
JP2012009701A (ja) * 2010-06-25 2012-01-12 Toshiba Corp 不揮発性半導体記憶装置
US8928061B2 (en) 2010-06-30 2015-01-06 SanDisk Technologies, Inc. Three dimensional NAND device with silicide containing floating gates
US10128261B2 (en) 2010-06-30 2018-11-13 Sandisk Technologies Llc Cobalt-containing conductive layers for control gate electrodes in a memory structure
US9397093B2 (en) 2013-02-08 2016-07-19 Sandisk Technologies Inc. Three dimensional NAND device with semiconductor, metal or silicide floating gates and method of making thereof
US9159739B2 (en) 2010-06-30 2015-10-13 Sandisk Technologies Inc. Floating gate ultrahigh density vertical NAND flash memory
US8187936B2 (en) * 2010-06-30 2012-05-29 SanDisk Technologies, Inc. Ultrahigh density vertical NAND memory device and method of making thereof
KR20120003351A (ko) 2010-07-02 2012-01-10 삼성전자주식회사 3차원 비휘발성 메모리 장치 및 그 동작방법
JP5502629B2 (ja) 2010-07-12 2014-05-28 株式会社東芝 不揮発性半導体記憶装置、及びその製造方法
JP2012028537A (ja) 2010-07-22 2012-02-09 Toshiba Corp 不揮発性半導体記憶装置及びその製造方法
JP5468489B2 (ja) * 2010-07-29 2014-04-09 株式会社東芝 半導体記憶装置の動作方法
JP5349423B2 (ja) 2010-08-20 2013-11-20 株式会社東芝 不揮発性半導体記憶装置及びその製造方法
JP2012059830A (ja) 2010-09-07 2012-03-22 Toshiba Corp 半導体記憶装置
KR101738103B1 (ko) * 2010-09-10 2017-05-22 삼성전자주식회사 3차원 반도체 기억 소자
JP2012069606A (ja) 2010-09-21 2012-04-05 Toshiba Corp 不揮発性半導体記憶装置
JP5259666B2 (ja) 2010-09-22 2013-08-07 株式会社東芝 不揮発性半導体記憶装置
JP2012069205A (ja) 2010-09-22 2012-04-05 Toshiba Corp 不揮発性半導体記憶装置
JP5422530B2 (ja) 2010-09-22 2014-02-19 株式会社東芝 半導体記憶装置及びその製造方法
KR101149619B1 (ko) 2010-11-19 2012-05-25 에스케이하이닉스 주식회사 3차원 구조의 비휘발성 메모리 소자 및 그 제조 방법
JP2012119013A (ja) 2010-11-29 2012-06-21 Toshiba Corp 不揮発性半導体記憶装置
JP2012146350A (ja) 2011-01-07 2012-08-02 Toshiba Corp 不揮発性半導体記憶装置
US8441855B2 (en) * 2011-01-14 2013-05-14 Micron Technology, Inc. Strings of memory cells having string select gates, memory devices incorporating such strings, and methods of accessing and forming the same
JP2012151187A (ja) 2011-01-17 2012-08-09 Toshiba Corp 半導体記憶装置の製造方法
JP2012168999A (ja) 2011-02-10 2012-09-06 Toshiba Corp 不揮発性半導体記憶装置の動作方法
JP2012174872A (ja) 2011-02-21 2012-09-10 Toshiba Corp 半導体記憶装置
JP5431386B2 (ja) 2011-02-22 2014-03-05 株式会社東芝 半導体記憶装置
JP2012174892A (ja) * 2011-02-22 2012-09-10 Toshiba Corp 半導体記憶装置及びその製造方法
KR101206506B1 (ko) * 2011-03-04 2012-11-29 에스케이하이닉스 주식회사 비휘발성 메모리 장치 및 그 제조 방법
KR101721117B1 (ko) 2011-03-15 2017-03-29 삼성전자 주식회사 반도체 소자의 제조 방법
JP5398766B2 (ja) 2011-03-16 2014-01-29 株式会社東芝 半導体装置及びその製造方法
JP2012203929A (ja) 2011-03-23 2012-10-22 Toshiba Corp 半導体記憶装置
JP2012204493A (ja) 2011-03-24 2012-10-22 Toshiba Corp 不揮発性半導体記憶装置
JP2012204430A (ja) * 2011-03-24 2012-10-22 Toshiba Corp 不揮発性半導体記憶装置及びその製造方法
JP2012204684A (ja) 2011-03-25 2012-10-22 Toshiba Corp 不揮発性半導体記憶装置
JP5351201B2 (ja) * 2011-03-25 2013-11-27 株式会社東芝 不揮発性半導体記憶装置及びその製造方法
JP2012204592A (ja) * 2011-03-25 2012-10-22 Toshiba Corp 半導体装置の製造方法
JP5411193B2 (ja) 2011-03-25 2014-02-12 株式会社東芝 不揮発性半導体記憶装置の製造方法
KR101206157B1 (ko) * 2011-04-26 2012-11-28 에스케이하이닉스 주식회사 3차원 구조의 비휘발성 메모리 소자 및 그 제조 방법
KR101807254B1 (ko) 2011-04-29 2018-01-11 삼성전자주식회사 반도체 기억 소자의 형성 방법
JP2012252740A (ja) 2011-06-02 2012-12-20 Toshiba Corp 不揮発性半導体記憶装置
JP5524134B2 (ja) 2011-06-14 2014-06-18 株式会社東芝 不揮発性半導体記憶装置
JP2013012553A (ja) 2011-06-28 2013-01-17 Toshiba Corp 半導体記憶装置
JP5593283B2 (ja) 2011-08-04 2014-09-17 株式会社東芝 半導体記憶装置及びその製造方法
KR101863367B1 (ko) * 2011-08-26 2018-06-01 에스케이하이닉스 주식회사 3차원 불휘발성 메모리 소자의 제조방법
JP5514172B2 (ja) 2011-09-02 2014-06-04 株式会社東芝 不揮発性半導体記憶装置およびその製造方法
JP5487170B2 (ja) 2011-09-02 2014-05-07 株式会社東芝 半導体記憶装置
KR101865566B1 (ko) * 2011-09-08 2018-06-11 삼성전자주식회사 수직형 메모리 장치의 제조 방법
JP2013058592A (ja) 2011-09-08 2013-03-28 Toshiba Corp 不揮発性半導体記憶装置
JP2013058683A (ja) 2011-09-09 2013-03-28 Toshiba Corp 半導体記憶装置の製造方法
JP2013065636A (ja) 2011-09-15 2013-04-11 Toshiba Corp 不揮発性半導体記憶装置およびその製造方法
JP2013065604A (ja) 2011-09-15 2013-04-11 Toshiba Corp 半導体装置およびその製造方法
JP2013069356A (ja) 2011-09-20 2013-04-18 Toshiba Corp 半導体記憶装置
JP5543950B2 (ja) 2011-09-22 2014-07-09 株式会社東芝 不揮発性半導体記憶装置の製造方法及び不揮発性半導体記憶装置
JP5559120B2 (ja) 2011-09-22 2014-07-23 株式会社東芝 不揮発性半導体記憶装置
JP2013088862A (ja) * 2011-10-13 2013-05-13 Elpida Memory Inc レイアウトデータ作成装置及び半導体装置
JP2013098470A (ja) * 2011-11-04 2013-05-20 Toshiba Corp 半導体記憶装置及びその製造方法
JP2013120786A (ja) 2011-12-06 2013-06-17 Toshiba Corp 半導体記憶装置
US8917557B2 (en) 2011-12-15 2014-12-23 Kabushiki Kaisha Toshiba Nonvolatile semiconductor memory device
JP5740296B2 (ja) 2011-12-16 2015-06-24 株式会社東芝 半導体記憶装置、半導体記憶装置の制御方法、制御プログラム
KR20130070153A (ko) * 2011-12-19 2013-06-27 에스케이하이닉스 주식회사 반도체 장치의 캐패시터, 레지스터, 메모리 시스템 및 이들의 제조 방법
KR101942421B1 (ko) 2011-12-29 2019-01-30 에스케이하이닉스 주식회사 비휘발성 메모리 장치 및 그 제조 방법
KR20130095499A (ko) 2012-02-20 2013-08-28 에스케이하이닉스 주식회사 비휘발성 메모리 장치, 그 동작 방법 및 그 제조 방법
JP2013183086A (ja) 2012-03-02 2013-09-12 Toshiba Corp 半導体装置及びその製造方法
JP2013187338A (ja) 2012-03-07 2013-09-19 Toshiba Corp 半導体装置及びその製造方法
JP2013187294A (ja) 2012-03-07 2013-09-19 Toshiba Corp 半導体記憶装置
US8878278B2 (en) 2012-03-21 2014-11-04 Sandisk Technologies Inc. Compact three dimensional vertical NAND and method of making thereof
US8847302B2 (en) 2012-04-10 2014-09-30 Sandisk Technologies Inc. Vertical NAND device with low capacitance and silicided word lines
US8828884B2 (en) 2012-05-23 2014-09-09 Sandisk Technologies Inc. Multi-level contact to a 3D memory array and method of making
JP2014002810A (ja) 2012-06-18 2014-01-09 Toshiba Corp 不揮発性半導体記憶装置
JP2014011389A (ja) 2012-07-02 2014-01-20 Toshiba Corp 半導体装置の製造方法及び半導体装置
JP2014013634A (ja) 2012-07-03 2014-01-23 Toshiba Corp 不揮発性半導体記憶装置及びその動作方法
US8658499B2 (en) 2012-07-09 2014-02-25 Sandisk Technologies Inc. Three dimensional NAND device and method of charge trap layer separation and floating gate formation in the NAND device
KR101990904B1 (ko) 2012-07-17 2019-06-19 삼성전자주식회사 수직형 반도체 소자
JP2014027181A (ja) 2012-07-27 2014-02-06 Toshiba Corp 半導体装置及びその製造方法
JP2014038672A (ja) 2012-08-13 2014-02-27 Toshiba Corp 半導体装置の不良解析システムおよび半導体記憶装置
US8614126B1 (en) 2012-08-15 2013-12-24 Sandisk Technologies Inc. Method of making a three-dimensional memory array with etch stop
KR20140025054A (ko) * 2012-08-21 2014-03-04 에스케이하이닉스 주식회사 비휘발성 메모리 장치 및 그 제조 방법
JP2014045128A (ja) 2012-08-28 2014-03-13 Toshiba Corp 半導体記憶装置及びその製造方法
KR101985936B1 (ko) * 2012-08-29 2019-06-05 에스케이하이닉스 주식회사 불휘발성 메모리 소자와 그 제조방법
KR20140028974A (ko) 2012-08-31 2014-03-10 에스케이하이닉스 주식회사 3차원 반도체 메모리 소자와, 이를 포함하는 메모리 시스템과, 그 제조방법과, 그 동작방법
US8729523B2 (en) 2012-08-31 2014-05-20 Micron Technology, Inc. Three dimensional memory array architecture
US8841649B2 (en) 2012-08-31 2014-09-23 Micron Technology, Inc. Three dimensional memory array architecture
US8969945B2 (en) 2012-09-05 2015-03-03 Kabushiki Kaisha Toshiba Nonvolatile semiconductor memory device
US8884356B2 (en) 2012-09-05 2014-11-11 Kabushiki Kaisha Toshiba Nonvolatile semiconductor memory device and method for manufacturing same
US20140077285A1 (en) * 2012-09-19 2014-03-20 Kabushiki Kaisha Toshiba Non-volatile semiconductor memory device and method for manufacturing non-volatile semiconductor memory device
JP2014063552A (ja) 2012-09-21 2014-04-10 Toshiba Corp 半導体記憶装置
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
KR20140063147A (ko) * 2012-11-16 2014-05-27 에스케이하이닉스 주식회사 반도체 장치 및 그 제조 방법
KR20140063144A (ko) 2012-11-16 2014-05-27 에스케이하이닉스 주식회사 반도체 장치 및 그 제조 방법
KR102021801B1 (ko) 2012-12-10 2019-09-17 삼성전자주식회사 3차원 반도체 장치
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9698153B2 (en) 2013-03-12 2017-07-04 Sandisk Technologies Llc Vertical NAND and method of making thereof using sequential stack etching and self-aligned landing pad
US9515080B2 (en) 2013-03-12 2016-12-06 Sandisk Technologies Llc Vertical NAND and method of making thereof using sequential stack etching and landing pad
US9230987B2 (en) 2014-02-20 2016-01-05 Sandisk Technologies Inc. Multilevel memory stack structure and methods of manufacturing the same
US8946023B2 (en) 2013-03-12 2015-02-03 Sandisk Technologies Inc. Method of making a vertical NAND device using sequential etching of multilayer stacks
US9449982B2 (en) 2013-03-12 2016-09-20 Sandisk Technologies Llc Method of making a vertical NAND device using a sacrificial layer with air gap and sequential etching of multilayer stacks
JP2014179142A (ja) 2013-03-14 2014-09-25 Toshiba Corp 半導体記憶装置
JP6005566B2 (ja) 2013-03-18 2016-10-12 株式会社東芝 情報処理システム、制御プログラムおよび情報処理装置
JP2014183304A (ja) 2013-03-19 2014-09-29 Toshiba Corp 不揮発性半導体記憶装置およびその製造方法
JP2014183224A (ja) 2013-03-19 2014-09-29 Toshiba Corp 半導体記憶装置及びその製造方法
US9032264B2 (en) 2013-03-21 2015-05-12 Kabushiki Kaisha Toshiba Test method for nonvolatile memory
JP2014186763A (ja) 2013-03-21 2014-10-02 Toshiba Corp 不揮発性半導体記憶装置
JP2014187329A (ja) 2013-03-25 2014-10-02 Toshiba Corp 半導体記憶装置の製造方法及び半導体記憶装置
JP2014186787A (ja) 2013-03-25 2014-10-02 Toshiba Corp 不揮発性半導体記憶装置、メモリコントローラ、及びメモリシステム
JP2014187246A (ja) 2013-03-25 2014-10-02 Toshiba Corp 半導体装置及びその製造方法
US9093480B2 (en) 2013-04-01 2015-07-28 Sandisk Technologies Inc. Spacer passivation for high aspect ratio etching of multilayer stacks for three dimensional NAND device
US9099496B2 (en) 2013-04-01 2015-08-04 Sandisk Technologies Inc. Method of forming an active area with floating gate negative offset profile in FG NAND memory
KR20140132102A (ko) * 2013-05-07 2014-11-17 에스케이하이닉스 주식회사 반도체 메모리 장치 및 이의 동작 방법
US9472291B2 (en) * 2013-05-16 2016-10-18 SK Hynix Inc. Semiconductor memory device and method of operating the same
KR20140135402A (ko) * 2013-05-16 2014-11-26 에스케이하이닉스 주식회사 반도체 메모리 장치 및 그것의 동작 방법
JP2014229740A (ja) 2013-05-22 2014-12-08 株式会社東芝 半導体記憶装置及びその製造方法
US9437606B2 (en) 2013-07-02 2016-09-06 Sandisk Technologies Llc Method of making a three-dimensional memory array with etch stop
US9252151B2 (en) 2013-07-08 2016-02-02 Sandisk Technologies Inc. Three dimensional NAND device with birds beak containing floating gates and method of making thereof
JP2015028982A (ja) 2013-07-30 2015-02-12 株式会社東芝 不揮発性記憶装置およびその製造方法
JP2015028966A (ja) * 2013-07-30 2015-02-12 株式会社東芝 半導体記憶装置及びその製造方法
KR102066925B1 (ko) * 2013-08-30 2020-01-16 삼성전자주식회사 반도체 장치 및 그 제조 방법
JP5898657B2 (ja) 2013-09-02 2016-04-06 株式会社東芝 不揮発性半導体記憶装置
JP2015053094A (ja) 2013-09-06 2015-03-19 株式会社東芝 半導体記憶装置
US9230653B2 (en) 2013-09-10 2016-01-05 Kabushiki Kaisha Toshiba Semiconductor memory device
JP2015056444A (ja) 2013-09-10 2015-03-23 株式会社東芝 不揮発性記憶装置およびその製造方法
JP2015056452A (ja) 2013-09-10 2015-03-23 株式会社東芝 半導体記憶装置及びその製造方法
JP2015056443A (ja) 2013-09-10 2015-03-23 株式会社東芝 不揮発性記憶装置の製造方法
US9230980B2 (en) 2013-09-15 2016-01-05 Sandisk Technologies Inc. Single-semiconductor-layer channel in a memory opening for a three-dimensional non-volatile memory device
US9023719B2 (en) 2013-09-17 2015-05-05 Sandisk Technologies Inc. High aspect ratio memory hole channel contact formation
US8987089B1 (en) 2013-09-17 2015-03-24 Sandisk Technologies Inc. Methods of fabricating a three-dimensional non-volatile memory device
JP2015079802A (ja) 2013-10-15 2015-04-23 株式会社東芝 不揮発性半導体記憶装置
JP6139370B2 (ja) 2013-10-17 2017-05-31 株式会社東芝 不揮発性半導体記憶装置
KR20150047823A (ko) * 2013-10-25 2015-05-06 삼성전자주식회사 수직형 메모리 장치 및 그 제조 방법
JP2015097245A (ja) 2013-11-15 2015-05-21 株式会社東芝 不揮発性半導体記憶装置、及びメモリシステム
KR102139944B1 (ko) * 2013-11-26 2020-08-03 삼성전자주식회사 3차원 반도체 메모리 장치
CN105830164B (zh) 2013-12-18 2019-11-19 东芝存储器株式会社 半导体存储装置
US9449983B2 (en) 2013-12-19 2016-09-20 Sandisk Technologies Llc Three dimensional NAND device with channel located on three sides of lower select gate and method of making thereof
CN104766863B (zh) * 2014-01-06 2017-09-15 旺宏电子股份有限公司 三维存储器及其制造方法
US9230905B2 (en) 2014-01-08 2016-01-05 Sandisk 3D Llc Trench multilevel contact to a 3D memory array and method of making thereof
KR102125018B1 (ko) * 2014-01-23 2020-07-07 에스케이하이닉스 주식회사 반도체 장치 및 그 제조방법
JP2015149413A (ja) 2014-02-06 2015-08-20 株式会社東芝 半導体記憶装置及びその製造方法
CN104915707B (zh) 2014-03-10 2018-04-24 东芝存储器株式会社 半导体存储装置
US9343507B2 (en) 2014-03-12 2016-05-17 Sandisk 3D Llc Dual channel vertical field effect transistor including an embedded electrode
JP2015176923A (ja) 2014-03-13 2015-10-05 株式会社東芝 不揮発性半導体記憶装置
JP2015176910A (ja) 2014-03-13 2015-10-05 株式会社東芝 半導体メモリ
JP2015176309A (ja) 2014-03-14 2015-10-05 株式会社東芝 半導体記憶装置
US9331088B2 (en) 2014-03-25 2016-05-03 Sandisk 3D Llc Transistor device with gate bottom isolation and method of making thereof
US9224747B2 (en) 2014-03-26 2015-12-29 Sandisk Technologies Inc. Vertical NAND device with shared word line steps
US9331094B2 (en) 2014-04-30 2016-05-03 Sandisk Technologies Inc. Method of selective filling of memory openings
KR20150134934A (ko) 2014-05-23 2015-12-02 에스케이하이닉스 주식회사 3차원 불휘발성 메모리 장치와, 이를 포함하는 반도체 시스템과, 그 제조방법
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9548313B2 (en) * 2014-05-30 2017-01-17 Sandisk Technologies Llc Method of making a monolithic three dimensional NAND string using a select gate etch stop layer
KR20150139255A (ko) 2014-06-03 2015-12-11 에스케이하이닉스 주식회사 반도체 장치 및 그 제조방법
US9166032B1 (en) * 2014-06-24 2015-10-20 Kabushiki Kaisha Toshiba Non-volatile memory device
US9768270B2 (en) 2014-06-25 2017-09-19 Sandisk Technologies Llc Method of selectively depositing floating gate material in a memory device
US9379124B2 (en) 2014-06-25 2016-06-28 Sandisk Technologies Inc. Vertical floating gate NAND with selectively deposited ALD metal films
US9236395B1 (en) 2014-06-25 2016-01-12 Kabushiki Kaisha Toshiba Semiconductor memory device and method for manufacturing same
US9455263B2 (en) 2014-06-27 2016-09-27 Sandisk Technologies Llc Three dimensional NAND device with channel contacting conductive source line and method of making thereof
US9305932B2 (en) 2014-06-30 2016-04-05 Sandisk Technologies Inc. Methods of making three dimensional NAND devices
US9397107B2 (en) 2014-06-30 2016-07-19 Sandisk Technologies Llc Methods of making three dimensional NAND devices
US9177966B1 (en) 2014-07-08 2015-11-03 Sandisk Technologies Inc. Three dimensional NAND devices with air gap or low-k core
KR102234266B1 (ko) 2014-07-23 2021-04-02 삼성전자주식회사 반도체 장치 및 그 제조 방법
US9570460B2 (en) 2014-07-29 2017-02-14 Sandisk Technologies Llc Spacer passivation for high-aspect ratio opening film removal and cleaning
US9356031B2 (en) 2014-08-11 2016-05-31 Sandisk Technologies Inc. Three dimensional NAND string memory devices with voids enclosed between control gate electrodes
US9136130B1 (en) 2014-08-11 2015-09-15 Sandisk Technologies Inc. Three dimensional NAND string with discrete charge trap segments
US9583539B2 (en) 2014-08-19 2017-02-28 Sandisk Technologies Llc Word line connection for memory device and method of making thereof
US9230983B1 (en) 2014-08-20 2016-01-05 Sandisk Technologies Inc. Metal word lines for three dimensional memory devices
US9236392B1 (en) 2014-08-26 2016-01-12 Sandisk Technologies Inc. Multiheight electrically conductive via contacts for a multilevel interconnect structure
US9230974B1 (en) 2014-08-26 2016-01-05 Sandisk Technologies Inc. Methods of selective removal of blocking dielectric in NAND memory strings
US9601502B2 (en) * 2014-08-26 2017-03-21 Sandisk Technologies Llc Multiheight contact via structures for a multilevel interconnect structure
US9576975B2 (en) 2014-08-26 2017-02-21 Sandisk Technologies Llc Monolithic three-dimensional NAND strings and methods of fabrication thereof
US9401309B2 (en) 2014-08-26 2016-07-26 Sandisk Technologies Llc Multiheight contact via structures for a multilevel interconnect structure
JP2016054017A (ja) 2014-09-04 2016-04-14 株式会社東芝 半導体記憶装置
US9773803B2 (en) * 2014-09-08 2017-09-26 Toshiba Memory Corporation Non-volatile memory device and method of manufacturing same
US9917096B2 (en) * 2014-09-10 2018-03-13 Toshiba Memory Corporation Semiconductor memory device and method for manufacturing same
US10892269B2 (en) 2014-09-12 2021-01-12 Toshiba Memory Corporation Semiconductor memory device having a bonded circuit chip including a solid state drive controller connected to a control circuit
US9431419B2 (en) 2014-09-12 2016-08-30 Kabushiki Kaisha Toshiba Semiconductor memory device and method for manufacturing same
JP6203152B2 (ja) 2014-09-12 2017-09-27 東芝メモリ株式会社 半導体記憶装置の製造方法
SG11201701901UA (en) 2014-09-12 2017-04-27 Toshiba Kk Non-volatile semiconductor storage device
CN105405849A (zh) * 2014-09-12 2016-03-16 旺宏电子股份有限公司 半导体元件
JP6250506B2 (ja) 2014-09-16 2017-12-20 東芝メモリ株式会社 集積回路装置及びその製造方法
US9613713B2 (en) 2014-09-16 2017-04-04 Kabushiki Kaisha Toshiba Semiconductor memory device
JP2016062622A (ja) 2014-09-16 2016-04-25 株式会社東芝 半導体記憶装置及びその駆動方法
JP2016062623A (ja) 2014-09-16 2016-04-25 株式会社東芝 半導体記憶装置
US20160086968A1 (en) * 2014-09-18 2016-03-24 Macronix International Co., Ltd. Semiconductor device
US9666590B2 (en) 2014-09-24 2017-05-30 Sandisk Technologies Llc High stack 3D memory and method of making
US9515085B2 (en) 2014-09-26 2016-12-06 Sandisk Technologies Llc Vertical memory device with bit line air gap
US9230984B1 (en) * 2014-09-30 2016-01-05 Sandisk Technologies Inc Three dimensional memory device having comb-shaped source electrode and methods of making thereof
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9305934B1 (en) 2014-10-17 2016-04-05 Sandisk Technologies Inc. Vertical NAND device containing peripheral devices on epitaxial semiconductor pedestal
US9230979B1 (en) 2014-10-31 2016-01-05 Sandisk Technologies Inc. High dielectric constant etch stop layer for a memory structure
US9236396B1 (en) 2014-11-12 2016-01-12 Sandisk Technologies Inc. Three dimensional NAND device and method of making thereof
US9305849B1 (en) 2014-11-12 2016-04-05 Sandisk Technologies Inc. Method of making a three dimensional NAND device
US9698152B2 (en) 2014-11-13 2017-07-04 Sandisk Technologies Llc Three-dimensional memory structure with multi-component contact via structure and method of making thereof
US9698223B2 (en) 2014-11-25 2017-07-04 Sandisk Technologies Llc Memory device containing stress-tunable control gate electrodes
US9496419B2 (en) 2014-11-25 2016-11-15 Sandisk Technologies Llc Ruthenium nucleation layer for control gate electrodes in a memory structure
US9570455B2 (en) 2014-11-25 2017-02-14 Sandisk Technologies Llc Metal word lines for three dimensional memory devices
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9793288B2 (en) 2014-12-04 2017-10-17 Sandisk Technologies Llc Methods of fabricating memory device with spaced-apart semiconductor charge storage regions
US9553100B2 (en) 2014-12-04 2017-01-24 Sandisk Techologies Llc Selective floating gate semiconductor material deposition in a three-dimensional memory structure
US9754956B2 (en) 2014-12-04 2017-09-05 Sandisk Technologies Llc Uniform thickness blocking dielectric portions in a three-dimensional memory structure
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
KR102310511B1 (ko) 2014-12-19 2021-10-08 삼성전자주식회사 반도체 소자 및 그 형성 방법
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US10741572B2 (en) 2015-02-04 2020-08-11 Sandisk Technologies Llc Three-dimensional memory device having multilayer word lines containing selectively grown cobalt or ruthenium and method of making the same
US9780182B2 (en) 2015-02-04 2017-10-03 Sandisk Technologies Llc Molybdenum-containing conductive layers for control gate electrodes in a memory structure
US9984963B2 (en) 2015-02-04 2018-05-29 Sandisk Technologies Llc Cobalt-containing conductive layers for control gate electrodes in a memory structure
US9419058B1 (en) 2015-02-05 2016-08-16 Sandisk Technologies Llc Memory device with comb-shaped electrode having a plurality of electrode fingers and method of making thereof
US9356034B1 (en) 2015-02-05 2016-05-31 Sandisk Technologies Inc. Multilevel interconnect structure and methods of manufacturing the same
US9484296B2 (en) 2015-02-12 2016-11-01 Sandisk Technologies Llc Self-aligned integrated line and via structure for a three-dimensional semiconductor device
US9583615B2 (en) 2015-02-17 2017-02-28 Sandisk Technologies Llc Vertical transistor and local interconnect structure
US9698202B2 (en) 2015-03-02 2017-07-04 Sandisk Technologies Llc Parallel bit line three-dimensional resistive random access memory
JP2016162475A (ja) 2015-03-04 2016-09-05 株式会社東芝 半導体記憶装置
US9412461B1 (en) 2015-03-10 2016-08-09 Kabushiki Kaisha Toshiba Nonvolatile semiconductor memory device
US9870945B2 (en) 2015-03-10 2018-01-16 Sandisk Technologies Llc Crystalline layer stack for forming conductive layers in a three-dimensional memory structure
US10289480B2 (en) 2015-03-12 2019-05-14 Toshiba Memory Corporation Memory system
JP2016170837A (ja) 2015-03-12 2016-09-23 株式会社東芝 半導体記憶装置
US9576665B2 (en) 2015-03-12 2017-02-21 Kabushiki Kaisha Toshiba Semiconductor memory device and memory system
US9748337B2 (en) * 2015-03-12 2017-08-29 Kabushiki Kaisha Toshiba Semiconductor memory device
US9646988B2 (en) 2015-03-13 2017-05-09 Kabushiki Kaisha Toshiba Semiconductor memory device and method for manufacturing same
US9397109B1 (en) 2015-03-13 2016-07-19 Kabushiki Kaisha Toshiba Semiconductor memory device and method for manufacturing same
US9608001B2 (en) 2015-03-13 2017-03-28 Kabushiki Kaisha Toshiba Semiconductor memory device
JP2016171280A (ja) 2015-03-16 2016-09-23 株式会社東芝 半導体記憶装置の製造方法
US9530788B2 (en) 2015-03-17 2016-12-27 Sandisk Technologies Llc Metallic etch stop layer in a three-dimensional memory structure
US9799671B2 (en) 2015-04-07 2017-10-24 Sandisk Technologies Llc Three-dimensional integration schemes for reducing fluorine-induced electrical shorts
US9601508B2 (en) 2015-04-27 2017-03-21 Sandisk Technologies Llc Blocking oxide in memory opening integration scheme for three-dimensional memory structure
US9397046B1 (en) 2015-04-29 2016-07-19 Sandisk Technologies Llc Fluorine-free word lines for three-dimensional memory devices
US9627403B2 (en) 2015-04-30 2017-04-18 Sandisk Technologies Llc Multilevel memory stack structure employing support pillar structures
US9666281B2 (en) 2015-05-08 2017-05-30 Sandisk Technologies Llc Three-dimensional P-I-N memory device and method reading thereof using hole current detection
US10074661B2 (en) 2015-05-08 2018-09-11 Sandisk Technologies Llc Three-dimensional junction memory device and method reading thereof using hole current detection
JP2016225613A (ja) 2015-05-26 2016-12-28 株式会社半導体エネルギー研究所 半導体装置及び半導体装置の駆動方法
US9571101B2 (en) 2015-05-27 2017-02-14 Kabushiki Kaisha Toshiba Semiconductor device
US9443861B1 (en) 2015-05-28 2016-09-13 Sandisk Technologies Llc Fluorine-blocking insulating spacer for backside contact structure of three-dimensional memory structures
US9859422B2 (en) 2015-05-28 2018-01-02 Sandisk Technologies Llc Field effect transistor with elevated active regions and methods of manufacturing the same
US9811493B2 (en) 2015-05-29 2017-11-07 Toshiba Memory Corporation Semiconductor device
CN105047614A (zh) * 2015-06-07 2015-11-11 上海华虹宏力半导体制造有限公司 一种半导体存储器的制造方法
JP6453718B2 (ja) 2015-06-12 2019-01-16 東芝メモリ株式会社 半導体記憶装置及びメモリシステム
US9589981B2 (en) 2015-06-15 2017-03-07 Sandisk Technologies Llc Passive devices for integration with three-dimensional memory devices
US9646981B2 (en) 2015-06-15 2017-05-09 Sandisk Technologies Llc Passive devices for integration with three-dimensional memory devices
US9419012B1 (en) 2015-06-19 2016-08-16 Sandisk Technologies Llc Three-dimensional memory structure employing air gap isolation
US9356043B1 (en) 2015-06-22 2016-05-31 Sandisk Technologies Inc. Three-dimensional memory devices containing memory stack structures with position-independent threshold voltage
US10622368B2 (en) 2015-06-24 2020-04-14 Sandisk Technologies Llc Three-dimensional memory device with semicircular metal-semiconductor alloy floating gate electrodes and methods of making thereof
US9613977B2 (en) 2015-06-24 2017-04-04 Sandisk Technologies Llc Differential etch of metal oxide blocking dielectric layer for three-dimensional memory devices
TWI582962B (zh) * 2015-07-06 2017-05-11 Toshiba Kk Semiconductor memory device and manufacturing method thereof
US10147737B2 (en) 2015-07-21 2018-12-04 Toshiba Memory Corporation Semiconductor memory device and method for manufacturing same
US9530785B1 (en) 2015-07-21 2016-12-27 Sandisk Technologies Llc Three-dimensional memory devices having a single layer channel and methods of making thereof
US9627399B2 (en) 2015-07-24 2017-04-18 Sandisk Technologies Llc Three-dimensional memory device with metal and silicide control gates
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
JP6453729B2 (ja) 2015-08-17 2019-01-16 東芝メモリ株式会社 半導体記憶装置及びメモリシステム
US9449987B1 (en) * 2015-08-21 2016-09-20 Sandisk Technologies Llc Three dimensional memory device with epitaxial semiconductor pedestal for peripheral transistors
US9543318B1 (en) 2015-08-21 2017-01-10 Sandisk Technologies Llc Three dimensional memory device with epitaxial semiconductor pedestal for peripheral transistors
US9853043B2 (en) 2015-08-25 2017-12-26 Sandisk Technologies Llc Method of making a multilevel memory stack structure using a cavity containing a sacrificial fill material
US9502471B1 (en) 2015-08-25 2016-11-22 Sandisk Technologies Llc Multi tier three-dimensional memory devices including vertically shared bit lines
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US9780104B2 (en) 2015-09-10 2017-10-03 Toshiba Memory Corporation Semiconductor memory device and method of manufacturing the same
US9842853B2 (en) 2015-09-14 2017-12-12 Toshiba Memory Corporation Memory cell array with improved substrate current pathway
JP6400547B2 (ja) 2015-09-14 2018-10-03 東芝メモリ株式会社 メモリデバイス
US9806089B2 (en) 2015-09-21 2017-10-31 Sandisk Technologies Llc Method of making self-assembling floating gate electrodes for a three-dimensional memory device
US9646975B2 (en) 2015-09-21 2017-05-09 Sandisk Technologies Llc Lateral stack of cobalt and a cobalt-semiconductor alloy for control gate electrodes in a memory structure
US9576966B1 (en) 2015-09-21 2017-02-21 Sandisk Technologies Llc Cobalt-containing conductive layers for control gate electrodes in a memory structure
US9842907B2 (en) 2015-09-29 2017-12-12 Sandisk Technologies Llc Memory device containing cobalt silicide control gate electrodes and method of making thereof
US9419013B1 (en) 2015-10-08 2016-08-16 Samsung Electronics Co., Ltd. Semiconductor device and method of manufacturing the same
US9659955B1 (en) 2015-10-28 2017-05-23 Sandisk Technologies Llc Crystalinity-dependent aluminum oxide etching for self-aligned blocking dielectric in a memory structure
US9620512B1 (en) 2015-10-28 2017-04-11 Sandisk Technologies Llc Field effect transistor with a multilevel gate electrode for integration with a multilevel memory device
JP6509711B2 (ja) 2015-10-29 2019-05-08 東芝メモリ株式会社 不揮発性半導体記憶装置及びメモリシステム
US9793139B2 (en) 2015-10-29 2017-10-17 Sandisk Technologies Llc Robust nucleation layers for enhanced fluorine protection and stress reduction in 3D NAND word lines
US9899399B2 (en) 2015-10-30 2018-02-20 Sandisk Technologies Llc 3D NAND device with five-folded memory stack structure configuration
KR102408648B1 (ko) 2015-11-05 2022-06-14 에스케이하이닉스 주식회사 3차원 비휘발성 메모리 장치
WO2017081756A1 (ja) 2015-11-10 2017-05-18 株式会社 東芝 半導体記憶装置
US20170148812A1 (en) * 2015-11-19 2017-05-25 Fu-Chang Hsu Methods and apparatus for a 3d array inside a substrate trench
US9799670B2 (en) 2015-11-20 2017-10-24 Sandisk Technologies Llc Three dimensional NAND device containing dielectric pillars for a buried source line and method of making thereof
US9831266B2 (en) 2015-11-20 2017-11-28 Sandisk Technologies Llc Three-dimensional NAND device containing support pedestal structures for a buried source line and method of making the same
US9917100B2 (en) 2015-11-20 2018-03-13 Sandisk Technologies Llc Three-dimensional NAND device containing support pedestal structures for a buried source line and method of making the same
US9548127B1 (en) 2015-12-28 2017-01-17 Kabushiki Kaisha Toshiba Memory system
US9780105B2 (en) * 2015-12-30 2017-10-03 Toshiba Memory Corporation Semiconductor memory device including a plurality of columnar structures and a plurality of electrode films
US9876028B2 (en) 2016-01-11 2018-01-23 Toshiba Memory Corporation Semiconductor memory device and method of manufacturing the same
SG11201802573UA (en) 2016-01-13 2018-04-27 Toshiba Memory Corp Semiconductor memory device
US9646880B1 (en) * 2016-01-14 2017-05-09 Sandisk Technologies Llc Monolithic three dimensional memory arrays formed using sacrificial polysilicon pillars
JP6495838B2 (ja) * 2016-01-27 2019-04-03 東芝メモリ株式会社 半導体記憶装置及びその製造方法
US9589839B1 (en) 2016-02-01 2017-03-07 Sandisk Technologies Llc Method of reducing control gate electrode curvature in three-dimensional memory devices
US9754820B2 (en) 2016-02-01 2017-09-05 Sandisk Technologies Llc Three-dimensional memory device containing an aluminum oxide etch stop layer for backside contact structure and method of making thereof
US9673213B1 (en) 2016-02-15 2017-06-06 Sandisk Technologies Llc Three dimensional memory device with peripheral devices under dummy dielectric layer stack and method of making thereof
JP6581012B2 (ja) 2016-02-17 2019-09-25 東芝メモリ株式会社 半導体記憶装置及びその製造方法
US9721663B1 (en) 2016-02-18 2017-08-01 Sandisk Technologies Llc Word line decoder circuitry under a three-dimensional memory array
US9595535B1 (en) 2016-02-18 2017-03-14 Sandisk Technologies Llc Integration of word line switches with word line contact via structures
JP2017157257A (ja) 2016-03-01 2017-09-07 東芝メモリ株式会社 半導体記憶装置及びメモリシステム
JP6545631B2 (ja) 2016-03-02 2019-07-17 東芝メモリ株式会社 不揮発性半導体記憶装置
US9934847B2 (en) 2016-03-11 2018-04-03 Toshiba Memory Corporation Memory system storing 4-bit data in each memory cell and method of controlling thereof including soft bit information
JP6506197B2 (ja) 2016-03-11 2019-04-24 東芝メモリ株式会社 半導体記憶装置
JP2017168155A (ja) 2016-03-14 2017-09-21 東芝メモリ株式会社 半導体記憶装置
JP6433933B2 (ja) 2016-03-14 2018-12-05 東芝メモリ株式会社 半導体記憶装置及びメモリシステム
JP2017168156A (ja) 2016-03-14 2017-09-21 東芝メモリ株式会社 半導体記憶装置
JP6538597B2 (ja) 2016-03-14 2019-07-03 東芝メモリ株式会社 記憶装置
US9818754B2 (en) 2016-03-15 2017-11-14 Toshiba Memory Corporation Semiconductor memory device and method for manufacturing same
JP6441250B2 (ja) 2016-03-15 2018-12-19 東芝メモリ株式会社 半導体記憶装置
US9847345B2 (en) * 2016-03-18 2017-12-19 Toshiba Memory Corporation Semiconductor memory device and method of manufacturing the same
US9865612B2 (en) 2016-03-22 2018-01-09 Toshiba Memory Corporation Semiconductor memory device and method of manufacturing the same
US10224104B2 (en) 2016-03-23 2019-03-05 Sandisk Technologies Llc Three dimensional NAND memory device with common bit line for multiple NAND strings in each memory block
US10355015B2 (en) 2016-03-23 2019-07-16 Sandisk Technologies Llc Three-dimensional NAND memory device with common bit line for multiple NAND strings in each memory block
US9812463B2 (en) 2016-03-25 2017-11-07 Sandisk Technologies Llc Three-dimensional memory device containing vertically isolated charge storage regions and method of making thereof
US9711530B1 (en) 2016-03-25 2017-07-18 Sandisk Technologies Llc Locally-trap-characteristic-enhanced charge trap layer for three-dimensional memory structures
JP2017195275A (ja) 2016-04-20 2017-10-26 東芝メモリ株式会社 半導体記憶装置およびその製造方法
US9691786B1 (en) 2016-04-29 2017-06-27 Kabushiki Kaisha Toshiba Semiconductor memory device
US9728547B1 (en) 2016-05-19 2017-08-08 Sandisk Technologies Llc Three-dimensional memory device with aluminum-containing etch stop layer for backside contact structure and method of making thereof
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
KR20170131121A (ko) * 2016-05-20 2017-11-29 삼성전자주식회사 반도체 소자
JP2017208152A (ja) 2016-05-20 2017-11-24 東芝メモリ株式会社 半導体記憶装置及びメモリシステム
US9985046B2 (en) 2016-06-13 2018-05-29 Sandisk Technologies Llc Method of forming a staircase in a semiconductor device using a linear alignment control feature
JP2017224370A (ja) 2016-06-15 2017-12-21 東芝メモリ株式会社 半導体記憶装置及びメモリシステム
JP6659478B2 (ja) 2016-06-17 2020-03-04 キオクシア株式会社 半導体記憶装置
US10121794B2 (en) 2016-06-20 2018-11-06 Sandisk Technologies Llc Three-dimensional memory device having epitaxial germanium-containing vertical channel and method of making thereof
US10355139B2 (en) 2016-06-28 2019-07-16 Sandisk Technologies Llc Three-dimensional memory device with amorphous barrier layer and method of making thereof
US10361213B2 (en) 2016-06-28 2019-07-23 Sandisk Technologies Llc Three dimensional memory device containing multilayer wordline barrier films and method of making thereof
US9978768B2 (en) 2016-06-29 2018-05-22 Sandisk Technologies Llc Method of making three-dimensional semiconductor memory device having laterally undulating memory films
US9659866B1 (en) 2016-07-08 2017-05-23 Sandisk Technologies Llc Three-dimensional memory structures with low source line resistance
US10529620B2 (en) 2016-07-13 2020-01-07 Sandisk Technologies Llc Three-dimensional memory device containing word lines formed by selective tungsten growth on nucleation controlling surfaces and methods of manufacturing the same
WO2018011926A1 (ja) 2016-07-13 2018-01-18 東芝メモリ株式会社 記憶装置
US10381372B2 (en) 2016-07-13 2019-08-13 Sandisk Technologies Llc Selective tungsten growth for word lines of a three-dimensional memory device
US9748266B1 (en) 2016-07-20 2017-08-29 Sandisk Technologies Llc Three-dimensional memory device with select transistor having charge trapping gate dielectric layer and methods of making and operating thereof
US9953993B2 (en) * 2016-07-25 2018-04-24 Toshiba Memory Corporation Semiconductor memory device
US9824966B1 (en) 2016-08-12 2017-11-21 Sandisk Technologies Llc Three-dimensional memory device containing a lateral source contact and method of making the same
US9805805B1 (en) 2016-08-23 2017-10-31 Sandisk Technologies Llc Three-dimensional memory device with charge carrier injection wells for vertical channels and method of making and using thereof
JP2018041518A (ja) 2016-09-06 2018-03-15 東芝メモリ株式会社 メモリデバイス
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
JP2018045387A (ja) 2016-09-13 2018-03-22 東芝メモリ株式会社 メモリシステム
US10593398B2 (en) 2016-09-13 2020-03-17 Toshiba Memory Corporation Semiconductor storage device including a controller configured to execute a first write and a second write
US10297338B2 (en) 2016-09-20 2019-05-21 Toshiba Memory Corporation Memory system
US10310942B2 (en) 2016-09-20 2019-06-04 Toshiba Memory Corporation Memory system
WO2018055692A1 (ja) 2016-09-21 2018-03-29 東芝メモリ株式会社 半導体装置とその製造方法
CN109791792B (zh) 2016-09-23 2023-08-22 铠侠股份有限公司 存储装置
JP6721696B2 (ja) 2016-09-23 2020-07-15 キオクシア株式会社 メモリデバイス
JP6674361B2 (ja) 2016-09-29 2020-04-01 キオクシア株式会社 メモリシステム
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10050054B2 (en) 2016-10-05 2018-08-14 Sandisk Technologies Llc Three-dimensional memory device having drain select level isolation structure and method of making thereof
US10622089B2 (en) 2016-10-18 2020-04-14 Toshiba Memory Corporation Storage system having a host that manages physical data locations of storage device
US10853233B2 (en) 2016-10-18 2020-12-01 Toshiba Memory Corporation Reconstruction of address mapping in a host of a storage system
US9881929B1 (en) 2016-10-27 2018-01-30 Sandisk Technologies Llc Multi-tier memory stack structure containing non-overlapping support pillar structures and method of making thereof
US9929174B1 (en) 2016-10-28 2018-03-27 Sandisk Technologies Llc Three-dimensional memory device having non-uniform spacing among memory stack structures and method of making thereof
US10008570B2 (en) 2016-11-03 2018-06-26 Sandisk Technologies Llc Bulb-shaped memory stack structures for direct source contact in three-dimensional memory device
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10083982B2 (en) * 2016-11-17 2018-09-25 Sandisk Technologies Llc Three-dimensional memory device having select gate electrode that is thicker than word lines and method of making thereof
US9972640B1 (en) * 2016-11-17 2018-05-15 Sandisk Technologies Llc Three-dimensional memory device with self-aligned drain side select gate electrodes and method of making thereof
US9972641B1 (en) * 2016-11-17 2018-05-15 Sandisk Technologies Llc Three-dimensional memory device having a multilevel drain select gate electrode and method of making thereof
US9991277B1 (en) 2016-11-28 2018-06-05 Sandisk Technologies Llc Three-dimensional memory device with discrete self-aligned charge storage elements and method of making thereof
US9876031B1 (en) 2016-11-30 2018-01-23 Sandisk Technologies Llc Three-dimensional memory device having passive devices at a buried source line level and method of making thereof
US10056399B2 (en) 2016-12-22 2018-08-21 Sandisk Technologies Llc Three-dimensional memory devices containing inter-tier dummy memory cells and methods of making the same
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
JP6783666B2 (ja) 2017-01-05 2020-11-11 キオクシア株式会社 半導体記憶装置及びメモリシステム
US10032908B1 (en) 2017-01-06 2018-07-24 Sandisk Technologies Llc Multi-gate vertical field effect transistor with channel strips laterally confined by gate dielectric layers, and method of making thereof
JP2018125052A (ja) 2017-01-31 2018-08-09 東芝メモリ株式会社 半導体記憶装置
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10319739B2 (en) * 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
JP6856400B2 (ja) 2017-02-20 2021-04-07 キオクシア株式会社 半導体記憶装置及びメモリシステム
US10115735B2 (en) 2017-02-24 2018-10-30 Sandisk Technologies Llc Semiconductor device containing multilayer titanium nitride diffusion barrier and method of making thereof
JP6783682B2 (ja) 2017-02-27 2020-11-11 キオクシア株式会社 半導体記憶装置及びメモリシステム
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
JP2018156702A (ja) 2017-03-16 2018-10-04 東芝メモリ株式会社 半導体記憶装置及びメモリシステム
JP2018156717A (ja) 2017-03-21 2018-10-04 東芝メモリ株式会社 半導体記憶装置
JP2018160295A (ja) 2017-03-22 2018-10-11 東芝メモリ株式会社 半導体記憶装置
JP2018163963A (ja) * 2017-03-24 2018-10-18 東芝メモリ株式会社 半導体装置及びその製造方法
JP2018163709A (ja) 2017-03-24 2018-10-18 東芝メモリ株式会社 メモリシステム
JP2018164151A (ja) 2017-03-24 2018-10-18 東芝メモリ株式会社 分周回路
JP2018163719A (ja) 2017-03-24 2018-10-18 東芝メモリ株式会社 半導体デバイス
JP2018163724A (ja) 2017-03-27 2018-10-18 東芝メモリ株式会社 メモリシステム
JP2018163723A (ja) 2017-03-27 2018-10-18 東芝メモリ株式会社 メモリデバイス及びメモリシステム
US9960180B1 (en) 2017-03-27 2018-05-01 Sandisk Technologies Llc Three-dimensional memory device with partially discrete charge storage regions and method of making thereof
US20180331117A1 (en) 2017-05-12 2018-11-15 Sandisk Technologies Llc Multilevel memory stack structure with tapered inter-tier joint region and methods of making thereof
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US11114470B2 (en) 2017-06-02 2021-09-07 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device, electronic component, and electronic device
JP7213803B2 (ja) 2017-06-08 2023-01-27 株式会社半導体エネルギー研究所 半導体装置及び半導体装置の駆動方法
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10593693B2 (en) 2017-06-16 2020-03-17 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method for manufacturing semiconductor device
US10224340B2 (en) 2017-06-19 2019-03-05 Sandisk Technologies Llc Three-dimensional memory device having discrete direct source strap contacts and method of making thereof
US10438964B2 (en) 2017-06-26 2019-10-08 Sandisk Technologies Llc Three-dimensional memory device having direct source contact and metal oxide blocking dielectric and method of making thereof
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
CN110832640B (zh) 2017-06-27 2023-12-08 株式会社半导体能源研究所 半导体装置、半导体晶片、存储装置及电子设备
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10665604B2 (en) 2017-07-21 2020-05-26 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device, semiconductor wafer, memory device, and electronic device
JP2019029045A (ja) 2017-07-26 2019-02-21 東芝メモリ株式会社 半導体記憶装置
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
JP2019040655A (ja) 2017-08-28 2019-03-14 東芝メモリ株式会社 メモリシステム
US10461125B2 (en) 2017-08-29 2019-10-29 Micron Technology, Inc. Three dimensional memory arrays
CN107658309B (zh) * 2017-08-31 2019-01-01 长江存储科技有限责任公司 一种三维存储器阵列的多级接触及其制造方法
JP2019046530A (ja) 2017-09-07 2019-03-22 東芝メモリ株式会社 メモリシステム
JP2019053795A (ja) 2017-09-13 2019-04-04 東芝メモリ株式会社 メモリシステム
JP6818664B2 (ja) 2017-09-14 2021-01-20 キオクシア株式会社 半導体記憶装置
JP6875236B2 (ja) 2017-09-14 2021-05-19 キオクシア株式会社 半導体記憶装置
JP2019053796A (ja) 2017-09-14 2019-04-04 東芝メモリ株式会社 半導体記憶装置
JP2019053798A (ja) 2017-09-14 2019-04-04 東芝メモリ株式会社 半導体記憶装置
JP2019057345A (ja) 2017-09-20 2019-04-11 東芝メモリ株式会社 半導体記憶装置
JP2019057074A (ja) 2017-09-20 2019-04-11 東芝メモリ株式会社 メモリシステム
JP2019057346A (ja) 2017-09-20 2019-04-11 東芝メモリ株式会社 メモリシステム
JP2019057352A (ja) 2017-09-21 2019-04-11 東芝メモリ株式会社 メモリシステム
US10453798B2 (en) 2017-09-27 2019-10-22 Sandisk Technologies Llc Three-dimensional memory device with gated contact via structures and method of making thereof
US10115459B1 (en) 2017-09-29 2018-10-30 Sandisk Technologies Llc Multiple liner interconnects for three dimensional memory devices and method of making thereof
CN109860199B (zh) * 2017-11-30 2021-07-16 旺宏电子股份有限公司 存储器元件及其操作方法
KR102465936B1 (ko) 2017-11-30 2022-11-10 삼성전자주식회사 수직형 메모리 장치
CN107887395B (zh) * 2017-11-30 2018-12-14 长江存储科技有限责任公司 Nand存储器及其制备方法
US10229931B1 (en) 2017-12-05 2019-03-12 Sandisk Technologies Llc Three-dimensional memory device containing fluorine-free tungsten—word lines and methods of manufacturing the same
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
JP2019109952A (ja) 2017-12-19 2019-07-04 東芝メモリ株式会社 半導体記憶装置
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
JP2019114758A (ja) 2017-12-26 2019-07-11 東芝メモリ株式会社 半導体メモリ
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10373969B2 (en) 2018-01-09 2019-08-06 Sandisk Technologies Llc Three-dimensional memory device including partially surrounding select gates and fringe field assisted programming thereof
US10283493B1 (en) 2018-01-17 2019-05-07 Sandisk Technologies Llc Three-dimensional memory device containing bonded memory die and peripheral logic die and method of making thereof
US10510738B2 (en) 2018-01-17 2019-12-17 Sandisk Technologies Llc Three-dimensional memory device having support-die-assisted source power distribution and method of making thereof
US10256247B1 (en) 2018-02-08 2019-04-09 Sandisk Technologies Llc Three-dimensional memory device with silicided word lines, air gap layers and discrete charge storage elements, and method of making thereof
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
JP7051484B2 (ja) 2018-02-22 2022-04-11 キオクシア株式会社 半導体メモリ
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
JP2019160922A (ja) * 2018-03-09 2019-09-19 東芝メモリ株式会社 半導体装置
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10615123B2 (en) 2018-03-14 2020-04-07 Sandisk Technologies Llc Three-dimensional memory device containing compositionally graded word line diffusion barrier layer for and methods of forming the same
JP2019161059A (ja) 2018-03-14 2019-09-19 東芝メモリ株式会社 半導体記憶装置
JP2019160379A (ja) 2018-03-16 2019-09-19 東芝メモリ株式会社 半導体記憶装置及びメモリシステム
US10504961B2 (en) 2018-03-16 2019-12-10 Micron Technology, Inc. Methods of forming integrated circuitry
JP2019164858A (ja) 2018-03-19 2019-09-26 東芝メモリ株式会社 メモリシステム
JP2019164850A (ja) 2018-03-19 2019-09-26 東芝メモリ株式会社 メモリシステム
JP2019164865A (ja) 2018-03-20 2019-09-26 東芝メモリ株式会社 メモリシステム
JP2019169568A (ja) * 2018-03-22 2019-10-03 東芝メモリ株式会社 半導体装置
US10770459B2 (en) 2018-03-23 2020-09-08 Sandisk Technologies Llc CMOS devices containing asymmetric contact via structures
US10355017B1 (en) 2018-03-23 2019-07-16 Sandisk Technologies Llc CMOS devices containing asymmetric contact via structures and method of making the same
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10756186B2 (en) 2018-04-12 2020-08-25 Sandisk Technologies Llc Three-dimensional memory device including germanium-containing vertical channels and method of making the same
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10381322B1 (en) 2018-04-23 2019-08-13 Sandisk Technologies Llc Three-dimensional memory device containing self-aligned interlocking bonded structure and method of making the same
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
JP2019212350A (ja) 2018-06-01 2019-12-12 東芝メモリ株式会社 半導体メモリ
US10535673B2 (en) * 2018-06-04 2020-01-14 Macronix International Co., Ltd. High-density flash memory device and method of manufacturing the same
TWI708375B (zh) 2018-06-19 2020-10-21 日商東芝記憶體股份有限公司 記憶體裝置
JP7074583B2 (ja) 2018-06-26 2022-05-24 キオクシア株式会社 半導体記憶装置
JP2020004470A (ja) 2018-06-29 2020-01-09 キオクシア株式会社 半導体記憶装置
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
JP2020009904A (ja) 2018-07-09 2020-01-16 キオクシア株式会社 半導体メモリ
JP2020013889A (ja) * 2018-07-18 2020-01-23 キオクシア株式会社 半導体記憶装置
JP2020017572A (ja) 2018-07-23 2020-01-30 キオクシア株式会社 半導体メモリ及び半導体メモリの製造方法
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10763273B2 (en) * 2018-08-23 2020-09-01 Macronix International Co., Ltd. Vertical GAA flash memory including two-transistor memory cells
JP2020035504A (ja) 2018-08-30 2020-03-05 キオクシア株式会社 メモリシステム
JP2020038738A (ja) 2018-09-03 2020-03-12 キオクシア株式会社 不揮発性メモリ及びメモリシステム
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
JP2020047322A (ja) 2018-09-14 2020-03-26 キオクシア株式会社 メモリシステム
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
JP7158965B2 (ja) 2018-09-14 2022-10-24 キオクシア株式会社 メモリシステム
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
JP2020047337A (ja) 2018-09-18 2020-03-26 キオクシア株式会社 メモリシステム
JP2020047814A (ja) 2018-09-20 2020-03-26 キオクシア株式会社 半導体記憶装置
JP2020047806A (ja) 2018-09-20 2020-03-26 キオクシア株式会社 半導体記憶装置
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
JP7105911B2 (ja) 2018-11-06 2022-07-25 キオクシア株式会社 半導体記憶装置
KR102629478B1 (ko) * 2018-11-21 2024-01-26 에스케이하이닉스 주식회사 반도체 장치 및 그 제조방법
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
JP2020087495A (ja) 2018-11-29 2020-06-04 キオクシア株式会社 半導体メモリ
JP2020092168A (ja) * 2018-12-05 2020-06-11 キオクシア株式会社 半導体記憶装置
JP2020095766A (ja) 2018-12-11 2020-06-18 キオクシア株式会社 半導体記憶装置
KR102638740B1 (ko) 2018-12-12 2024-02-22 삼성전자주식회사 3차원 반도체 메모리 소자
JP2020098655A (ja) * 2018-12-17 2020-06-25 キオクシア株式会社 半導体記憶装置
JP7195913B2 (ja) 2018-12-19 2022-12-26 キオクシア株式会社 半導体記憶装置
JP2020102290A (ja) 2018-12-21 2020-07-02 キオクシア株式会社 半導体記憶装置
JP2020102293A (ja) 2018-12-25 2020-07-02 キオクシア株式会社 半導体記憶装置
JP7159036B2 (ja) 2018-12-25 2022-10-24 キオクシア株式会社 メモリデバイス
JP2020107376A (ja) 2018-12-27 2020-07-09 キオクシア株式会社 メモリシステム
US11011239B2 (en) 2018-12-27 2021-05-18 Kioxia Corporation Semiconductor memory
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
WO2020163005A1 (en) * 2019-02-05 2020-08-13 Sandisk Technologies Llc Three-dimensional memory device with laterally pegged dielectric cores or a carbon-doped source contact layer and methods for making the same
JP2020136644A (ja) 2019-02-26 2020-08-31 キオクシア株式会社 半導体記憶装置
US10879260B2 (en) 2019-02-28 2020-12-29 Sandisk Technologies Llc Bonded assembly of a support die and plural memory dies containing laterally shifted vertical interconnections and methods for making the same
JP2020150147A (ja) 2019-03-14 2020-09-17 キオクシア株式会社 半導体記憶装置
JP2020150234A (ja) 2019-03-15 2020-09-17 キオクシア株式会社 半導体記憶装置
JP2020150218A (ja) 2019-03-15 2020-09-17 キオクシア株式会社 半導体記憶装置
JP2020155185A (ja) 2019-03-22 2020-09-24 キオクシア株式会社 半導体記憶装置
JP2020155664A (ja) * 2019-03-22 2020-09-24 キオクシア株式会社 半導体記憶装置
CN110896672B (zh) * 2019-03-29 2021-05-25 长江存储科技有限责任公司 三维存储器件及其制造方法
KR20200126686A (ko) * 2019-04-30 2020-11-09 에스케이하이닉스 주식회사 반도체 장치의 제조 방법
KR102637645B1 (ko) 2019-05-17 2024-02-19 삼성전자주식회사 반도체 장치
US10964752B2 (en) * 2019-06-13 2021-03-30 Western Digital Technologies, Inc. Three-dimensional memory device including laterally constricted current paths and methods of manufacturing the same
US11043537B2 (en) 2019-06-13 2021-06-22 Western Digital Technologies, Inc. Three-dimensional phase change memory device including vertically constricted current paths and methods of manufacturing the same
JP2020205387A (ja) * 2019-06-19 2020-12-24 キオクシア株式会社 半導体記憶装置及びその製造方法
JP2020205003A (ja) 2019-06-19 2020-12-24 キオクシア株式会社 メモリシステム、メモリコントローラ、及び半導体記憶装置
KR20210002138A (ko) 2019-06-20 2021-01-07 삼성전자주식회사 확장부를 갖는 채널 구조체를 포함하는 3차원 플래시 메모리 소자
JP2021028950A (ja) 2019-08-09 2021-02-25 キオクシア株式会社 半導体記憶装置
JP2021040009A (ja) 2019-09-02 2021-03-11 キオクシア株式会社 半導体記憶装置及びその製造方法
JP2021039810A (ja) 2019-09-04 2021-03-11 キオクシア株式会社 メモリシステム
JP2021048167A (ja) 2019-09-17 2021-03-25 キオクシア株式会社 半導体記憶装置
JP2021047941A (ja) 2019-09-17 2021-03-25 キオクシア株式会社 メモリシステム及びメモリシステムの制御方法
JP2021048230A (ja) 2019-09-18 2021-03-25 キオクシア株式会社 半導体記憶装置
JP2021047953A (ja) 2019-09-19 2021-03-25 キオクシア株式会社 半導体記憶装置
JP2021118252A (ja) * 2020-01-24 2021-08-10 キオクシア株式会社 半導体装置およびその製造方法
JP2021150408A (ja) * 2020-03-17 2021-09-27 キオクシア株式会社 半導体記憶装置
JP2021150409A (ja) * 2020-03-17 2021-09-27 キオクシア株式会社 半導体記憶装置
JP2021153080A (ja) 2020-03-24 2021-09-30 キオクシア株式会社 半導体記憶装置
JP2021190150A (ja) 2020-06-02 2021-12-13 キオクシア株式会社 メモリシステム及びメモリコントローラ
JP2022020957A (ja) 2020-07-21 2022-02-02 キオクシア株式会社 メモリシステム及び半導体記憶装置
CN117042458A (zh) * 2020-07-31 2023-11-10 长江存储科技有限责任公司 用于形成接触结构及其半导体器件的方法
JP2022146585A (ja) 2021-03-22 2022-10-05 キオクシア株式会社 メモリシステム
CN113327927B (zh) * 2021-05-12 2023-08-08 长江存储科技有限责任公司 三维存储器的制作方法及三维存储器
US11848332B2 (en) * 2021-07-23 2023-12-19 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and manufacturing method thereof
WO2023037567A1 (ja) * 2021-09-09 2023-03-16 キオクシア株式会社 半導体記憶装置

Family Cites Families (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US356791A (en) * 1887-02-01 Butter-worker
US386871A (en) * 1888-07-31 Switch for overhanging tracks
US385550A (en) * 1888-07-03 N peters
US356991A (en) * 1887-02-01 Machine for mixing soap and other substances
US386889A (en) * 1888-07-31 mallen
JP3229012B2 (ja) * 1992-05-21 2001-11-12 株式会社東芝 半導体装置の製造方法
JP3651689B2 (ja) * 1993-05-28 2005-05-25 株式会社東芝 Nand型不揮発性半導体記憶装置及びその製造方法
JP3285438B2 (ja) * 1993-10-29 2002-05-27 三菱電機株式会社 半導体記憶装置
KR0165398B1 (ko) * 1995-05-26 1998-12-15 윤종용 버티칼 트랜지스터의 제조방법
JPH1093083A (ja) * 1996-09-18 1998-04-10 Toshiba Corp 半導体装置の製造方法
FR2792632B1 (fr) * 1999-04-22 2004-02-13 Inst Francais Du Petrole Procede de production de paraxylene comprenant une etape d'adsorption, une etape d'isomerisation en phase liquide et une etape d'isomerisation en phase gazeuse avec une zeolithe de type eu0
JP2001102549A (ja) * 2000-08-28 2001-04-13 Toshiba Corp 半導体記憶装置
US8421143B2 (en) * 2000-09-26 2013-04-16 Kabushiki Kaisha Toshiba Nonvolatile semiconductor memory device having element isolating region of trench type
JP3963678B2 (ja) * 2001-06-23 2007-08-22 富士雄 舛岡 半導体記憶装置の製造方法
US6933556B2 (en) * 2001-06-22 2005-08-23 Fujio Masuoka Semiconductor memory with gate at least partially located in recess defined in vertically oriented semiconductor layer
US7378702B2 (en) 2004-06-21 2008-05-27 Sang-Yun Lee Vertical memory device structures
JP2006128390A (ja) * 2004-10-28 2006-05-18 Toshiba Corp 半導体装置及びその製造方法
FR2883283B1 (fr) * 2005-03-16 2007-05-18 Inst Francais Du Petrole Procede de production combinee de paraxylene et de benzene de productivite amelioree
JP2006310651A (ja) 2005-04-28 2006-11-09 Toshiba Corp 半導体装置の製造方法
JP5016832B2 (ja) * 2006-03-27 2012-09-05 株式会社東芝 不揮発性半導体記憶装置及びその製造方法
JP2007317874A (ja) * 2006-05-25 2007-12-06 Toshiba Corp 不揮発性半導体記憶装置
US7596024B2 (en) * 2006-07-14 2009-09-29 Semiconductor Energy Laboratory Co., Ltd. Nonvolatile memory
JP4843413B2 (ja) 2006-08-28 2011-12-21 株式会社日立ハイテクノロジーズ 電子線検査装置及び電子線検査方法
US8779495B2 (en) * 2007-04-19 2014-07-15 Qimonda Ag Stacked SONOS memory
JP4468433B2 (ja) 2007-11-30 2010-05-26 株式会社東芝 不揮発性半導体記憶装置
JP5142692B2 (ja) * 2007-12-11 2013-02-13 株式会社東芝 不揮発性半導体記憶装置
JP2009212280A (ja) 2008-03-04 2009-09-17 Toshiba Corp 不揮発性半導体記憶装置の製造方法
US7732891B2 (en) * 2008-06-03 2010-06-08 Kabushiki Kaisha Toshiba Semiconductor device
JP5288936B2 (ja) * 2008-08-12 2013-09-11 株式会社東芝 不揮発性半導体記憶装置
JP5395460B2 (ja) * 2009-02-25 2014-01-22 株式会社東芝 不揮発性半導体記憶装置、及びその製造方法
JP2011040706A (ja) * 2009-07-15 2011-02-24 Toshiba Corp 不揮発性半導体記憶装置
JP2011054802A (ja) * 2009-09-02 2011-03-17 Toshiba Corp 不揮発性半導体記憶装置、及びその製造方法
DE102013102221B4 (de) 2013-03-06 2014-11-13 Schott Ag Kratzfester Glasgegenstand und Verfahren zur Herstellung kratzfester Oberflächen von Glasgegenständen

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9985044B2 (en) 2016-03-11 2018-05-29 Toshiba Memory Corporation Semiconductor memory device and method for manufacturing the same
US10431590B2 (en) 2016-03-11 2019-10-01 Toshiba Memory Corporation Semiconductor memory device and method for manufacturing the same
US10608007B2 (en) 2016-03-11 2020-03-31 Toshiba Memory Corporation Semiconductor memory device and method for manufacturing the same
US10930660B2 (en) 2016-03-11 2021-02-23 Toshiba Memory Corporation Semiconductor memory device and method for manufacturing the same
US11329060B2 (en) 2016-03-11 2022-05-10 Kioxia Corporation Semiconductor memory device and method for manufacturing the same
US11751399B2 (en) 2016-03-11 2023-09-05 Kioxia Corporation Semiconductor memory device and method for manufacturing the same
US10553610B2 (en) 2018-03-29 2020-02-04 Samsung Electronics Co., Ltd. Three-dimensional semiconductor memory devices
US11302709B2 (en) 2018-03-29 2022-04-12 Samsung Electronics Co., Ltd. Three-dimensional semiconductor memory devices
US11659712B2 (en) 2018-03-29 2023-05-23 Samsung Electronics Co., Ltd. Three-dimensional semiconductor memory devices
US11588035B2 (en) 2019-12-24 2023-02-21 Samsung Electronics Co., Ltd. Semiconductor devices

Also Published As

Publication number Publication date
TW200947680A (en) 2009-11-16
US20160240554A1 (en) 2016-08-18
US10163931B2 (en) 2018-12-25
CN102037557B (zh) 2013-11-13
CN102037557A (zh) 2011-04-27
US20220320138A1 (en) 2022-10-06
US20150200204A1 (en) 2015-07-16
US8729624B2 (en) 2014-05-20
US20130126961A1 (en) 2013-05-23
US20100207195A1 (en) 2010-08-19
WO2009075370A1 (en) 2009-06-18
EP2201601B1 (en) 2013-11-20
US20220028892A1 (en) 2022-01-27
US11393840B2 (en) 2022-07-19
US9741738B2 (en) 2017-08-22
US20170330895A1 (en) 2017-11-16
EP2201601A4 (en) 2010-10-06
US9035374B2 (en) 2015-05-19
US20230146470A1 (en) 2023-05-11
US20200119037A1 (en) 2020-04-16
TWI384615B (zh) 2013-02-01
US11574926B2 (en) 2023-02-07
JP2009146954A (ja) 2009-07-02
US9356042B2 (en) 2016-05-31
US9985050B2 (en) 2018-05-29
KR101239559B1 (ko) 2013-03-06
KR20100087743A (ko) 2010-08-05
US20180240814A1 (en) 2018-08-23
US8372720B2 (en) 2013-02-12
EP2201601A1 (en) 2010-06-30
US20190096908A1 (en) 2019-03-28
US11844218B2 (en) 2023-12-12
US20140217493A1 (en) 2014-08-07

Similar Documents

Publication Publication Date Title
JP5142692B2 (ja) 不揮発性半導体記憶装置
JP5279560B2 (ja) 不揮発性半導体記憶装置
JP4468433B2 (ja) 不揮発性半導体記憶装置
JP5364342B2 (ja) 不揮発性半導体記憶装置、及びその製造方法
TWI449133B (zh) 非揮發性半導體記憶裝置及其製造方法
JP5288936B2 (ja) 不揮発性半導体記憶装置
KR101780274B1 (ko) 비휘발성 메모리 장치
JP5395460B2 (ja) 不揮発性半導体記憶装置、及びその製造方法
JP5908389B2 (ja) 不揮発性半導体記憶装置
JP2010114369A (ja) 不揮発性半導体記憶装置

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20100301

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120821

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20121001

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20121023

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20121120

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20151130

Year of fee payment: 3

LAPS Cancellation because of no payment of annual fees