JP5142692B2 - 不揮発性半導体記憶装置 - Google Patents
不揮発性半導体記憶装置Info
- Publication number
- JP5142692B2 JP5142692B2 JP2007320215A JP2007320215A JP5142692B2 JP 5142692 B2 JP5142692 B2 JP 5142692B2 JP 2007320215 A JP2007320215 A JP 2007320215A JP 2007320215 A JP2007320215 A JP 2007320215A JP 5142692 B2 JP5142692 B2 JP 5142692B2
- Authority
- JP
- Japan
- Prior art keywords
- layer
- memory
- insulating layer
- conductive layer
- transistor
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Expired - Fee Related
Links
- 239000004065 semiconductor Substances 0.000 title claims abstract description 153
- 238000003860 storage Methods 0.000 claims abstract description 26
- 230000006870 function Effects 0.000 claims abstract description 24
- 239000000758 substrate Substances 0.000 claims abstract description 16
- 230000008878 coupling Effects 0.000 abstract description 3
- 238000010168 coupling process Methods 0.000 abstract description 3
- 238000005859 coupling reaction Methods 0.000 abstract description 3
- 239000010410 layer Substances 0.000 description 467
- 238000004519 manufacturing process Methods 0.000 description 56
- 238000002955 isolation Methods 0.000 description 41
- 230000002093 peripheral effect Effects 0.000 description 39
- 229910021420 polycrystalline silicon Inorganic materials 0.000 description 31
- 229920005591 polysilicon Polymers 0.000 description 31
- 229910004298 SiO 2 Inorganic materials 0.000 description 25
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 25
- 229910052814 silicon oxide Inorganic materials 0.000 description 25
- 229910052581 Si3N4 Inorganic materials 0.000 description 24
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 description 24
- 239000010936 titanium Substances 0.000 description 23
- 238000000034 method Methods 0.000 description 22
- 239000011229 interlayer Substances 0.000 description 14
- 101100292586 Caenorhabditis elegans mtr-4 gene Proteins 0.000 description 13
- 239000010949 copper Substances 0.000 description 12
- 230000008569 process Effects 0.000 description 12
- 102100038712 Cap-specific mRNA (nucleoside-2'-O-)-methyltransferase 1 Human genes 0.000 description 11
- 101710203121 Cap-specific mRNA (nucleoside-2'-O-)-methyltransferase 1 Proteins 0.000 description 11
- RTAQQCXQSZGOHL-UHFFFAOYSA-N Titanium Chemical compound [Ti] RTAQQCXQSZGOHL-UHFFFAOYSA-N 0.000 description 11
- 229910052719 titanium Inorganic materials 0.000 description 11
- 229910052802 copper Inorganic materials 0.000 description 8
- 230000000694 effects Effects 0.000 description 8
- 229910052721 tungsten Inorganic materials 0.000 description 8
- 239000010937 tungsten Substances 0.000 description 8
- 229910021417 amorphous silicon Inorganic materials 0.000 description 7
- KRHYYFGTRYWZRS-UHFFFAOYSA-N Fluorane Chemical compound F KRHYYFGTRYWZRS-UHFFFAOYSA-N 0.000 description 6
- 229910021332 silicide Inorganic materials 0.000 description 6
- FVBUAEGBCNSCDD-UHFFFAOYSA-N silicide(4-) Chemical compound [Si-4] FVBUAEGBCNSCDD-UHFFFAOYSA-N 0.000 description 6
- 230000000052 comparative effect Effects 0.000 description 5
- 238000009413 insulation Methods 0.000 description 5
- 238000001459 lithography Methods 0.000 description 5
- 229910016570 AlCu Inorganic materials 0.000 description 4
- RYGMFSIKBFXOCR-UHFFFAOYSA-N Copper Chemical compound [Cu] RYGMFSIKBFXOCR-UHFFFAOYSA-N 0.000 description 4
- NRTOMJZYCJJWKI-UHFFFAOYSA-N Titanium nitride Chemical compound [Ti]#N NRTOMJZYCJJWKI-UHFFFAOYSA-N 0.000 description 4
- 229910052782 aluminium Inorganic materials 0.000 description 4
- 239000010941 cobalt Substances 0.000 description 4
- 229910017052 cobalt Inorganic materials 0.000 description 4
- GUTLYIVDDKVIGB-UHFFFAOYSA-N cobalt atom Chemical compound [Co] GUTLYIVDDKVIGB-UHFFFAOYSA-N 0.000 description 4
- 238000000151 deposition Methods 0.000 description 4
- 238000001020 plasma etching Methods 0.000 description 4
- 229910052715 tantalum Inorganic materials 0.000 description 4
- GUVRBAGPIYLISA-UHFFFAOYSA-N tantalum atom Chemical compound [Ta] GUVRBAGPIYLISA-UHFFFAOYSA-N 0.000 description 4
- WFKWXMTUELFFGS-UHFFFAOYSA-N tungsten Chemical compound [W] WFKWXMTUELFFGS-UHFFFAOYSA-N 0.000 description 4
- 101100328154 Mus musculus Clmn gene Proteins 0.000 description 3
- 238000010306 acid treatment Methods 0.000 description 3
- 230000015572 biosynthetic process Effects 0.000 description 3
- 238000010586 diagram Methods 0.000 description 3
- 238000005530 etching Methods 0.000 description 3
- 238000007667 floating Methods 0.000 description 3
- MHAJPDPJQMAIIY-UHFFFAOYSA-N Hydrogen peroxide Chemical compound OO MHAJPDPJQMAIIY-UHFFFAOYSA-N 0.000 description 2
- NBIIXXVUZAFLBC-UHFFFAOYSA-N Phosphoric acid Chemical compound OP(O)(O)=O NBIIXXVUZAFLBC-UHFFFAOYSA-N 0.000 description 2
- QAOWNCQODCNURD-UHFFFAOYSA-N Sulfuric acid Chemical compound OS(O)(=O)=O QAOWNCQODCNURD-UHFFFAOYSA-N 0.000 description 2
- 238000005229 chemical vapour deposition Methods 0.000 description 2
- 239000000463 material Substances 0.000 description 2
- 239000011159 matrix material Substances 0.000 description 2
- 238000004151 rapid thermal annealing Methods 0.000 description 2
- 229910019001 CoSi Inorganic materials 0.000 description 1
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 1
- 238000009825 accumulation Methods 0.000 description 1
- 238000007792 addition Methods 0.000 description 1
- 229910000147 aluminium phosphate Inorganic materials 0.000 description 1
- 230000015556 catabolic process Effects 0.000 description 1
- 230000008859 change Effects 0.000 description 1
- 230000009977 dual effect Effects 0.000 description 1
- 230000005684 electric field Effects 0.000 description 1
- 238000005516 engineering process Methods 0.000 description 1
- 230000010354 integration Effects 0.000 description 1
- 238000005468 ion implantation Methods 0.000 description 1
- 229910052751 metal Inorganic materials 0.000 description 1
- 239000002184 metal Substances 0.000 description 1
- 239000000203 mixture Substances 0.000 description 1
- 238000012986 modification Methods 0.000 description 1
- 230000004048 modification Effects 0.000 description 1
- 230000007935 neutral effect Effects 0.000 description 1
- 238000000206 photolithography Methods 0.000 description 1
- 238000005498 polishing Methods 0.000 description 1
- 238000000926 separation method Methods 0.000 description 1
- 229910052710 silicon Inorganic materials 0.000 description 1
- 239000010703 silicon Substances 0.000 description 1
- 239000000126 substance Substances 0.000 description 1
- 238000006467 substitution reaction Methods 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10B—ELECTRONIC MEMORY DEVICES
- H10B43/00—EEPROM devices comprising charge-trapping gate insulators
- H10B43/40—EEPROM devices comprising charge-trapping gate insulators characterised by the peripheral circuit region
-
- G—PHYSICS
- G11—INFORMATION STORAGE
- G11C—STATIC STORES
- G11C16/00—Erasable programmable read-only memories
- G11C16/02—Erasable programmable read-only memories electrically programmable
- G11C16/04—Erasable programmable read-only memories electrically programmable using variable threshold transistors, e.g. FAMOS
- G11C16/0483—Erasable programmable read-only memories electrically programmable using variable threshold transistors, e.g. FAMOS comprising cells having several storage transistors connected in series
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L27/00—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
- H01L27/02—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
- H01L27/04—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
- H01L27/06—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration
- H01L27/0688—Integrated circuits having a three-dimensional layout
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/40—Electrodes ; Multistep manufacturing processes therefor
- H01L29/43—Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
- H01L29/49—Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
- H01L29/51—Insulating materials associated therewith
- H01L29/511—Insulating materials associated therewith with a compositional variation, e.g. multilayer structures
- H01L29/513—Insulating materials associated therewith with a compositional variation, e.g. multilayer structures the variation being perpendicular to the channel plane
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10B—ELECTRONIC MEMORY DEVICES
- H10B41/00—Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
- H10B41/20—Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10B—ELECTRONIC MEMORY DEVICES
- H10B41/00—Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
- H10B41/20—Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels
- H10B41/23—Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
- H10B41/27—Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10B—ELECTRONIC MEMORY DEVICES
- H10B43/00—EEPROM devices comprising charge-trapping gate insulators
- H10B43/20—EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10B—ELECTRONIC MEMORY DEVICES
- H10B43/00—EEPROM devices comprising charge-trapping gate insulators
- H10B43/20—EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels
- H10B43/23—EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
- H10B43/27—EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10B—ELECTRONIC MEMORY DEVICES
- H10B43/00—EEPROM devices comprising charge-trapping gate insulators
- H10B43/50—EEPROM devices comprising charge-trapping gate insulators characterised by the boundary region between the core and peripheral circuit regions
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10B—ELECTRONIC MEMORY DEVICES
- H10B99/00—Subject matter not provided for in other groups of this subclass
Description
(第1実施形態に係る不揮発性半導体記憶装置100の構成)
図1は、本発明の第1実施形態に係る不揮発性半導体記憶装置100の概略図を示す。図1に示すように、第1実施形態に係る不揮発性半導体記憶装置100は、主として、メモリトランジスタ領域12、ワード線駆動回路13、ソース側選択ゲート線(SGSm)駆動回路14、ドレイン側選択ゲート線(SGDm)駆動回路15、センスアンプ16、ソース線駆動回路17、及びバックゲートトランジスタ駆動回路18を有する。メモリトランジスタ領域12は、データを記憶するメモリトランジスタを有する。ワード線駆動回路13は、ワード線WLmに印加する電圧を制御する。ソース側選択ゲート線(SGSm)駆動回路14は、ソース側選択ゲート線SGSmに印加する電圧を制御する。ドレイン側選択ゲート線(SGDm)駆動回路15は、ドレイン側選択ゲート線(SGDm)に印加する電圧を制御する。センスアンプ16は、メモリトランジスタから読み出した電位を増幅する。ソース線駆動回路17は、ソース線SLnに印加する電圧を制御する。バックゲートトランジスタ駆動回路18は、バックゲート線BGに印加する電圧を制御する。なお、上記の他、第1実施形態に係る不揮発性半導体記憶装置100は、ビット線BLnに印加する電圧を制御するビット線駆動回路を有する。(図示略)。
次に、図5及び図6を参照して、第1実施形態に係る不揮発性半導体装置100の具体的構成について説明する。図5は、第1実施形態に係る不揮発性半導体装置100のメモリトランジスタ領域12の断面図であり、図6は、そのメモリトランジスタ領域12の終端及び周辺領域Phのロウ方向断面図である。また、図5(a)は、カラム方向の断面を示し、図5(b)は、ロウ方向の断面を示す。図5及び図6においては、上記図1〜図4とは異なり、16個のメモリトランジスタが直列接続したメモリストリングスを示している。
バックゲートトランジスタ層20は、半導体基板Baの上に順次積層されたバックゲート絶縁層21、及びバックゲート導電層22を有する。これらバックゲート絶縁層21、及びバックゲート導電層22は、メモリトランジスタ領域12の端部までロウ方向及びカラム方向に広がって形成されている。また、バックゲートトランジスタ層20は、バックゲート絶縁層21、及びバックゲート導電層22のロウ方向及びカラム方向の端部側面を覆う側壁絶縁層23を有する。
次に、再び図1〜図4を参照して、第1実施形態に係る不揮発性半導体装置100の動作を説明する。メモリトランジスタMTr1mn〜MTr8mnにおける「読み出し動作」、「書き込み動作」、「消去動作」について説明する。なお、「読み出し動作」、「書き込み動作」については、メモリトランジスタMTr4mnを読み出し、書き込みの対象とする場合を例として説明する。また、電荷蓄積層ECに電荷が蓄積されていない状態のメモリトランジスタMTrの閾値Vth(中性閾値)が0V付近にあるとして説明する。
メモリトランジスタMTr4mnからのデータ読み出し時、ビット線駆動回路は、ビット線BLnにビット線電圧Vblを印加する。ソース線駆動回路17は、ソース線SLnを0Vとする。ソース側選択ゲート線駆動回路14は、ソース側選択ゲート線SGSmに駆動電圧Vddを印加する。ドレイン側選択ゲート線駆動回路15は、ドレイン側選択ゲート線SGDmに駆動電圧Vddを印加する。バックゲート線駆動回路19は、バックゲート線BGに導通電圧Vjを印加する。つまり、ソース側選択トランジスタSSTrmn、ドレイン側選択トランジスタSSTrmn、及びバックゲートトランジスタBGTrmnをオン状態とする。
メモリトランジスタMTr4mnにデータ”0”を書き込む場合、即ち、メモリトランジスタMTr4mnの電荷蓄積層ECに電子を注入して、メモリトランジスタMTr4mnの閾値電圧Vthを上げる場合、ビット線駆動回路は、ビット線Blmを0Vとする。ソース線駆動回路17は、ソース線SLnに駆動電圧Vdd(例えば、3V)を印加する。ソース側選択ゲート線駆動回路14は、ソース側選択ゲート線SGSmにオフ電圧Voff(例えば、0V)を印加する。ドレイン側選択ゲート線駆動回路15は、ドレイン側選択ゲート線SGDmに駆動電圧Vddを印加する。バックゲート線駆動回路19は、バックゲート線BGに導通電圧Vjを印加する。
データの消去時には、複数のメモリストリングスMSからなるブロック単位でメモリトランジスタのデータの消去を行う。
次に、図7〜図46を参照して、第1実施形態に係る不揮発性半導体記憶装置100の製造方法を説明する。図7〜図45の奇数番の図は、メモリトランジスタ領域12を示す断面図である。図7〜図45の奇数番における図において、(a)は、ロウ方向の断面図であり、(b)は、カラム方向の断面図である。図8〜図46の偶数番の図は、メモリトランジスタ領域12の終端及び周辺領域Phを示すカラム方向の断面図である。
次に、第1実施形態に係る不揮発性半導体記憶装置100の効果について説明する。第1実施形態に係る不揮発性半導体記憶装置100は、上記積層構造に示したように高集積化可能である。また、不揮発性半導体記憶装置100は、上記製造工程にて説明したように、メモリトランジスタMTrmnとなる各層、及びソース側選択トランジスタSSTrmn,ドレイン側選択トランジスタ層SDTrmnとなる各層を、ワード線WLmnの積層数に関係なく所定のリソグラフィ工程数で製造することができる。すなわち、安価に不揮発性半導体記憶装置100を製造することが可能である。
(第2実施形態に係る不揮発性半導体記憶装置の構成)
次に、図47及び図48を参照して、第2実施形態に係る不揮発性半導体記憶装置の構成について説明する。図47は、第2実施形態に係る不揮発性半導体記憶装置のメモリトランジスタ領域の一部概略斜視図であり、図48は、メモリトランジスタ領域の断面図である。
次に、第2実施形態に係る不揮発性半導体記憶装置の製造方法を説明する。第2実施形態に係る不揮発性半導体記憶装置の製造工程においては、第1実施形態の図25及び図26に示す工程にて、カラム方向に隣接する各U字状半導体層63の間に、メモリ分離溝94を形成しない。換言すると、第2実施形態に係る不揮発性半導体記憶装置の製造工程においては、各U字状半導体層63のカラム方向の中心にのみにメモリ分離溝94を形成する。この後、第1実施形態と同様の工程を経て、第2実施形態に係る不揮発性半導体記憶装置は製造される。
第2実施形態に係る不揮発性半導体記憶装置は、第1実施形態と同様の効果を奏する。
(第3実施形態に係る不揮発性半導体記憶装置の構成)
次に、図49及び図50を参照して、第3実施形態に係る不揮発性半導体記憶装置の構成について説明する。図49は、第3実施形態に係る不揮発性半導体記憶装置のメモリトランジスタ領域の一部概略斜視図であり、図50は、メモリトランジスタ領域の断面図である。
次に、第3実施形態に係る不揮発性半導体記憶装置の製造方法を説明する。第3実施形態に係る不揮発性半導体記憶装置は、第1実施形態の図11及び図12に示す工程を経て、第1バックゲート導電層22aを形成する。続いて、第1犠牲層91を形成した後、第1犠牲層91上にポリシリコンを堆積させ、さらに第2バックゲート導電層22bを形成する。この後、第1実施形態の図13〜図46に示す工程を経て、図50に示す第3実施形態に係る不揮発性半導体記憶装置が製造される。
第3実施形態に係る不揮発性半導体記憶装置は、第1実施形態と同様の効果を奏する。
(第4実施形態に係る不揮発性半導体記憶装置の構成)
次に、図51を参照して、第4実施形態に係る不揮発性半導体記憶装置の構成について説明する。図51は、第4実施形態に係る不揮発性半導体記憶装置のメモリトランジスタ領域の一部概略上面図である。
第4実施形態に係る不揮発性半導体記憶装置は、第1実施形態と同様の効果を奏する。
以上、不揮発性半導体記憶装置の一実施形態を説明してきたが、本発明は、上記実施形態に限定されるものではなく、発明の趣旨を逸脱しない範囲内において種々の変更、追加、置換等が可能である。
Claims (4)
- 電気的に書き換え可能な複数のメモリセルが直列に接続された複数のメモリストリングスを有する不揮発性半導体記憶装置であって、
前記メモリストリングスは、
基板に対して垂直方向に延びる一対の柱状部、及び前記一対の柱状部の下端を連結させるように形成された連結部を有する半導体層と、
前記柱状部の側面を取り囲むように形成された電荷蓄積層と、
前記柱状部の側面及び前記電荷蓄積層を取り囲むように形成された第1導電層と、
前記連結部に絶縁層を介して接するように形成された第2導電層と
を備え、
前記第1導電層は、前記メモリセルの制御電極として機能し、
前記第2導電層は、前記連結部にチャネルを形成するトランジスタの制御電極として機能する
ことを特徴とする不揮発性半導体記憶装置。 - 各々の前記第1導電層は、第1方向に隣接する各前記柱状部毎に分割されている
ことを特徴とする請求項1記載の不揮発性半導体記憶装置。 - 各々の前記第1導電層は、第1方向に隣接する前記半導体層を構成する一対の前記柱状部毎に分割されている
ことを特徴とする請求項1記載の不揮発性半導体記憶装置。 - 前記第2導電層は、前記連結部の下面、側面、及び上面を取り囲むように形成されている
ことを特徴とする請求項1乃至請求項3のいずれか1項記載の不揮発性半導体記憶装置。
Priority Applications (18)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
JP2007320215A JP5142692B2 (ja) | 2007-12-11 | 2007-12-11 | 不揮発性半導体記憶装置 |
US12/679,991 US8372720B2 (en) | 2007-12-11 | 2008-12-09 | Non-volatile semiconductor storage device and method of manufacturing the same |
CN2008801201725A CN102037557B (zh) | 2007-12-11 | 2008-12-09 | 非易失性半导体存储器件及其制造方法 |
EP08860456.6A EP2201601B1 (en) | 2007-12-11 | 2008-12-09 | Non-volatile semiconductor storage device and method of manufacturing the same |
PCT/JP2008/072727 WO2009075370A1 (en) | 2007-12-11 | 2008-12-09 | Non-volatile semiconductor storage device and method of manufacturing the same |
KR1020107013010A KR101239559B1 (ko) | 2007-12-11 | 2008-12-09 | 불휘발성 반도체 기억 장치 및 이를 제조하는 방법 |
TW097148254A TWI384615B (zh) | 2007-12-11 | 2008-12-11 | 非揮發性半導體記憶裝置及其製造方法 |
US13/740,803 US8729624B2 (en) | 2007-12-11 | 2013-01-14 | Non-volatile semiconductor storage device and method of manufacturing the same |
US14/246,849 US9035374B2 (en) | 2007-12-11 | 2014-04-07 | Non-volatile semiconductor storage device and method of manufacturing the same |
US14/668,270 US9356042B2 (en) | 2007-12-11 | 2015-03-25 | Non-volatile semiconductor storage device and method of manufacturing the same |
US15/141,135 US9741738B2 (en) | 2007-12-11 | 2016-04-28 | Non-volatile semiconductor storage device and method of manufacturing the same |
US15/664,924 US9985050B2 (en) | 2007-12-11 | 2017-07-31 | Non-volatile semiconductor storage device and method of manufacturing the same |
US15/960,842 US10163931B2 (en) | 2007-12-11 | 2018-04-24 | Non-volatile semiconductor storage device and method of manufacturing the same |
US16/204,444 US20190096908A1 (en) | 2007-12-11 | 2018-11-29 | Non-volatile semiconductor storage device and method of manufacturing the same |
US15/929,185 US11393840B2 (en) | 2007-12-11 | 2019-12-11 | Non-volatile semiconductor storage device and method of manufacturing the same |
US17/499,357 US11574926B2 (en) | 2007-12-11 | 2021-10-12 | Non-volatile semiconductor storage device and method of manufacturing the same |
US17/843,320 US11844218B2 (en) | 2007-12-11 | 2022-06-17 | Non-volatile semiconductor storage device and method of manufacturing the same |
US18/091,728 US20230146470A1 (en) | 2007-12-11 | 2022-12-30 | Non-volatile semiconductor storage device and method of manufacturing the same |
Applications Claiming Priority (1)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
JP2007320215A JP5142692B2 (ja) | 2007-12-11 | 2007-12-11 | 不揮発性半導体記憶装置 |
Related Child Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2012251671A Division JP5908389B2 (ja) | 2012-11-15 | 2012-11-15 | 不揮発性半導体記憶装置 |
Publications (2)
Publication Number | Publication Date |
---|---|
JP2009146954A JP2009146954A (ja) | 2009-07-02 |
JP5142692B2 true JP5142692B2 (ja) | 2013-02-13 |
Family
ID=40755605
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2007320215A Expired - Fee Related JP5142692B2 (ja) | 2007-12-11 | 2007-12-11 | 不揮発性半導体記憶装置 |
Country Status (7)
Country | Link |
---|---|
US (12) | US8372720B2 (ja) |
EP (1) | EP2201601B1 (ja) |
JP (1) | JP5142692B2 (ja) |
KR (1) | KR101239559B1 (ja) |
CN (1) | CN102037557B (ja) |
TW (1) | TWI384615B (ja) |
WO (1) | WO2009075370A1 (ja) |
Cited By (3)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US9985044B2 (en) | 2016-03-11 | 2018-05-29 | Toshiba Memory Corporation | Semiconductor memory device and method for manufacturing the same |
US10553610B2 (en) | 2018-03-29 | 2020-02-04 | Samsung Electronics Co., Ltd. | Three-dimensional semiconductor memory devices |
US11588035B2 (en) | 2019-12-24 | 2023-02-21 | Samsung Electronics Co., Ltd. | Semiconductor devices |
Families Citing this family (591)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP4468433B2 (ja) | 2007-11-30 | 2010-05-26 | 株式会社東芝 | 不揮発性半導体記憶装置 |
JP5142692B2 (ja) | 2007-12-11 | 2013-02-13 | 株式会社東芝 | 不揮発性半導体記憶装置 |
JP5112201B2 (ja) | 2008-07-11 | 2013-01-09 | 株式会社東芝 | 不揮発性半導体記憶装置 |
JP5288936B2 (ja) * | 2008-08-12 | 2013-09-11 | 株式会社東芝 | 不揮発性半導体記憶装置 |
JP2010080561A (ja) | 2008-09-25 | 2010-04-08 | Toshiba Corp | 不揮発性半導体記憶装置 |
JP5388537B2 (ja) | 2008-10-20 | 2014-01-15 | 株式会社東芝 | 不揮発性半導体記憶装置、及びその製造方法 |
JP5364336B2 (ja) | 2008-11-04 | 2013-12-11 | 株式会社東芝 | 半導体記憶装置 |
JP5300419B2 (ja) * | 2008-11-05 | 2013-09-25 | 株式会社東芝 | 不揮発性半導体記憶装置及びその製造方法 |
JP5364342B2 (ja) * | 2008-11-10 | 2013-12-11 | 株式会社東芝 | 不揮発性半導体記憶装置、及びその製造方法 |
JP2010118530A (ja) | 2008-11-13 | 2010-05-27 | Toshiba Corp | 不揮発性半導体記憶装置 |
JP2010118580A (ja) | 2008-11-14 | 2010-05-27 | Toshiba Corp | 不揮発性半導体記憶装置 |
JP5356005B2 (ja) | 2008-12-10 | 2013-12-04 | 株式会社東芝 | 不揮発性半導体記憶装置及びその製造方法 |
JP5317664B2 (ja) | 2008-12-17 | 2013-10-16 | 株式会社東芝 | 不揮発性半導体記憶装置の製造方法 |
JP2010161132A (ja) | 2009-01-07 | 2010-07-22 | Toshiba Corp | 不揮発性半導体記憶装置、及びその製造方法 |
JP5275052B2 (ja) | 2009-01-08 | 2013-08-28 | 株式会社東芝 | 不揮発性半導体記憶装置 |
JP5388600B2 (ja) | 2009-01-22 | 2014-01-15 | 株式会社東芝 | 不揮発性半導体記憶装置の製造方法 |
JP5376976B2 (ja) | 2009-02-06 | 2013-12-25 | 株式会社東芝 | 不揮発性半導体記憶装置及びその製造方法 |
JP5364394B2 (ja) | 2009-02-16 | 2013-12-11 | 株式会社東芝 | 不揮発性半導体記憶装置 |
JP5383241B2 (ja) | 2009-02-16 | 2014-01-08 | 株式会社東芝 | 不揮発性半導体記憶装置及びその製造方法 |
JP2010199235A (ja) | 2009-02-24 | 2010-09-09 | Toshiba Corp | 不揮発性半導体記憶装置 |
JP5395460B2 (ja) * | 2009-02-25 | 2014-01-22 | 株式会社東芝 | 不揮発性半導体記憶装置、及びその製造方法 |
JP5330027B2 (ja) | 2009-02-25 | 2013-10-30 | 株式会社東芝 | 不揮発性半導体記憶装置、及びその製造方法 |
JP2010205904A (ja) | 2009-03-03 | 2010-09-16 | Toshiba Corp | 不揮発性半導体記憶装置の製造方法及び不揮発性半導体記憶装置 |
JP5279560B2 (ja) | 2009-03-11 | 2013-09-04 | 株式会社東芝 | 不揮発性半導体記憶装置 |
KR101539699B1 (ko) | 2009-03-19 | 2015-07-27 | 삼성전자주식회사 | 3차원 구조의 비휘발성 메모리 소자 및 그 제조방법 |
JP4897009B2 (ja) | 2009-03-24 | 2012-03-14 | 株式会社東芝 | 不揮発性半導体記憶装置の製造方法 |
JP5398378B2 (ja) | 2009-06-24 | 2014-01-29 | 株式会社東芝 | 半導体記憶装置及びその製造方法 |
JP2011009409A (ja) | 2009-06-25 | 2011-01-13 | Toshiba Corp | 不揮発性半導体記憶装置 |
JP5306080B2 (ja) * | 2009-07-01 | 2013-10-02 | 株式会社東芝 | 不揮発性半導体記憶装置及びその製造方法 |
JP5464928B2 (ja) * | 2009-07-02 | 2014-04-09 | ルネサスエレクトロニクス株式会社 | 半導体装置及び半導体装置の製造方法 |
JP2011014817A (ja) | 2009-07-06 | 2011-01-20 | Toshiba Corp | 不揮発性半導体記憶装置 |
JP2011040706A (ja) | 2009-07-15 | 2011-02-24 | Toshiba Corp | 不揮発性半導体記憶装置 |
JP2011023687A (ja) | 2009-07-21 | 2011-02-03 | Toshiba Corp | 不揮発性半導体記憶装置 |
JP2011029234A (ja) | 2009-07-21 | 2011-02-10 | Toshiba Corp | 不揮発性半導体記憶装置 |
JP5380190B2 (ja) | 2009-07-21 | 2014-01-08 | 株式会社東芝 | 不揮発性半導体記憶装置及びその製造方法 |
KR101660944B1 (ko) | 2009-07-22 | 2016-09-28 | 삼성전자 주식회사 | 수직형의 비휘발성 메모리 소자 및 그 제조 방법 |
JP2011035228A (ja) | 2009-08-04 | 2011-02-17 | Toshiba Corp | 不揮発性半導体記憶装置及びその製造方法 |
JP2011035237A (ja) * | 2009-08-04 | 2011-02-17 | Toshiba Corp | 半導体装置の製造方法及び半導体装置 |
JP4977180B2 (ja) | 2009-08-10 | 2012-07-18 | 株式会社東芝 | 不揮発性半導体記憶装置の製造方法 |
JP2011049206A (ja) * | 2009-08-25 | 2011-03-10 | Toshiba Corp | 半導体装置の製造方法及び半導体装置 |
JP5052575B2 (ja) | 2009-09-01 | 2012-10-17 | 株式会社東芝 | 不揮発性半導体記憶装置 |
JP2011054802A (ja) | 2009-09-02 | 2011-03-17 | Toshiba Corp | 不揮発性半導体記憶装置、及びその製造方法 |
JP4982540B2 (ja) | 2009-09-04 | 2012-07-25 | 株式会社東芝 | 不揮発性半導体記憶装置、及びその製造方法 |
JP4922370B2 (ja) * | 2009-09-07 | 2012-04-25 | 株式会社東芝 | 不揮発性半導体記憶装置、及びその製造方法 |
KR101164954B1 (ko) * | 2009-09-14 | 2012-07-12 | 에스케이하이닉스 주식회사 | 3차원 구조를 갖는 비휘발성 메모리 소자 및 그 제조 방법 |
JP2011061159A (ja) * | 2009-09-14 | 2011-03-24 | Toshiba Corp | 不揮発性半導体記憶装置 |
KR101698193B1 (ko) * | 2009-09-15 | 2017-01-19 | 삼성전자주식회사 | 3차원 반도체 메모리 장치 및 그 제조 방법 |
JP4975794B2 (ja) | 2009-09-16 | 2012-07-11 | 株式会社東芝 | 不揮発性半導体記憶装置 |
JP4913188B2 (ja) | 2009-09-18 | 2012-04-11 | 株式会社東芝 | 不揮発性半導体記憶装置 |
JP5044624B2 (ja) | 2009-09-25 | 2012-10-10 | 株式会社東芝 | 不揮発性半導体記憶装置 |
JP5297342B2 (ja) | 2009-11-02 | 2013-09-25 | 株式会社東芝 | 不揮発性半導体記憶装置 |
JP5259552B2 (ja) | 2009-11-02 | 2013-08-07 | 株式会社東芝 | 不揮発性半導体記憶装置及びその駆動方法 |
JP2011108921A (ja) * | 2009-11-19 | 2011-06-02 | Toshiba Corp | 不揮発性半導体記憶装置及びその製造方法 |
JP5457815B2 (ja) | 2009-12-17 | 2014-04-02 | 株式会社東芝 | 不揮発性半導体記憶装置 |
JP5394270B2 (ja) * | 2010-01-25 | 2014-01-22 | 株式会社東芝 | 不揮発性半導体記憶装置及びその製造方法 |
JP2011171698A (ja) * | 2010-01-25 | 2011-09-01 | Toshiba Corp | 半導体装置の製造方法 |
JP5378255B2 (ja) * | 2010-02-02 | 2013-12-25 | 株式会社東芝 | 不揮発性半導体記憶装置および不揮発性半導体記憶装置の駆動方法 |
JP2011159364A (ja) | 2010-02-02 | 2011-08-18 | Toshiba Corp | 不揮発性半導体記憶装置および不揮発性半導体記憶装置の駆動方法 |
JP2011165815A (ja) | 2010-02-08 | 2011-08-25 | Toshiba Corp | 不揮発性半導体記憶装置 |
JP2011166061A (ja) | 2010-02-15 | 2011-08-25 | Toshiba Corp | 半導体装置の製造方法 |
KR101652873B1 (ko) * | 2010-02-18 | 2016-08-31 | 삼성전자주식회사 | 3차원 반도체 장치 및 그 동작 방법 |
KR101702060B1 (ko) * | 2010-02-19 | 2017-02-02 | 삼성전자주식회사 | 3차원 반도체 장치의 배선 구조체 |
JP5144698B2 (ja) | 2010-03-05 | 2013-02-13 | 株式会社東芝 | 半導体記憶装置及びその製造方法 |
JP5248541B2 (ja) | 2010-03-05 | 2013-07-31 | 株式会社東芝 | 半導体記憶装置の動作方法 |
JP2011187794A (ja) | 2010-03-10 | 2011-09-22 | Toshiba Corp | 半導体記憶装置及びその製造方法 |
JP2011198806A (ja) | 2010-03-17 | 2011-10-06 | Toshiba Corp | 半導体記憶装置及びその製造方法 |
JP5121869B2 (ja) | 2010-03-23 | 2013-01-16 | 株式会社東芝 | 不揮発性半導体記憶装置の製造方法 |
JP2011198435A (ja) | 2010-03-23 | 2011-10-06 | Toshiba Corp | 不揮発性半導体記憶装置 |
JP5072995B2 (ja) * | 2010-03-24 | 2012-11-14 | 株式会社東芝 | 不揮発性半導体記憶装置 |
US9536970B2 (en) | 2010-03-26 | 2017-01-03 | Samsung Electronics Co., Ltd. | Three-dimensional semiconductor memory devices and methods of fabricating the same |
KR101738533B1 (ko) * | 2010-05-24 | 2017-05-23 | 삼성전자 주식회사 | 적층 메모리 장치 및 그 제조 방법 |
JP5504053B2 (ja) | 2010-05-27 | 2014-05-28 | 株式会社東芝 | 半導体装置及びその製造方法 |
JP2011258776A (ja) | 2010-06-09 | 2011-12-22 | Toshiba Corp | 不揮発性半導体メモリ |
JP5514004B2 (ja) | 2010-06-15 | 2014-06-04 | 株式会社東芝 | 半導体記憶装置及びその製造方法 |
JP5491982B2 (ja) | 2010-06-21 | 2014-05-14 | 株式会社東芝 | 不揮発性半導体記憶装置及びその製造方法 |
JP2012009512A (ja) | 2010-06-22 | 2012-01-12 | Toshiba Corp | 不揮発性半導体記憶装置及びその製造方法 |
US8980731B2 (en) | 2010-06-24 | 2015-03-17 | Samsung Electronics Co., Ltd. | Methods of forming a semiconductor device |
KR101713228B1 (ko) | 2010-06-24 | 2017-03-07 | 삼성전자주식회사 | 비대칭 워드라인 패드를 갖는 반도체 메모리 소자 |
JP2012009701A (ja) * | 2010-06-25 | 2012-01-12 | Toshiba Corp | 不揮発性半導体記憶装置 |
US8928061B2 (en) | 2010-06-30 | 2015-01-06 | SanDisk Technologies, Inc. | Three dimensional NAND device with silicide containing floating gates |
US10128261B2 (en) | 2010-06-30 | 2018-11-13 | Sandisk Technologies Llc | Cobalt-containing conductive layers for control gate electrodes in a memory structure |
US9397093B2 (en) | 2013-02-08 | 2016-07-19 | Sandisk Technologies Inc. | Three dimensional NAND device with semiconductor, metal or silicide floating gates and method of making thereof |
US9159739B2 (en) | 2010-06-30 | 2015-10-13 | Sandisk Technologies Inc. | Floating gate ultrahigh density vertical NAND flash memory |
US8187936B2 (en) * | 2010-06-30 | 2012-05-29 | SanDisk Technologies, Inc. | Ultrahigh density vertical NAND memory device and method of making thereof |
KR20120003351A (ko) | 2010-07-02 | 2012-01-10 | 삼성전자주식회사 | 3차원 비휘발성 메모리 장치 및 그 동작방법 |
JP5502629B2 (ja) | 2010-07-12 | 2014-05-28 | 株式会社東芝 | 不揮発性半導体記憶装置、及びその製造方法 |
JP2012028537A (ja) | 2010-07-22 | 2012-02-09 | Toshiba Corp | 不揮発性半導体記憶装置及びその製造方法 |
JP5468489B2 (ja) * | 2010-07-29 | 2014-04-09 | 株式会社東芝 | 半導体記憶装置の動作方法 |
JP5349423B2 (ja) | 2010-08-20 | 2013-11-20 | 株式会社東芝 | 不揮発性半導体記憶装置及びその製造方法 |
JP2012059830A (ja) | 2010-09-07 | 2012-03-22 | Toshiba Corp | 半導体記憶装置 |
KR101738103B1 (ko) * | 2010-09-10 | 2017-05-22 | 삼성전자주식회사 | 3차원 반도체 기억 소자 |
JP2012069606A (ja) | 2010-09-21 | 2012-04-05 | Toshiba Corp | 不揮発性半導体記憶装置 |
JP5259666B2 (ja) | 2010-09-22 | 2013-08-07 | 株式会社東芝 | 不揮発性半導体記憶装置 |
JP2012069205A (ja) | 2010-09-22 | 2012-04-05 | Toshiba Corp | 不揮発性半導体記憶装置 |
JP5422530B2 (ja) | 2010-09-22 | 2014-02-19 | 株式会社東芝 | 半導体記憶装置及びその製造方法 |
KR101149619B1 (ko) | 2010-11-19 | 2012-05-25 | 에스케이하이닉스 주식회사 | 3차원 구조의 비휘발성 메모리 소자 및 그 제조 방법 |
JP2012119013A (ja) | 2010-11-29 | 2012-06-21 | Toshiba Corp | 不揮発性半導体記憶装置 |
JP2012146350A (ja) | 2011-01-07 | 2012-08-02 | Toshiba Corp | 不揮発性半導体記憶装置 |
US8441855B2 (en) * | 2011-01-14 | 2013-05-14 | Micron Technology, Inc. | Strings of memory cells having string select gates, memory devices incorporating such strings, and methods of accessing and forming the same |
JP2012151187A (ja) | 2011-01-17 | 2012-08-09 | Toshiba Corp | 半導体記憶装置の製造方法 |
JP2012168999A (ja) | 2011-02-10 | 2012-09-06 | Toshiba Corp | 不揮発性半導体記憶装置の動作方法 |
JP2012174872A (ja) | 2011-02-21 | 2012-09-10 | Toshiba Corp | 半導体記憶装置 |
JP5431386B2 (ja) | 2011-02-22 | 2014-03-05 | 株式会社東芝 | 半導体記憶装置 |
JP2012174892A (ja) * | 2011-02-22 | 2012-09-10 | Toshiba Corp | 半導体記憶装置及びその製造方法 |
KR101206506B1 (ko) * | 2011-03-04 | 2012-11-29 | 에스케이하이닉스 주식회사 | 비휘발성 메모리 장치 및 그 제조 방법 |
KR101721117B1 (ko) | 2011-03-15 | 2017-03-29 | 삼성전자 주식회사 | 반도체 소자의 제조 방법 |
JP5398766B2 (ja) | 2011-03-16 | 2014-01-29 | 株式会社東芝 | 半導体装置及びその製造方法 |
JP2012203929A (ja) | 2011-03-23 | 2012-10-22 | Toshiba Corp | 半導体記憶装置 |
JP2012204493A (ja) | 2011-03-24 | 2012-10-22 | Toshiba Corp | 不揮発性半導体記憶装置 |
JP2012204430A (ja) * | 2011-03-24 | 2012-10-22 | Toshiba Corp | 不揮発性半導体記憶装置及びその製造方法 |
JP2012204684A (ja) | 2011-03-25 | 2012-10-22 | Toshiba Corp | 不揮発性半導体記憶装置 |
JP5351201B2 (ja) * | 2011-03-25 | 2013-11-27 | 株式会社東芝 | 不揮発性半導体記憶装置及びその製造方法 |
JP2012204592A (ja) * | 2011-03-25 | 2012-10-22 | Toshiba Corp | 半導体装置の製造方法 |
JP5411193B2 (ja) | 2011-03-25 | 2014-02-12 | 株式会社東芝 | 不揮発性半導体記憶装置の製造方法 |
KR101206157B1 (ko) * | 2011-04-26 | 2012-11-28 | 에스케이하이닉스 주식회사 | 3차원 구조의 비휘발성 메모리 소자 및 그 제조 방법 |
KR101807254B1 (ko) | 2011-04-29 | 2018-01-11 | 삼성전자주식회사 | 반도체 기억 소자의 형성 방법 |
JP2012252740A (ja) | 2011-06-02 | 2012-12-20 | Toshiba Corp | 不揮発性半導体記憶装置 |
JP5524134B2 (ja) | 2011-06-14 | 2014-06-18 | 株式会社東芝 | 不揮発性半導体記憶装置 |
JP2013012553A (ja) | 2011-06-28 | 2013-01-17 | Toshiba Corp | 半導体記憶装置 |
JP5593283B2 (ja) | 2011-08-04 | 2014-09-17 | 株式会社東芝 | 半導体記憶装置及びその製造方法 |
KR101863367B1 (ko) * | 2011-08-26 | 2018-06-01 | 에스케이하이닉스 주식회사 | 3차원 불휘발성 메모리 소자의 제조방법 |
JP5514172B2 (ja) | 2011-09-02 | 2014-06-04 | 株式会社東芝 | 不揮発性半導体記憶装置およびその製造方法 |
JP5487170B2 (ja) | 2011-09-02 | 2014-05-07 | 株式会社東芝 | 半導体記憶装置 |
KR101865566B1 (ko) * | 2011-09-08 | 2018-06-11 | 삼성전자주식회사 | 수직형 메모리 장치의 제조 방법 |
JP2013058592A (ja) | 2011-09-08 | 2013-03-28 | Toshiba Corp | 不揮発性半導体記憶装置 |
JP2013058683A (ja) | 2011-09-09 | 2013-03-28 | Toshiba Corp | 半導体記憶装置の製造方法 |
JP2013065636A (ja) | 2011-09-15 | 2013-04-11 | Toshiba Corp | 不揮発性半導体記憶装置およびその製造方法 |
JP2013065604A (ja) | 2011-09-15 | 2013-04-11 | Toshiba Corp | 半導体装置およびその製造方法 |
JP2013069356A (ja) | 2011-09-20 | 2013-04-18 | Toshiba Corp | 半導体記憶装置 |
JP5543950B2 (ja) | 2011-09-22 | 2014-07-09 | 株式会社東芝 | 不揮発性半導体記憶装置の製造方法及び不揮発性半導体記憶装置 |
JP5559120B2 (ja) | 2011-09-22 | 2014-07-23 | 株式会社東芝 | 不揮発性半導体記憶装置 |
JP2013088862A (ja) * | 2011-10-13 | 2013-05-13 | Elpida Memory Inc | レイアウトデータ作成装置及び半導体装置 |
JP2013098470A (ja) * | 2011-11-04 | 2013-05-20 | Toshiba Corp | 半導体記憶装置及びその製造方法 |
JP2013120786A (ja) | 2011-12-06 | 2013-06-17 | Toshiba Corp | 半導体記憶装置 |
US8917557B2 (en) | 2011-12-15 | 2014-12-23 | Kabushiki Kaisha Toshiba | Nonvolatile semiconductor memory device |
JP5740296B2 (ja) | 2011-12-16 | 2015-06-24 | 株式会社東芝 | 半導体記憶装置、半導体記憶装置の制御方法、制御プログラム |
KR20130070153A (ko) * | 2011-12-19 | 2013-06-27 | 에스케이하이닉스 주식회사 | 반도체 장치의 캐패시터, 레지스터, 메모리 시스템 및 이들의 제조 방법 |
KR101942421B1 (ko) | 2011-12-29 | 2019-01-30 | 에스케이하이닉스 주식회사 | 비휘발성 메모리 장치 및 그 제조 방법 |
KR20130095499A (ko) | 2012-02-20 | 2013-08-28 | 에스케이하이닉스 주식회사 | 비휘발성 메모리 장치, 그 동작 방법 및 그 제조 방법 |
JP2013183086A (ja) | 2012-03-02 | 2013-09-12 | Toshiba Corp | 半導体装置及びその製造方法 |
JP2013187338A (ja) | 2012-03-07 | 2013-09-19 | Toshiba Corp | 半導体装置及びその製造方法 |
JP2013187294A (ja) | 2012-03-07 | 2013-09-19 | Toshiba Corp | 半導体記憶装置 |
US8878278B2 (en) | 2012-03-21 | 2014-11-04 | Sandisk Technologies Inc. | Compact three dimensional vertical NAND and method of making thereof |
US8847302B2 (en) | 2012-04-10 | 2014-09-30 | Sandisk Technologies Inc. | Vertical NAND device with low capacitance and silicided word lines |
US8828884B2 (en) | 2012-05-23 | 2014-09-09 | Sandisk Technologies Inc. | Multi-level contact to a 3D memory array and method of making |
JP2014002810A (ja) | 2012-06-18 | 2014-01-09 | Toshiba Corp | 不揮発性半導体記憶装置 |
JP2014011389A (ja) | 2012-07-02 | 2014-01-20 | Toshiba Corp | 半導体装置の製造方法及び半導体装置 |
JP2014013634A (ja) | 2012-07-03 | 2014-01-23 | Toshiba Corp | 不揮発性半導体記憶装置及びその動作方法 |
US8658499B2 (en) | 2012-07-09 | 2014-02-25 | Sandisk Technologies Inc. | Three dimensional NAND device and method of charge trap layer separation and floating gate formation in the NAND device |
KR101990904B1 (ko) | 2012-07-17 | 2019-06-19 | 삼성전자주식회사 | 수직형 반도체 소자 |
JP2014027181A (ja) | 2012-07-27 | 2014-02-06 | Toshiba Corp | 半導体装置及びその製造方法 |
JP2014038672A (ja) | 2012-08-13 | 2014-02-27 | Toshiba Corp | 半導体装置の不良解析システムおよび半導体記憶装置 |
US8614126B1 (en) | 2012-08-15 | 2013-12-24 | Sandisk Technologies Inc. | Method of making a three-dimensional memory array with etch stop |
KR20140025054A (ko) * | 2012-08-21 | 2014-03-04 | 에스케이하이닉스 주식회사 | 비휘발성 메모리 장치 및 그 제조 방법 |
JP2014045128A (ja) | 2012-08-28 | 2014-03-13 | Toshiba Corp | 半導体記憶装置及びその製造方法 |
KR101985936B1 (ko) * | 2012-08-29 | 2019-06-05 | 에스케이하이닉스 주식회사 | 불휘발성 메모리 소자와 그 제조방법 |
KR20140028974A (ko) | 2012-08-31 | 2014-03-10 | 에스케이하이닉스 주식회사 | 3차원 반도체 메모리 소자와, 이를 포함하는 메모리 시스템과, 그 제조방법과, 그 동작방법 |
US8729523B2 (en) | 2012-08-31 | 2014-05-20 | Micron Technology, Inc. | Three dimensional memory array architecture |
US8841649B2 (en) | 2012-08-31 | 2014-09-23 | Micron Technology, Inc. | Three dimensional memory array architecture |
US8969945B2 (en) | 2012-09-05 | 2015-03-03 | Kabushiki Kaisha Toshiba | Nonvolatile semiconductor memory device |
US8884356B2 (en) | 2012-09-05 | 2014-11-11 | Kabushiki Kaisha Toshiba | Nonvolatile semiconductor memory device and method for manufacturing same |
US20140077285A1 (en) * | 2012-09-19 | 2014-03-20 | Kabushiki Kaisha Toshiba | Non-volatile semiconductor memory device and method for manufacturing non-volatile semiconductor memory device |
JP2014063552A (ja) | 2012-09-21 | 2014-04-10 | Toshiba Corp | 半導体記憶装置 |
US9132436B2 (en) | 2012-09-21 | 2015-09-15 | Applied Materials, Inc. | Chemical control features in wafer process equipment |
KR20140063147A (ko) * | 2012-11-16 | 2014-05-27 | 에스케이하이닉스 주식회사 | 반도체 장치 및 그 제조 방법 |
KR20140063144A (ko) | 2012-11-16 | 2014-05-27 | 에스케이하이닉스 주식회사 | 반도체 장치 및 그 제조 방법 |
KR102021801B1 (ko) | 2012-12-10 | 2019-09-17 | 삼성전자주식회사 | 3차원 반도체 장치 |
US10256079B2 (en) | 2013-02-08 | 2019-04-09 | Applied Materials, Inc. | Semiconductor processing systems having multiple plasma configurations |
US9362130B2 (en) | 2013-03-01 | 2016-06-07 | Applied Materials, Inc. | Enhanced etching processes using remote plasma sources |
US9698153B2 (en) | 2013-03-12 | 2017-07-04 | Sandisk Technologies Llc | Vertical NAND and method of making thereof using sequential stack etching and self-aligned landing pad |
US9515080B2 (en) | 2013-03-12 | 2016-12-06 | Sandisk Technologies Llc | Vertical NAND and method of making thereof using sequential stack etching and landing pad |
US9230987B2 (en) | 2014-02-20 | 2016-01-05 | Sandisk Technologies Inc. | Multilevel memory stack structure and methods of manufacturing the same |
US8946023B2 (en) | 2013-03-12 | 2015-02-03 | Sandisk Technologies Inc. | Method of making a vertical NAND device using sequential etching of multilayer stacks |
US9449982B2 (en) | 2013-03-12 | 2016-09-20 | Sandisk Technologies Llc | Method of making a vertical NAND device using a sacrificial layer with air gap and sequential etching of multilayer stacks |
JP2014179142A (ja) | 2013-03-14 | 2014-09-25 | Toshiba Corp | 半導体記憶装置 |
JP6005566B2 (ja) | 2013-03-18 | 2016-10-12 | 株式会社東芝 | 情報処理システム、制御プログラムおよび情報処理装置 |
JP2014183304A (ja) | 2013-03-19 | 2014-09-29 | Toshiba Corp | 不揮発性半導体記憶装置およびその製造方法 |
JP2014183224A (ja) | 2013-03-19 | 2014-09-29 | Toshiba Corp | 半導体記憶装置及びその製造方法 |
US9032264B2 (en) | 2013-03-21 | 2015-05-12 | Kabushiki Kaisha Toshiba | Test method for nonvolatile memory |
JP2014186763A (ja) | 2013-03-21 | 2014-10-02 | Toshiba Corp | 不揮発性半導体記憶装置 |
JP2014187329A (ja) | 2013-03-25 | 2014-10-02 | Toshiba Corp | 半導体記憶装置の製造方法及び半導体記憶装置 |
JP2014186787A (ja) | 2013-03-25 | 2014-10-02 | Toshiba Corp | 不揮発性半導体記憶装置、メモリコントローラ、及びメモリシステム |
JP2014187246A (ja) | 2013-03-25 | 2014-10-02 | Toshiba Corp | 半導体装置及びその製造方法 |
US9093480B2 (en) | 2013-04-01 | 2015-07-28 | Sandisk Technologies Inc. | Spacer passivation for high aspect ratio etching of multilayer stacks for three dimensional NAND device |
US9099496B2 (en) | 2013-04-01 | 2015-08-04 | Sandisk Technologies Inc. | Method of forming an active area with floating gate negative offset profile in FG NAND memory |
KR20140132102A (ko) * | 2013-05-07 | 2014-11-17 | 에스케이하이닉스 주식회사 | 반도체 메모리 장치 및 이의 동작 방법 |
US9472291B2 (en) * | 2013-05-16 | 2016-10-18 | SK Hynix Inc. | Semiconductor memory device and method of operating the same |
KR20140135402A (ko) * | 2013-05-16 | 2014-11-26 | 에스케이하이닉스 주식회사 | 반도체 메모리 장치 및 그것의 동작 방법 |
JP2014229740A (ja) | 2013-05-22 | 2014-12-08 | 株式会社東芝 | 半導体記憶装置及びその製造方法 |
US9437606B2 (en) | 2013-07-02 | 2016-09-06 | Sandisk Technologies Llc | Method of making a three-dimensional memory array with etch stop |
US9252151B2 (en) | 2013-07-08 | 2016-02-02 | Sandisk Technologies Inc. | Three dimensional NAND device with birds beak containing floating gates and method of making thereof |
JP2015028982A (ja) | 2013-07-30 | 2015-02-12 | 株式会社東芝 | 不揮発性記憶装置およびその製造方法 |
JP2015028966A (ja) * | 2013-07-30 | 2015-02-12 | 株式会社東芝 | 半導体記憶装置及びその製造方法 |
KR102066925B1 (ko) * | 2013-08-30 | 2020-01-16 | 삼성전자주식회사 | 반도체 장치 및 그 제조 방법 |
JP5898657B2 (ja) | 2013-09-02 | 2016-04-06 | 株式会社東芝 | 不揮発性半導体記憶装置 |
JP2015053094A (ja) | 2013-09-06 | 2015-03-19 | 株式会社東芝 | 半導体記憶装置 |
US9230653B2 (en) | 2013-09-10 | 2016-01-05 | Kabushiki Kaisha Toshiba | Semiconductor memory device |
JP2015056444A (ja) | 2013-09-10 | 2015-03-23 | 株式会社東芝 | 不揮発性記憶装置およびその製造方法 |
JP2015056452A (ja) | 2013-09-10 | 2015-03-23 | 株式会社東芝 | 半導体記憶装置及びその製造方法 |
JP2015056443A (ja) | 2013-09-10 | 2015-03-23 | 株式会社東芝 | 不揮発性記憶装置の製造方法 |
US9230980B2 (en) | 2013-09-15 | 2016-01-05 | Sandisk Technologies Inc. | Single-semiconductor-layer channel in a memory opening for a three-dimensional non-volatile memory device |
US9023719B2 (en) | 2013-09-17 | 2015-05-05 | Sandisk Technologies Inc. | High aspect ratio memory hole channel contact formation |
US8987089B1 (en) | 2013-09-17 | 2015-03-24 | Sandisk Technologies Inc. | Methods of fabricating a three-dimensional non-volatile memory device |
JP2015079802A (ja) | 2013-10-15 | 2015-04-23 | 株式会社東芝 | 不揮発性半導体記憶装置 |
JP6139370B2 (ja) | 2013-10-17 | 2017-05-31 | 株式会社東芝 | 不揮発性半導体記憶装置 |
KR20150047823A (ko) * | 2013-10-25 | 2015-05-06 | 삼성전자주식회사 | 수직형 메모리 장치 및 그 제조 방법 |
JP2015097245A (ja) | 2013-11-15 | 2015-05-21 | 株式会社東芝 | 不揮発性半導体記憶装置、及びメモリシステム |
KR102139944B1 (ko) * | 2013-11-26 | 2020-08-03 | 삼성전자주식회사 | 3차원 반도체 메모리 장치 |
CN105830164B (zh) | 2013-12-18 | 2019-11-19 | 东芝存储器株式会社 | 半导体存储装置 |
US9449983B2 (en) | 2013-12-19 | 2016-09-20 | Sandisk Technologies Llc | Three dimensional NAND device with channel located on three sides of lower select gate and method of making thereof |
CN104766863B (zh) * | 2014-01-06 | 2017-09-15 | 旺宏电子股份有限公司 | 三维存储器及其制造方法 |
US9230905B2 (en) | 2014-01-08 | 2016-01-05 | Sandisk 3D Llc | Trench multilevel contact to a 3D memory array and method of making thereof |
KR102125018B1 (ko) * | 2014-01-23 | 2020-07-07 | 에스케이하이닉스 주식회사 | 반도체 장치 및 그 제조방법 |
JP2015149413A (ja) | 2014-02-06 | 2015-08-20 | 株式会社東芝 | 半導体記憶装置及びその製造方法 |
CN104915707B (zh) | 2014-03-10 | 2018-04-24 | 东芝存储器株式会社 | 半导体存储装置 |
US9343507B2 (en) | 2014-03-12 | 2016-05-17 | Sandisk 3D Llc | Dual channel vertical field effect transistor including an embedded electrode |
JP2015176923A (ja) | 2014-03-13 | 2015-10-05 | 株式会社東芝 | 不揮発性半導体記憶装置 |
JP2015176910A (ja) | 2014-03-13 | 2015-10-05 | 株式会社東芝 | 半導体メモリ |
JP2015176309A (ja) | 2014-03-14 | 2015-10-05 | 株式会社東芝 | 半導体記憶装置 |
US9331088B2 (en) | 2014-03-25 | 2016-05-03 | Sandisk 3D Llc | Transistor device with gate bottom isolation and method of making thereof |
US9224747B2 (en) | 2014-03-26 | 2015-12-29 | Sandisk Technologies Inc. | Vertical NAND device with shared word line steps |
US9331094B2 (en) | 2014-04-30 | 2016-05-03 | Sandisk Technologies Inc. | Method of selective filling of memory openings |
KR20150134934A (ko) | 2014-05-23 | 2015-12-02 | 에스케이하이닉스 주식회사 | 3차원 불휘발성 메모리 장치와, 이를 포함하는 반도체 시스템과, 그 제조방법 |
US9309598B2 (en) | 2014-05-28 | 2016-04-12 | Applied Materials, Inc. | Oxide and metal removal |
US9548313B2 (en) * | 2014-05-30 | 2017-01-17 | Sandisk Technologies Llc | Method of making a monolithic three dimensional NAND string using a select gate etch stop layer |
KR20150139255A (ko) | 2014-06-03 | 2015-12-11 | 에스케이하이닉스 주식회사 | 반도체 장치 및 그 제조방법 |
US9166032B1 (en) * | 2014-06-24 | 2015-10-20 | Kabushiki Kaisha Toshiba | Non-volatile memory device |
US9768270B2 (en) | 2014-06-25 | 2017-09-19 | Sandisk Technologies Llc | Method of selectively depositing floating gate material in a memory device |
US9379124B2 (en) | 2014-06-25 | 2016-06-28 | Sandisk Technologies Inc. | Vertical floating gate NAND with selectively deposited ALD metal films |
US9236395B1 (en) | 2014-06-25 | 2016-01-12 | Kabushiki Kaisha Toshiba | Semiconductor memory device and method for manufacturing same |
US9455263B2 (en) | 2014-06-27 | 2016-09-27 | Sandisk Technologies Llc | Three dimensional NAND device with channel contacting conductive source line and method of making thereof |
US9305932B2 (en) | 2014-06-30 | 2016-04-05 | Sandisk Technologies Inc. | Methods of making three dimensional NAND devices |
US9397107B2 (en) | 2014-06-30 | 2016-07-19 | Sandisk Technologies Llc | Methods of making three dimensional NAND devices |
US9177966B1 (en) | 2014-07-08 | 2015-11-03 | Sandisk Technologies Inc. | Three dimensional NAND devices with air gap or low-k core |
KR102234266B1 (ko) | 2014-07-23 | 2021-04-02 | 삼성전자주식회사 | 반도체 장치 및 그 제조 방법 |
US9570460B2 (en) | 2014-07-29 | 2017-02-14 | Sandisk Technologies Llc | Spacer passivation for high-aspect ratio opening film removal and cleaning |
US9356031B2 (en) | 2014-08-11 | 2016-05-31 | Sandisk Technologies Inc. | Three dimensional NAND string memory devices with voids enclosed between control gate electrodes |
US9136130B1 (en) | 2014-08-11 | 2015-09-15 | Sandisk Technologies Inc. | Three dimensional NAND string with discrete charge trap segments |
US9583539B2 (en) | 2014-08-19 | 2017-02-28 | Sandisk Technologies Llc | Word line connection for memory device and method of making thereof |
US9230983B1 (en) | 2014-08-20 | 2016-01-05 | Sandisk Technologies Inc. | Metal word lines for three dimensional memory devices |
US9236392B1 (en) | 2014-08-26 | 2016-01-12 | Sandisk Technologies Inc. | Multiheight electrically conductive via contacts for a multilevel interconnect structure |
US9230974B1 (en) | 2014-08-26 | 2016-01-05 | Sandisk Technologies Inc. | Methods of selective removal of blocking dielectric in NAND memory strings |
US9601502B2 (en) * | 2014-08-26 | 2017-03-21 | Sandisk Technologies Llc | Multiheight contact via structures for a multilevel interconnect structure |
US9576975B2 (en) | 2014-08-26 | 2017-02-21 | Sandisk Technologies Llc | Monolithic three-dimensional NAND strings and methods of fabrication thereof |
US9401309B2 (en) | 2014-08-26 | 2016-07-26 | Sandisk Technologies Llc | Multiheight contact via structures for a multilevel interconnect structure |
JP2016054017A (ja) | 2014-09-04 | 2016-04-14 | 株式会社東芝 | 半導体記憶装置 |
US9773803B2 (en) * | 2014-09-08 | 2017-09-26 | Toshiba Memory Corporation | Non-volatile memory device and method of manufacturing same |
US9917096B2 (en) * | 2014-09-10 | 2018-03-13 | Toshiba Memory Corporation | Semiconductor memory device and method for manufacturing same |
US10892269B2 (en) | 2014-09-12 | 2021-01-12 | Toshiba Memory Corporation | Semiconductor memory device having a bonded circuit chip including a solid state drive controller connected to a control circuit |
US9431419B2 (en) | 2014-09-12 | 2016-08-30 | Kabushiki Kaisha Toshiba | Semiconductor memory device and method for manufacturing same |
JP6203152B2 (ja) | 2014-09-12 | 2017-09-27 | 東芝メモリ株式会社 | 半導体記憶装置の製造方法 |
SG11201701901UA (en) | 2014-09-12 | 2017-04-27 | Toshiba Kk | Non-volatile semiconductor storage device |
CN105405849A (zh) * | 2014-09-12 | 2016-03-16 | 旺宏电子股份有限公司 | 半导体元件 |
JP6250506B2 (ja) | 2014-09-16 | 2017-12-20 | 東芝メモリ株式会社 | 集積回路装置及びその製造方法 |
US9613713B2 (en) | 2014-09-16 | 2017-04-04 | Kabushiki Kaisha Toshiba | Semiconductor memory device |
JP2016062622A (ja) | 2014-09-16 | 2016-04-25 | 株式会社東芝 | 半導体記憶装置及びその駆動方法 |
JP2016062623A (ja) | 2014-09-16 | 2016-04-25 | 株式会社東芝 | 半導体記憶装置 |
US20160086968A1 (en) * | 2014-09-18 | 2016-03-24 | Macronix International Co., Ltd. | Semiconductor device |
US9666590B2 (en) | 2014-09-24 | 2017-05-30 | Sandisk Technologies Llc | High stack 3D memory and method of making |
US9515085B2 (en) | 2014-09-26 | 2016-12-06 | Sandisk Technologies Llc | Vertical memory device with bit line air gap |
US9230984B1 (en) * | 2014-09-30 | 2016-01-05 | Sandisk Technologies Inc | Three dimensional memory device having comb-shaped source electrode and methods of making thereof |
US9355922B2 (en) | 2014-10-14 | 2016-05-31 | Applied Materials, Inc. | Systems and methods for internal surface conditioning in plasma processing equipment |
US9966240B2 (en) | 2014-10-14 | 2018-05-08 | Applied Materials, Inc. | Systems and methods for internal surface conditioning assessment in plasma processing equipment |
US9305934B1 (en) | 2014-10-17 | 2016-04-05 | Sandisk Technologies Inc. | Vertical NAND device containing peripheral devices on epitaxial semiconductor pedestal |
US9230979B1 (en) | 2014-10-31 | 2016-01-05 | Sandisk Technologies Inc. | High dielectric constant etch stop layer for a memory structure |
US9236396B1 (en) | 2014-11-12 | 2016-01-12 | Sandisk Technologies Inc. | Three dimensional NAND device and method of making thereof |
US9305849B1 (en) | 2014-11-12 | 2016-04-05 | Sandisk Technologies Inc. | Method of making a three dimensional NAND device |
US9698152B2 (en) | 2014-11-13 | 2017-07-04 | Sandisk Technologies Llc | Three-dimensional memory structure with multi-component contact via structure and method of making thereof |
US9698223B2 (en) | 2014-11-25 | 2017-07-04 | Sandisk Technologies Llc | Memory device containing stress-tunable control gate electrodes |
US9496419B2 (en) | 2014-11-25 | 2016-11-15 | Sandisk Technologies Llc | Ruthenium nucleation layer for control gate electrodes in a memory structure |
US9570455B2 (en) | 2014-11-25 | 2017-02-14 | Sandisk Technologies Llc | Metal word lines for three dimensional memory devices |
US11637002B2 (en) | 2014-11-26 | 2023-04-25 | Applied Materials, Inc. | Methods and systems to enhance process uniformity |
US9793288B2 (en) | 2014-12-04 | 2017-10-17 | Sandisk Technologies Llc | Methods of fabricating memory device with spaced-apart semiconductor charge storage regions |
US9553100B2 (en) | 2014-12-04 | 2017-01-24 | Sandisk Techologies Llc | Selective floating gate semiconductor material deposition in a three-dimensional memory structure |
US9754956B2 (en) | 2014-12-04 | 2017-09-05 | Sandisk Technologies Llc | Uniform thickness blocking dielectric portions in a three-dimensional memory structure |
US10573496B2 (en) | 2014-12-09 | 2020-02-25 | Applied Materials, Inc. | Direct outlet toroidal plasma source |
KR102310511B1 (ko) | 2014-12-19 | 2021-10-08 | 삼성전자주식회사 | 반도체 소자 및 그 형성 방법 |
US9728437B2 (en) | 2015-02-03 | 2017-08-08 | Applied Materials, Inc. | High temperature chuck for plasma processing systems |
US20160225652A1 (en) | 2015-02-03 | 2016-08-04 | Applied Materials, Inc. | Low temperature chuck for plasma processing systems |
US10741572B2 (en) | 2015-02-04 | 2020-08-11 | Sandisk Technologies Llc | Three-dimensional memory device having multilayer word lines containing selectively grown cobalt or ruthenium and method of making the same |
US9780182B2 (en) | 2015-02-04 | 2017-10-03 | Sandisk Technologies Llc | Molybdenum-containing conductive layers for control gate electrodes in a memory structure |
US9984963B2 (en) | 2015-02-04 | 2018-05-29 | Sandisk Technologies Llc | Cobalt-containing conductive layers for control gate electrodes in a memory structure |
US9419058B1 (en) | 2015-02-05 | 2016-08-16 | Sandisk Technologies Llc | Memory device with comb-shaped electrode having a plurality of electrode fingers and method of making thereof |
US9356034B1 (en) | 2015-02-05 | 2016-05-31 | Sandisk Technologies Inc. | Multilevel interconnect structure and methods of manufacturing the same |
US9484296B2 (en) | 2015-02-12 | 2016-11-01 | Sandisk Technologies Llc | Self-aligned integrated line and via structure for a three-dimensional semiconductor device |
US9583615B2 (en) | 2015-02-17 | 2017-02-28 | Sandisk Technologies Llc | Vertical transistor and local interconnect structure |
US9698202B2 (en) | 2015-03-02 | 2017-07-04 | Sandisk Technologies Llc | Parallel bit line three-dimensional resistive random access memory |
JP2016162475A (ja) | 2015-03-04 | 2016-09-05 | 株式会社東芝 | 半導体記憶装置 |
US9412461B1 (en) | 2015-03-10 | 2016-08-09 | Kabushiki Kaisha Toshiba | Nonvolatile semiconductor memory device |
US9870945B2 (en) | 2015-03-10 | 2018-01-16 | Sandisk Technologies Llc | Crystalline layer stack for forming conductive layers in a three-dimensional memory structure |
US10289480B2 (en) | 2015-03-12 | 2019-05-14 | Toshiba Memory Corporation | Memory system |
JP2016170837A (ja) | 2015-03-12 | 2016-09-23 | 株式会社東芝 | 半導体記憶装置 |
US9576665B2 (en) | 2015-03-12 | 2017-02-21 | Kabushiki Kaisha Toshiba | Semiconductor memory device and memory system |
US9748337B2 (en) * | 2015-03-12 | 2017-08-29 | Kabushiki Kaisha Toshiba | Semiconductor memory device |
US9646988B2 (en) | 2015-03-13 | 2017-05-09 | Kabushiki Kaisha Toshiba | Semiconductor memory device and method for manufacturing same |
US9397109B1 (en) | 2015-03-13 | 2016-07-19 | Kabushiki Kaisha Toshiba | Semiconductor memory device and method for manufacturing same |
US9608001B2 (en) | 2015-03-13 | 2017-03-28 | Kabushiki Kaisha Toshiba | Semiconductor memory device |
JP2016171280A (ja) | 2015-03-16 | 2016-09-23 | 株式会社東芝 | 半導体記憶装置の製造方法 |
US9530788B2 (en) | 2015-03-17 | 2016-12-27 | Sandisk Technologies Llc | Metallic etch stop layer in a three-dimensional memory structure |
US9799671B2 (en) | 2015-04-07 | 2017-10-24 | Sandisk Technologies Llc | Three-dimensional integration schemes for reducing fluorine-induced electrical shorts |
US9601508B2 (en) | 2015-04-27 | 2017-03-21 | Sandisk Technologies Llc | Blocking oxide in memory opening integration scheme for three-dimensional memory structure |
US9397046B1 (en) | 2015-04-29 | 2016-07-19 | Sandisk Technologies Llc | Fluorine-free word lines for three-dimensional memory devices |
US9627403B2 (en) | 2015-04-30 | 2017-04-18 | Sandisk Technologies Llc | Multilevel memory stack structure employing support pillar structures |
US9666281B2 (en) | 2015-05-08 | 2017-05-30 | Sandisk Technologies Llc | Three-dimensional P-I-N memory device and method reading thereof using hole current detection |
US10074661B2 (en) | 2015-05-08 | 2018-09-11 | Sandisk Technologies Llc | Three-dimensional junction memory device and method reading thereof using hole current detection |
JP2016225613A (ja) | 2015-05-26 | 2016-12-28 | 株式会社半導体エネルギー研究所 | 半導体装置及び半導体装置の駆動方法 |
US9571101B2 (en) | 2015-05-27 | 2017-02-14 | Kabushiki Kaisha Toshiba | Semiconductor device |
US9443861B1 (en) | 2015-05-28 | 2016-09-13 | Sandisk Technologies Llc | Fluorine-blocking insulating spacer for backside contact structure of three-dimensional memory structures |
US9859422B2 (en) | 2015-05-28 | 2018-01-02 | Sandisk Technologies Llc | Field effect transistor with elevated active regions and methods of manufacturing the same |
US9811493B2 (en) | 2015-05-29 | 2017-11-07 | Toshiba Memory Corporation | Semiconductor device |
CN105047614A (zh) * | 2015-06-07 | 2015-11-11 | 上海华虹宏力半导体制造有限公司 | 一种半导体存储器的制造方法 |
JP6453718B2 (ja) | 2015-06-12 | 2019-01-16 | 東芝メモリ株式会社 | 半導体記憶装置及びメモリシステム |
US9589981B2 (en) | 2015-06-15 | 2017-03-07 | Sandisk Technologies Llc | Passive devices for integration with three-dimensional memory devices |
US9646981B2 (en) | 2015-06-15 | 2017-05-09 | Sandisk Technologies Llc | Passive devices for integration with three-dimensional memory devices |
US9419012B1 (en) | 2015-06-19 | 2016-08-16 | Sandisk Technologies Llc | Three-dimensional memory structure employing air gap isolation |
US9356043B1 (en) | 2015-06-22 | 2016-05-31 | Sandisk Technologies Inc. | Three-dimensional memory devices containing memory stack structures with position-independent threshold voltage |
US10622368B2 (en) | 2015-06-24 | 2020-04-14 | Sandisk Technologies Llc | Three-dimensional memory device with semicircular metal-semiconductor alloy floating gate electrodes and methods of making thereof |
US9613977B2 (en) | 2015-06-24 | 2017-04-04 | Sandisk Technologies Llc | Differential etch of metal oxide blocking dielectric layer for three-dimensional memory devices |
TWI582962B (zh) * | 2015-07-06 | 2017-05-11 | Toshiba Kk | Semiconductor memory device and manufacturing method thereof |
US10147737B2 (en) | 2015-07-21 | 2018-12-04 | Toshiba Memory Corporation | Semiconductor memory device and method for manufacturing same |
US9530785B1 (en) | 2015-07-21 | 2016-12-27 | Sandisk Technologies Llc | Three-dimensional memory devices having a single layer channel and methods of making thereof |
US9627399B2 (en) | 2015-07-24 | 2017-04-18 | Sandisk Technologies Llc | Three-dimensional memory device with metal and silicide control gates |
US9691645B2 (en) | 2015-08-06 | 2017-06-27 | Applied Materials, Inc. | Bolted wafer chuck thermal management systems and methods for wafer processing systems |
US9741593B2 (en) | 2015-08-06 | 2017-08-22 | Applied Materials, Inc. | Thermal management systems and methods for wafer processing systems |
US9349605B1 (en) | 2015-08-07 | 2016-05-24 | Applied Materials, Inc. | Oxide etch selectivity systems and methods |
JP6453729B2 (ja) | 2015-08-17 | 2019-01-16 | 東芝メモリ株式会社 | 半導体記憶装置及びメモリシステム |
US9449987B1 (en) * | 2015-08-21 | 2016-09-20 | Sandisk Technologies Llc | Three dimensional memory device with epitaxial semiconductor pedestal for peripheral transistors |
US9543318B1 (en) | 2015-08-21 | 2017-01-10 | Sandisk Technologies Llc | Three dimensional memory device with epitaxial semiconductor pedestal for peripheral transistors |
US9853043B2 (en) | 2015-08-25 | 2017-12-26 | Sandisk Technologies Llc | Method of making a multilevel memory stack structure using a cavity containing a sacrificial fill material |
US9502471B1 (en) | 2015-08-25 | 2016-11-22 | Sandisk Technologies Llc | Multi tier three-dimensional memory devices including vertically shared bit lines |
US10504700B2 (en) | 2015-08-27 | 2019-12-10 | Applied Materials, Inc. | Plasma etching systems and methods with secondary plasma injection |
US9780104B2 (en) | 2015-09-10 | 2017-10-03 | Toshiba Memory Corporation | Semiconductor memory device and method of manufacturing the same |
US9842853B2 (en) | 2015-09-14 | 2017-12-12 | Toshiba Memory Corporation | Memory cell array with improved substrate current pathway |
JP6400547B2 (ja) | 2015-09-14 | 2018-10-03 | 東芝メモリ株式会社 | メモリデバイス |
US9806089B2 (en) | 2015-09-21 | 2017-10-31 | Sandisk Technologies Llc | Method of making self-assembling floating gate electrodes for a three-dimensional memory device |
US9646975B2 (en) | 2015-09-21 | 2017-05-09 | Sandisk Technologies Llc | Lateral stack of cobalt and a cobalt-semiconductor alloy for control gate electrodes in a memory structure |
US9576966B1 (en) | 2015-09-21 | 2017-02-21 | Sandisk Technologies Llc | Cobalt-containing conductive layers for control gate electrodes in a memory structure |
US9842907B2 (en) | 2015-09-29 | 2017-12-12 | Sandisk Technologies Llc | Memory device containing cobalt silicide control gate electrodes and method of making thereof |
US9419013B1 (en) | 2015-10-08 | 2016-08-16 | Samsung Electronics Co., Ltd. | Semiconductor device and method of manufacturing the same |
US9659955B1 (en) | 2015-10-28 | 2017-05-23 | Sandisk Technologies Llc | Crystalinity-dependent aluminum oxide etching for self-aligned blocking dielectric in a memory structure |
US9620512B1 (en) | 2015-10-28 | 2017-04-11 | Sandisk Technologies Llc | Field effect transistor with a multilevel gate electrode for integration with a multilevel memory device |
JP6509711B2 (ja) | 2015-10-29 | 2019-05-08 | 東芝メモリ株式会社 | 不揮発性半導体記憶装置及びメモリシステム |
US9793139B2 (en) | 2015-10-29 | 2017-10-17 | Sandisk Technologies Llc | Robust nucleation layers for enhanced fluorine protection and stress reduction in 3D NAND word lines |
US9899399B2 (en) | 2015-10-30 | 2018-02-20 | Sandisk Technologies Llc | 3D NAND device with five-folded memory stack structure configuration |
KR102408648B1 (ko) | 2015-11-05 | 2022-06-14 | 에스케이하이닉스 주식회사 | 3차원 비휘발성 메모리 장치 |
WO2017081756A1 (ja) | 2015-11-10 | 2017-05-18 | 株式会社 東芝 | 半導体記憶装置 |
US20170148812A1 (en) * | 2015-11-19 | 2017-05-25 | Fu-Chang Hsu | Methods and apparatus for a 3d array inside a substrate trench |
US9799670B2 (en) | 2015-11-20 | 2017-10-24 | Sandisk Technologies Llc | Three dimensional NAND device containing dielectric pillars for a buried source line and method of making thereof |
US9831266B2 (en) | 2015-11-20 | 2017-11-28 | Sandisk Technologies Llc | Three-dimensional NAND device containing support pedestal structures for a buried source line and method of making the same |
US9917100B2 (en) | 2015-11-20 | 2018-03-13 | Sandisk Technologies Llc | Three-dimensional NAND device containing support pedestal structures for a buried source line and method of making the same |
US9548127B1 (en) | 2015-12-28 | 2017-01-17 | Kabushiki Kaisha Toshiba | Memory system |
US9780105B2 (en) * | 2015-12-30 | 2017-10-03 | Toshiba Memory Corporation | Semiconductor memory device including a plurality of columnar structures and a plurality of electrode films |
US9876028B2 (en) | 2016-01-11 | 2018-01-23 | Toshiba Memory Corporation | Semiconductor memory device and method of manufacturing the same |
SG11201802573UA (en) | 2016-01-13 | 2018-04-27 | Toshiba Memory Corp | Semiconductor memory device |
US9646880B1 (en) * | 2016-01-14 | 2017-05-09 | Sandisk Technologies Llc | Monolithic three dimensional memory arrays formed using sacrificial polysilicon pillars |
JP6495838B2 (ja) * | 2016-01-27 | 2019-04-03 | 東芝メモリ株式会社 | 半導体記憶装置及びその製造方法 |
US9589839B1 (en) | 2016-02-01 | 2017-03-07 | Sandisk Technologies Llc | Method of reducing control gate electrode curvature in three-dimensional memory devices |
US9754820B2 (en) | 2016-02-01 | 2017-09-05 | Sandisk Technologies Llc | Three-dimensional memory device containing an aluminum oxide etch stop layer for backside contact structure and method of making thereof |
US9673213B1 (en) | 2016-02-15 | 2017-06-06 | Sandisk Technologies Llc | Three dimensional memory device with peripheral devices under dummy dielectric layer stack and method of making thereof |
JP6581012B2 (ja) | 2016-02-17 | 2019-09-25 | 東芝メモリ株式会社 | 半導体記憶装置及びその製造方法 |
US9721663B1 (en) | 2016-02-18 | 2017-08-01 | Sandisk Technologies Llc | Word line decoder circuitry under a three-dimensional memory array |
US9595535B1 (en) | 2016-02-18 | 2017-03-14 | Sandisk Technologies Llc | Integration of word line switches with word line contact via structures |
JP2017157257A (ja) | 2016-03-01 | 2017-09-07 | 東芝メモリ株式会社 | 半導体記憶装置及びメモリシステム |
JP6545631B2 (ja) | 2016-03-02 | 2019-07-17 | 東芝メモリ株式会社 | 不揮発性半導体記憶装置 |
US9934847B2 (en) | 2016-03-11 | 2018-04-03 | Toshiba Memory Corporation | Memory system storing 4-bit data in each memory cell and method of controlling thereof including soft bit information |
JP6506197B2 (ja) | 2016-03-11 | 2019-04-24 | 東芝メモリ株式会社 | 半導体記憶装置 |
JP2017168155A (ja) | 2016-03-14 | 2017-09-21 | 東芝メモリ株式会社 | 半導体記憶装置 |
JP6433933B2 (ja) | 2016-03-14 | 2018-12-05 | 東芝メモリ株式会社 | 半導体記憶装置及びメモリシステム |
JP2017168156A (ja) | 2016-03-14 | 2017-09-21 | 東芝メモリ株式会社 | 半導体記憶装置 |
JP6538597B2 (ja) | 2016-03-14 | 2019-07-03 | 東芝メモリ株式会社 | 記憶装置 |
US9818754B2 (en) | 2016-03-15 | 2017-11-14 | Toshiba Memory Corporation | Semiconductor memory device and method for manufacturing same |
JP6441250B2 (ja) | 2016-03-15 | 2018-12-19 | 東芝メモリ株式会社 | 半導体記憶装置 |
US9847345B2 (en) * | 2016-03-18 | 2017-12-19 | Toshiba Memory Corporation | Semiconductor memory device and method of manufacturing the same |
US9865612B2 (en) | 2016-03-22 | 2018-01-09 | Toshiba Memory Corporation | Semiconductor memory device and method of manufacturing the same |
US10224104B2 (en) | 2016-03-23 | 2019-03-05 | Sandisk Technologies Llc | Three dimensional NAND memory device with common bit line for multiple NAND strings in each memory block |
US10355015B2 (en) | 2016-03-23 | 2019-07-16 | Sandisk Technologies Llc | Three-dimensional NAND memory device with common bit line for multiple NAND strings in each memory block |
US9812463B2 (en) | 2016-03-25 | 2017-11-07 | Sandisk Technologies Llc | Three-dimensional memory device containing vertically isolated charge storage regions and method of making thereof |
US9711530B1 (en) | 2016-03-25 | 2017-07-18 | Sandisk Technologies Llc | Locally-trap-characteristic-enhanced charge trap layer for three-dimensional memory structures |
JP2017195275A (ja) | 2016-04-20 | 2017-10-26 | 東芝メモリ株式会社 | 半導体記憶装置およびその製造方法 |
US9691786B1 (en) | 2016-04-29 | 2017-06-27 | Kabushiki Kaisha Toshiba | Semiconductor memory device |
US9728547B1 (en) | 2016-05-19 | 2017-08-08 | Sandisk Technologies Llc | Three-dimensional memory device with aluminum-containing etch stop layer for backside contact structure and method of making thereof |
US10522371B2 (en) | 2016-05-19 | 2019-12-31 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US10504754B2 (en) | 2016-05-19 | 2019-12-10 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
KR20170131121A (ko) * | 2016-05-20 | 2017-11-29 | 삼성전자주식회사 | 반도체 소자 |
JP2017208152A (ja) | 2016-05-20 | 2017-11-24 | 東芝メモリ株式会社 | 半導体記憶装置及びメモリシステム |
US9985046B2 (en) | 2016-06-13 | 2018-05-29 | Sandisk Technologies Llc | Method of forming a staircase in a semiconductor device using a linear alignment control feature |
JP2017224370A (ja) | 2016-06-15 | 2017-12-21 | 東芝メモリ株式会社 | 半導体記憶装置及びメモリシステム |
JP6659478B2 (ja) | 2016-06-17 | 2020-03-04 | キオクシア株式会社 | 半導体記憶装置 |
US10121794B2 (en) | 2016-06-20 | 2018-11-06 | Sandisk Technologies Llc | Three-dimensional memory device having epitaxial germanium-containing vertical channel and method of making thereof |
US10355139B2 (en) | 2016-06-28 | 2019-07-16 | Sandisk Technologies Llc | Three-dimensional memory device with amorphous barrier layer and method of making thereof |
US10361213B2 (en) | 2016-06-28 | 2019-07-23 | Sandisk Technologies Llc | Three dimensional memory device containing multilayer wordline barrier films and method of making thereof |
US9978768B2 (en) | 2016-06-29 | 2018-05-22 | Sandisk Technologies Llc | Method of making three-dimensional semiconductor memory device having laterally undulating memory films |
US9659866B1 (en) | 2016-07-08 | 2017-05-23 | Sandisk Technologies Llc | Three-dimensional memory structures with low source line resistance |
US10529620B2 (en) | 2016-07-13 | 2020-01-07 | Sandisk Technologies Llc | Three-dimensional memory device containing word lines formed by selective tungsten growth on nucleation controlling surfaces and methods of manufacturing the same |
WO2018011926A1 (ja) | 2016-07-13 | 2018-01-18 | 東芝メモリ株式会社 | 記憶装置 |
US10381372B2 (en) | 2016-07-13 | 2019-08-13 | Sandisk Technologies Llc | Selective tungsten growth for word lines of a three-dimensional memory device |
US9748266B1 (en) | 2016-07-20 | 2017-08-29 | Sandisk Technologies Llc | Three-dimensional memory device with select transistor having charge trapping gate dielectric layer and methods of making and operating thereof |
US9953993B2 (en) * | 2016-07-25 | 2018-04-24 | Toshiba Memory Corporation | Semiconductor memory device |
US9824966B1 (en) | 2016-08-12 | 2017-11-21 | Sandisk Technologies Llc | Three-dimensional memory device containing a lateral source contact and method of making the same |
US9805805B1 (en) | 2016-08-23 | 2017-10-31 | Sandisk Technologies Llc | Three-dimensional memory device with charge carrier injection wells for vertical channels and method of making and using thereof |
JP2018041518A (ja) | 2016-09-06 | 2018-03-15 | 東芝メモリ株式会社 | メモリデバイス |
US10629473B2 (en) | 2016-09-09 | 2020-04-21 | Applied Materials, Inc. | Footing removal for nitride spacer |
JP2018045387A (ja) | 2016-09-13 | 2018-03-22 | 東芝メモリ株式会社 | メモリシステム |
US10593398B2 (en) | 2016-09-13 | 2020-03-17 | Toshiba Memory Corporation | Semiconductor storage device including a controller configured to execute a first write and a second write |
US10297338B2 (en) | 2016-09-20 | 2019-05-21 | Toshiba Memory Corporation | Memory system |
US10310942B2 (en) | 2016-09-20 | 2019-06-04 | Toshiba Memory Corporation | Memory system |
WO2018055692A1 (ja) | 2016-09-21 | 2018-03-29 | 東芝メモリ株式会社 | 半導体装置とその製造方法 |
CN109791792B (zh) | 2016-09-23 | 2023-08-22 | 铠侠股份有限公司 | 存储装置 |
JP6721696B2 (ja) | 2016-09-23 | 2020-07-15 | キオクシア株式会社 | メモリデバイス |
JP6674361B2 (ja) | 2016-09-29 | 2020-04-01 | キオクシア株式会社 | メモリシステム |
US9934942B1 (en) | 2016-10-04 | 2018-04-03 | Applied Materials, Inc. | Chamber with flow-through source |
US10546729B2 (en) | 2016-10-04 | 2020-01-28 | Applied Materials, Inc. | Dual-channel showerhead with improved profile |
US10050054B2 (en) | 2016-10-05 | 2018-08-14 | Sandisk Technologies Llc | Three-dimensional memory device having drain select level isolation structure and method of making thereof |
US10622089B2 (en) | 2016-10-18 | 2020-04-14 | Toshiba Memory Corporation | Storage system having a host that manages physical data locations of storage device |
US10853233B2 (en) | 2016-10-18 | 2020-12-01 | Toshiba Memory Corporation | Reconstruction of address mapping in a host of a storage system |
US9881929B1 (en) | 2016-10-27 | 2018-01-30 | Sandisk Technologies Llc | Multi-tier memory stack structure containing non-overlapping support pillar structures and method of making thereof |
US9929174B1 (en) | 2016-10-28 | 2018-03-27 | Sandisk Technologies Llc | Three-dimensional memory device having non-uniform spacing among memory stack structures and method of making thereof |
US10008570B2 (en) | 2016-11-03 | 2018-06-26 | Sandisk Technologies Llc | Bulb-shaped memory stack structures for direct source contact in three-dimensional memory device |
US10163696B2 (en) | 2016-11-11 | 2018-12-25 | Applied Materials, Inc. | Selective cobalt removal for bottom up gapfill |
US10026621B2 (en) | 2016-11-14 | 2018-07-17 | Applied Materials, Inc. | SiN spacer profile patterning |
US10083982B2 (en) * | 2016-11-17 | 2018-09-25 | Sandisk Technologies Llc | Three-dimensional memory device having select gate electrode that is thicker than word lines and method of making thereof |
US9972640B1 (en) * | 2016-11-17 | 2018-05-15 | Sandisk Technologies Llc | Three-dimensional memory device with self-aligned drain side select gate electrodes and method of making thereof |
US9972641B1 (en) * | 2016-11-17 | 2018-05-15 | Sandisk Technologies Llc | Three-dimensional memory device having a multilevel drain select gate electrode and method of making thereof |
US9991277B1 (en) | 2016-11-28 | 2018-06-05 | Sandisk Technologies Llc | Three-dimensional memory device with discrete self-aligned charge storage elements and method of making thereof |
US9876031B1 (en) | 2016-11-30 | 2018-01-23 | Sandisk Technologies Llc | Three-dimensional memory device having passive devices at a buried source line level and method of making thereof |
US10056399B2 (en) | 2016-12-22 | 2018-08-21 | Sandisk Technologies Llc | Three-dimensional memory devices containing inter-tier dummy memory cells and methods of making the same |
US10566206B2 (en) | 2016-12-27 | 2020-02-18 | Applied Materials, Inc. | Systems and methods for anisotropic material breakthrough |
JP6783666B2 (ja) | 2017-01-05 | 2020-11-11 | キオクシア株式会社 | 半導体記憶装置及びメモリシステム |
US10032908B1 (en) | 2017-01-06 | 2018-07-24 | Sandisk Technologies Llc | Multi-gate vertical field effect transistor with channel strips laterally confined by gate dielectric layers, and method of making thereof |
JP2018125052A (ja) | 2017-01-31 | 2018-08-09 | 東芝メモリ株式会社 | 半導体記憶装置 |
US10431429B2 (en) | 2017-02-03 | 2019-10-01 | Applied Materials, Inc. | Systems and methods for radial and azimuthal control of plasma uniformity |
US10319739B2 (en) * | 2017-02-08 | 2019-06-11 | Applied Materials, Inc. | Accommodating imperfectly aligned memory holes |
JP6856400B2 (ja) | 2017-02-20 | 2021-04-07 | キオクシア株式会社 | 半導体記憶装置及びメモリシステム |
US10115735B2 (en) | 2017-02-24 | 2018-10-30 | Sandisk Technologies Llc | Semiconductor device containing multilayer titanium nitride diffusion barrier and method of making thereof |
JP6783682B2 (ja) | 2017-02-27 | 2020-11-11 | キオクシア株式会社 | 半導体記憶装置及びメモリシステム |
US10943834B2 (en) | 2017-03-13 | 2021-03-09 | Applied Materials, Inc. | Replacement contact process |
JP2018156702A (ja) | 2017-03-16 | 2018-10-04 | 東芝メモリ株式会社 | 半導体記憶装置及びメモリシステム |
JP2018156717A (ja) | 2017-03-21 | 2018-10-04 | 東芝メモリ株式会社 | 半導体記憶装置 |
JP2018160295A (ja) | 2017-03-22 | 2018-10-11 | 東芝メモリ株式会社 | 半導体記憶装置 |
JP2018163963A (ja) * | 2017-03-24 | 2018-10-18 | 東芝メモリ株式会社 | 半導体装置及びその製造方法 |
JP2018163709A (ja) | 2017-03-24 | 2018-10-18 | 東芝メモリ株式会社 | メモリシステム |
JP2018164151A (ja) | 2017-03-24 | 2018-10-18 | 東芝メモリ株式会社 | 分周回路 |
JP2018163719A (ja) | 2017-03-24 | 2018-10-18 | 東芝メモリ株式会社 | 半導体デバイス |
JP2018163724A (ja) | 2017-03-27 | 2018-10-18 | 東芝メモリ株式会社 | メモリシステム |
JP2018163723A (ja) | 2017-03-27 | 2018-10-18 | 東芝メモリ株式会社 | メモリデバイス及びメモリシステム |
US9960180B1 (en) | 2017-03-27 | 2018-05-01 | Sandisk Technologies Llc | Three-dimensional memory device with partially discrete charge storage regions and method of making thereof |
US20180331117A1 (en) | 2017-05-12 | 2018-11-15 | Sandisk Technologies Llc | Multilevel memory stack structure with tapered inter-tier joint region and methods of making thereof |
US11276590B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Multi-zone semiconductor substrate supports |
US11276559B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Semiconductor processing chamber for multiple precursor flow |
US10497579B2 (en) | 2017-05-31 | 2019-12-03 | Applied Materials, Inc. | Water-free etching methods |
US11114470B2 (en) | 2017-06-02 | 2021-09-07 | Semiconductor Energy Laboratory Co., Ltd. | Semiconductor device, electronic component, and electronic device |
JP7213803B2 (ja) | 2017-06-08 | 2023-01-27 | 株式会社半導体エネルギー研究所 | 半導体装置及び半導体装置の駆動方法 |
US10920320B2 (en) | 2017-06-16 | 2021-02-16 | Applied Materials, Inc. | Plasma health determination in semiconductor substrate processing reactors |
US10593693B2 (en) | 2017-06-16 | 2020-03-17 | Semiconductor Energy Laboratory Co., Ltd. | Semiconductor device and method for manufacturing semiconductor device |
US10224340B2 (en) | 2017-06-19 | 2019-03-05 | Sandisk Technologies Llc | Three-dimensional memory device having discrete direct source strap contacts and method of making thereof |
US10438964B2 (en) | 2017-06-26 | 2019-10-08 | Sandisk Technologies Llc | Three-dimensional memory device having direct source contact and metal oxide blocking dielectric and method of making thereof |
US10541246B2 (en) | 2017-06-26 | 2020-01-21 | Applied Materials, Inc. | 3D flash memory cells which discourage cross-cell electrical tunneling |
CN110832640B (zh) | 2017-06-27 | 2023-12-08 | 株式会社半导体能源研究所 | 半导体装置、半导体晶片、存储装置及电子设备 |
US10727080B2 (en) | 2017-07-07 | 2020-07-28 | Applied Materials, Inc. | Tantalum-containing material removal |
US10541184B2 (en) | 2017-07-11 | 2020-01-21 | Applied Materials, Inc. | Optical emission spectroscopic techniques for monitoring etching |
US10665604B2 (en) | 2017-07-21 | 2020-05-26 | Semiconductor Energy Laboratory Co., Ltd. | Semiconductor device, semiconductor wafer, memory device, and electronic device |
JP2019029045A (ja) | 2017-07-26 | 2019-02-21 | 東芝メモリ株式会社 | 半導体記憶装置 |
US10043674B1 (en) | 2017-08-04 | 2018-08-07 | Applied Materials, Inc. | Germanium etching systems and methods |
US10297458B2 (en) | 2017-08-07 | 2019-05-21 | Applied Materials, Inc. | Process window widening using coated parts in plasma etch processes |
JP2019040655A (ja) | 2017-08-28 | 2019-03-14 | 東芝メモリ株式会社 | メモリシステム |
US10461125B2 (en) | 2017-08-29 | 2019-10-29 | Micron Technology, Inc. | Three dimensional memory arrays |
CN107658309B (zh) * | 2017-08-31 | 2019-01-01 | 长江存储科技有限责任公司 | 一种三维存储器阵列的多级接触及其制造方法 |
JP2019046530A (ja) | 2017-09-07 | 2019-03-22 | 東芝メモリ株式会社 | メモリシステム |
JP2019053795A (ja) | 2017-09-13 | 2019-04-04 | 東芝メモリ株式会社 | メモリシステム |
JP6818664B2 (ja) | 2017-09-14 | 2021-01-20 | キオクシア株式会社 | 半導体記憶装置 |
JP6875236B2 (ja) | 2017-09-14 | 2021-05-19 | キオクシア株式会社 | 半導体記憶装置 |
JP2019053796A (ja) | 2017-09-14 | 2019-04-04 | 東芝メモリ株式会社 | 半導体記憶装置 |
JP2019053798A (ja) | 2017-09-14 | 2019-04-04 | 東芝メモリ株式会社 | 半導体記憶装置 |
JP2019057345A (ja) | 2017-09-20 | 2019-04-11 | 東芝メモリ株式会社 | 半導体記憶装置 |
JP2019057074A (ja) | 2017-09-20 | 2019-04-11 | 東芝メモリ株式会社 | メモリシステム |
JP2019057346A (ja) | 2017-09-20 | 2019-04-11 | 東芝メモリ株式会社 | メモリシステム |
JP2019057352A (ja) | 2017-09-21 | 2019-04-11 | 東芝メモリ株式会社 | メモリシステム |
US10453798B2 (en) | 2017-09-27 | 2019-10-22 | Sandisk Technologies Llc | Three-dimensional memory device with gated contact via structures and method of making thereof |
US10115459B1 (en) | 2017-09-29 | 2018-10-30 | Sandisk Technologies Llc | Multiple liner interconnects for three dimensional memory devices and method of making thereof |
CN109860199B (zh) * | 2017-11-30 | 2021-07-16 | 旺宏电子股份有限公司 | 存储器元件及其操作方法 |
KR102465936B1 (ko) | 2017-11-30 | 2022-11-10 | 삼성전자주식회사 | 수직형 메모리 장치 |
CN107887395B (zh) * | 2017-11-30 | 2018-12-14 | 长江存储科技有限责任公司 | Nand存储器及其制备方法 |
US10229931B1 (en) | 2017-12-05 | 2019-03-12 | Sandisk Technologies Llc | Three-dimensional memory device containing fluorine-free tungsten—word lines and methods of manufacturing the same |
US10903054B2 (en) | 2017-12-19 | 2021-01-26 | Applied Materials, Inc. | Multi-zone gas distribution systems and methods |
JP2019109952A (ja) | 2017-12-19 | 2019-07-04 | 東芝メモリ株式会社 | 半導体記憶装置 |
US11328909B2 (en) | 2017-12-22 | 2022-05-10 | Applied Materials, Inc. | Chamber conditioning and removal processes |
JP2019114758A (ja) | 2017-12-26 | 2019-07-11 | 東芝メモリ株式会社 | 半導体メモリ |
US10854426B2 (en) | 2018-01-08 | 2020-12-01 | Applied Materials, Inc. | Metal recess for semiconductor structures |
US10373969B2 (en) | 2018-01-09 | 2019-08-06 | Sandisk Technologies Llc | Three-dimensional memory device including partially surrounding select gates and fringe field assisted programming thereof |
US10283493B1 (en) | 2018-01-17 | 2019-05-07 | Sandisk Technologies Llc | Three-dimensional memory device containing bonded memory die and peripheral logic die and method of making thereof |
US10510738B2 (en) | 2018-01-17 | 2019-12-17 | Sandisk Technologies Llc | Three-dimensional memory device having support-die-assisted source power distribution and method of making thereof |
US10256247B1 (en) | 2018-02-08 | 2019-04-09 | Sandisk Technologies Llc | Three-dimensional memory device with silicided word lines, air gap layers and discrete charge storage elements, and method of making thereof |
US10964512B2 (en) | 2018-02-15 | 2021-03-30 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus and methods |
US10679870B2 (en) | 2018-02-15 | 2020-06-09 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus |
JP7051484B2 (ja) | 2018-02-22 | 2022-04-11 | キオクシア株式会社 | 半導体メモリ |
TWI766433B (zh) | 2018-02-28 | 2022-06-01 | 美商應用材料股份有限公司 | 形成氣隙的系統及方法 |
US10593560B2 (en) | 2018-03-01 | 2020-03-17 | Applied Materials, Inc. | Magnetic induction plasma source for semiconductor processes and equipment |
JP2019160922A (ja) * | 2018-03-09 | 2019-09-19 | 東芝メモリ株式会社 | 半導体装置 |
US10319600B1 (en) | 2018-03-12 | 2019-06-11 | Applied Materials, Inc. | Thermal silicon etch |
US10497573B2 (en) | 2018-03-13 | 2019-12-03 | Applied Materials, Inc. | Selective atomic layer etching of semiconductor materials |
US10615123B2 (en) | 2018-03-14 | 2020-04-07 | Sandisk Technologies Llc | Three-dimensional memory device containing compositionally graded word line diffusion barrier layer for and methods of forming the same |
JP2019161059A (ja) | 2018-03-14 | 2019-09-19 | 東芝メモリ株式会社 | 半導体記憶装置 |
JP2019160379A (ja) | 2018-03-16 | 2019-09-19 | 東芝メモリ株式会社 | 半導体記憶装置及びメモリシステム |
US10504961B2 (en) | 2018-03-16 | 2019-12-10 | Micron Technology, Inc. | Methods of forming integrated circuitry |
JP2019164858A (ja) | 2018-03-19 | 2019-09-26 | 東芝メモリ株式会社 | メモリシステム |
JP2019164850A (ja) | 2018-03-19 | 2019-09-26 | 東芝メモリ株式会社 | メモリシステム |
JP2019164865A (ja) | 2018-03-20 | 2019-09-26 | 東芝メモリ株式会社 | メモリシステム |
JP2019169568A (ja) * | 2018-03-22 | 2019-10-03 | 東芝メモリ株式会社 | 半導体装置 |
US10770459B2 (en) | 2018-03-23 | 2020-09-08 | Sandisk Technologies Llc | CMOS devices containing asymmetric contact via structures |
US10355017B1 (en) | 2018-03-23 | 2019-07-16 | Sandisk Technologies Llc | CMOS devices containing asymmetric contact via structures and method of making the same |
US10573527B2 (en) | 2018-04-06 | 2020-02-25 | Applied Materials, Inc. | Gas-phase selective etching systems and methods |
US10490406B2 (en) | 2018-04-10 | 2019-11-26 | Appled Materials, Inc. | Systems and methods for material breakthrough |
US10756186B2 (en) | 2018-04-12 | 2020-08-25 | Sandisk Technologies Llc | Three-dimensional memory device including germanium-containing vertical channels and method of making the same |
US10699879B2 (en) | 2018-04-17 | 2020-06-30 | Applied Materials, Inc. | Two piece electrode assembly with gap for plasma control |
US10381322B1 (en) | 2018-04-23 | 2019-08-13 | Sandisk Technologies Llc | Three-dimensional memory device containing self-aligned interlocking bonded structure and method of making the same |
US10886137B2 (en) | 2018-04-30 | 2021-01-05 | Applied Materials, Inc. | Selective nitride removal |
JP2019212350A (ja) | 2018-06-01 | 2019-12-12 | 東芝メモリ株式会社 | 半導体メモリ |
US10535673B2 (en) * | 2018-06-04 | 2020-01-14 | Macronix International Co., Ltd. | High-density flash memory device and method of manufacturing the same |
TWI708375B (zh) | 2018-06-19 | 2020-10-21 | 日商東芝記憶體股份有限公司 | 記憶體裝置 |
JP7074583B2 (ja) | 2018-06-26 | 2022-05-24 | キオクシア株式会社 | 半導体記憶装置 |
JP2020004470A (ja) | 2018-06-29 | 2020-01-09 | キオクシア株式会社 | 半導体記憶装置 |
US10755941B2 (en) | 2018-07-06 | 2020-08-25 | Applied Materials, Inc. | Self-limiting selective etching systems and methods |
US10872778B2 (en) | 2018-07-06 | 2020-12-22 | Applied Materials, Inc. | Systems and methods utilizing solid-phase etchants |
JP2020009904A (ja) | 2018-07-09 | 2020-01-16 | キオクシア株式会社 | 半導体メモリ |
JP2020013889A (ja) * | 2018-07-18 | 2020-01-23 | キオクシア株式会社 | 半導体記憶装置 |
JP2020017572A (ja) | 2018-07-23 | 2020-01-30 | キオクシア株式会社 | 半導体メモリ及び半導体メモリの製造方法 |
US10672642B2 (en) | 2018-07-24 | 2020-06-02 | Applied Materials, Inc. | Systems and methods for pedestal configuration |
US10763273B2 (en) * | 2018-08-23 | 2020-09-01 | Macronix International Co., Ltd. | Vertical GAA flash memory including two-transistor memory cells |
JP2020035504A (ja) | 2018-08-30 | 2020-03-05 | キオクシア株式会社 | メモリシステム |
JP2020038738A (ja) | 2018-09-03 | 2020-03-12 | キオクシア株式会社 | 不揮発性メモリ及びメモリシステム |
US10892198B2 (en) | 2018-09-14 | 2021-01-12 | Applied Materials, Inc. | Systems and methods for improved performance in semiconductor processing |
JP2020047322A (ja) | 2018-09-14 | 2020-03-26 | キオクシア株式会社 | メモリシステム |
US11049755B2 (en) | 2018-09-14 | 2021-06-29 | Applied Materials, Inc. | Semiconductor substrate supports with embedded RF shield |
JP7158965B2 (ja) | 2018-09-14 | 2022-10-24 | キオクシア株式会社 | メモリシステム |
US11062887B2 (en) | 2018-09-17 | 2021-07-13 | Applied Materials, Inc. | High temperature RF heater pedestals |
JP2020047337A (ja) | 2018-09-18 | 2020-03-26 | キオクシア株式会社 | メモリシステム |
JP2020047814A (ja) | 2018-09-20 | 2020-03-26 | キオクシア株式会社 | 半導体記憶装置 |
JP2020047806A (ja) | 2018-09-20 | 2020-03-26 | キオクシア株式会社 | 半導体記憶装置 |
US11417534B2 (en) | 2018-09-21 | 2022-08-16 | Applied Materials, Inc. | Selective material removal |
US11682560B2 (en) | 2018-10-11 | 2023-06-20 | Applied Materials, Inc. | Systems and methods for hafnium-containing film removal |
US11121002B2 (en) | 2018-10-24 | 2021-09-14 | Applied Materials, Inc. | Systems and methods for etching metals and metal derivatives |
JP7105911B2 (ja) | 2018-11-06 | 2022-07-25 | キオクシア株式会社 | 半導体記憶装置 |
KR102629478B1 (ko) * | 2018-11-21 | 2024-01-26 | 에스케이하이닉스 주식회사 | 반도체 장치 및 그 제조방법 |
US11437242B2 (en) | 2018-11-27 | 2022-09-06 | Applied Materials, Inc. | Selective removal of silicon-containing materials |
JP2020087495A (ja) | 2018-11-29 | 2020-06-04 | キオクシア株式会社 | 半導体メモリ |
JP2020092168A (ja) * | 2018-12-05 | 2020-06-11 | キオクシア株式会社 | 半導体記憶装置 |
JP2020095766A (ja) | 2018-12-11 | 2020-06-18 | キオクシア株式会社 | 半導体記憶装置 |
KR102638740B1 (ko) | 2018-12-12 | 2024-02-22 | 삼성전자주식회사 | 3차원 반도체 메모리 소자 |
JP2020098655A (ja) * | 2018-12-17 | 2020-06-25 | キオクシア株式会社 | 半導体記憶装置 |
JP7195913B2 (ja) | 2018-12-19 | 2022-12-26 | キオクシア株式会社 | 半導体記憶装置 |
JP2020102290A (ja) | 2018-12-21 | 2020-07-02 | キオクシア株式会社 | 半導体記憶装置 |
JP2020102293A (ja) | 2018-12-25 | 2020-07-02 | キオクシア株式会社 | 半導体記憶装置 |
JP7159036B2 (ja) | 2018-12-25 | 2022-10-24 | キオクシア株式会社 | メモリデバイス |
JP2020107376A (ja) | 2018-12-27 | 2020-07-09 | キオクシア株式会社 | メモリシステム |
US11011239B2 (en) | 2018-12-27 | 2021-05-18 | Kioxia Corporation | Semiconductor memory |
US11721527B2 (en) | 2019-01-07 | 2023-08-08 | Applied Materials, Inc. | Processing chamber mixing systems |
US10920319B2 (en) | 2019-01-11 | 2021-02-16 | Applied Materials, Inc. | Ceramic showerheads with conductive electrodes |
WO2020163005A1 (en) * | 2019-02-05 | 2020-08-13 | Sandisk Technologies Llc | Three-dimensional memory device with laterally pegged dielectric cores or a carbon-doped source contact layer and methods for making the same |
JP2020136644A (ja) | 2019-02-26 | 2020-08-31 | キオクシア株式会社 | 半導体記憶装置 |
US10879260B2 (en) | 2019-02-28 | 2020-12-29 | Sandisk Technologies Llc | Bonded assembly of a support die and plural memory dies containing laterally shifted vertical interconnections and methods for making the same |
JP2020150147A (ja) | 2019-03-14 | 2020-09-17 | キオクシア株式会社 | 半導体記憶装置 |
JP2020150234A (ja) | 2019-03-15 | 2020-09-17 | キオクシア株式会社 | 半導体記憶装置 |
JP2020150218A (ja) | 2019-03-15 | 2020-09-17 | キオクシア株式会社 | 半導体記憶装置 |
JP2020155185A (ja) | 2019-03-22 | 2020-09-24 | キオクシア株式会社 | 半導体記憶装置 |
JP2020155664A (ja) * | 2019-03-22 | 2020-09-24 | キオクシア株式会社 | 半導体記憶装置 |
CN110896672B (zh) * | 2019-03-29 | 2021-05-25 | 长江存储科技有限责任公司 | 三维存储器件及其制造方法 |
KR20200126686A (ko) * | 2019-04-30 | 2020-11-09 | 에스케이하이닉스 주식회사 | 반도체 장치의 제조 방법 |
KR102637645B1 (ko) | 2019-05-17 | 2024-02-19 | 삼성전자주식회사 | 반도체 장치 |
US10964752B2 (en) * | 2019-06-13 | 2021-03-30 | Western Digital Technologies, Inc. | Three-dimensional memory device including laterally constricted current paths and methods of manufacturing the same |
US11043537B2 (en) | 2019-06-13 | 2021-06-22 | Western Digital Technologies, Inc. | Three-dimensional phase change memory device including vertically constricted current paths and methods of manufacturing the same |
JP2020205387A (ja) * | 2019-06-19 | 2020-12-24 | キオクシア株式会社 | 半導体記憶装置及びその製造方法 |
JP2020205003A (ja) | 2019-06-19 | 2020-12-24 | キオクシア株式会社 | メモリシステム、メモリコントローラ、及び半導体記憶装置 |
KR20210002138A (ko) | 2019-06-20 | 2021-01-07 | 삼성전자주식회사 | 확장부를 갖는 채널 구조체를 포함하는 3차원 플래시 메모리 소자 |
JP2021028950A (ja) | 2019-08-09 | 2021-02-25 | キオクシア株式会社 | 半導体記憶装置 |
JP2021040009A (ja) | 2019-09-02 | 2021-03-11 | キオクシア株式会社 | 半導体記憶装置及びその製造方法 |
JP2021039810A (ja) | 2019-09-04 | 2021-03-11 | キオクシア株式会社 | メモリシステム |
JP2021048167A (ja) | 2019-09-17 | 2021-03-25 | キオクシア株式会社 | 半導体記憶装置 |
JP2021047941A (ja) | 2019-09-17 | 2021-03-25 | キオクシア株式会社 | メモリシステム及びメモリシステムの制御方法 |
JP2021048230A (ja) | 2019-09-18 | 2021-03-25 | キオクシア株式会社 | 半導体記憶装置 |
JP2021047953A (ja) | 2019-09-19 | 2021-03-25 | キオクシア株式会社 | 半導体記憶装置 |
JP2021118252A (ja) * | 2020-01-24 | 2021-08-10 | キオクシア株式会社 | 半導体装置およびその製造方法 |
JP2021150408A (ja) * | 2020-03-17 | 2021-09-27 | キオクシア株式会社 | 半導体記憶装置 |
JP2021150409A (ja) * | 2020-03-17 | 2021-09-27 | キオクシア株式会社 | 半導体記憶装置 |
JP2021153080A (ja) | 2020-03-24 | 2021-09-30 | キオクシア株式会社 | 半導体記憶装置 |
JP2021190150A (ja) | 2020-06-02 | 2021-12-13 | キオクシア株式会社 | メモリシステム及びメモリコントローラ |
JP2022020957A (ja) | 2020-07-21 | 2022-02-02 | キオクシア株式会社 | メモリシステム及び半導体記憶装置 |
CN117042458A (zh) * | 2020-07-31 | 2023-11-10 | 长江存储科技有限责任公司 | 用于形成接触结构及其半导体器件的方法 |
JP2022146585A (ja) | 2021-03-22 | 2022-10-05 | キオクシア株式会社 | メモリシステム |
CN113327927B (zh) * | 2021-05-12 | 2023-08-08 | 长江存储科技有限责任公司 | 三维存储器的制作方法及三维存储器 |
US11848332B2 (en) * | 2021-07-23 | 2023-12-19 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device and manufacturing method thereof |
WO2023037567A1 (ja) * | 2021-09-09 | 2023-03-16 | キオクシア株式会社 | 半導体記憶装置 |
Family Cites Families (33)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US356791A (en) * | 1887-02-01 | Butter-worker | ||
US386871A (en) * | 1888-07-31 | Switch for overhanging tracks | ||
US385550A (en) * | 1888-07-03 | N peters | ||
US356991A (en) * | 1887-02-01 | Machine for mixing soap and other substances | ||
US386889A (en) * | 1888-07-31 | mallen | ||
JP3229012B2 (ja) * | 1992-05-21 | 2001-11-12 | 株式会社東芝 | 半導体装置の製造方法 |
JP3651689B2 (ja) * | 1993-05-28 | 2005-05-25 | 株式会社東芝 | Nand型不揮発性半導体記憶装置及びその製造方法 |
JP3285438B2 (ja) * | 1993-10-29 | 2002-05-27 | 三菱電機株式会社 | 半導体記憶装置 |
KR0165398B1 (ko) * | 1995-05-26 | 1998-12-15 | 윤종용 | 버티칼 트랜지스터의 제조방법 |
JPH1093083A (ja) * | 1996-09-18 | 1998-04-10 | Toshiba Corp | 半導体装置の製造方法 |
FR2792632B1 (fr) * | 1999-04-22 | 2004-02-13 | Inst Francais Du Petrole | Procede de production de paraxylene comprenant une etape d'adsorption, une etape d'isomerisation en phase liquide et une etape d'isomerisation en phase gazeuse avec une zeolithe de type eu0 |
JP2001102549A (ja) * | 2000-08-28 | 2001-04-13 | Toshiba Corp | 半導体記憶装置 |
US8421143B2 (en) * | 2000-09-26 | 2013-04-16 | Kabushiki Kaisha Toshiba | Nonvolatile semiconductor memory device having element isolating region of trench type |
JP3963678B2 (ja) * | 2001-06-23 | 2007-08-22 | 富士雄 舛岡 | 半導体記憶装置の製造方法 |
US6933556B2 (en) * | 2001-06-22 | 2005-08-23 | Fujio Masuoka | Semiconductor memory with gate at least partially located in recess defined in vertically oriented semiconductor layer |
US7378702B2 (en) | 2004-06-21 | 2008-05-27 | Sang-Yun Lee | Vertical memory device structures |
JP2006128390A (ja) * | 2004-10-28 | 2006-05-18 | Toshiba Corp | 半導体装置及びその製造方法 |
FR2883283B1 (fr) * | 2005-03-16 | 2007-05-18 | Inst Francais Du Petrole | Procede de production combinee de paraxylene et de benzene de productivite amelioree |
JP2006310651A (ja) | 2005-04-28 | 2006-11-09 | Toshiba Corp | 半導体装置の製造方法 |
JP5016832B2 (ja) * | 2006-03-27 | 2012-09-05 | 株式会社東芝 | 不揮発性半導体記憶装置及びその製造方法 |
JP2007317874A (ja) * | 2006-05-25 | 2007-12-06 | Toshiba Corp | 不揮発性半導体記憶装置 |
US7596024B2 (en) * | 2006-07-14 | 2009-09-29 | Semiconductor Energy Laboratory Co., Ltd. | Nonvolatile memory |
JP4843413B2 (ja) | 2006-08-28 | 2011-12-21 | 株式会社日立ハイテクノロジーズ | 電子線検査装置及び電子線検査方法 |
US8779495B2 (en) * | 2007-04-19 | 2014-07-15 | Qimonda Ag | Stacked SONOS memory |
JP4468433B2 (ja) | 2007-11-30 | 2010-05-26 | 株式会社東芝 | 不揮発性半導体記憶装置 |
JP5142692B2 (ja) * | 2007-12-11 | 2013-02-13 | 株式会社東芝 | 不揮発性半導体記憶装置 |
JP2009212280A (ja) | 2008-03-04 | 2009-09-17 | Toshiba Corp | 不揮発性半導体記憶装置の製造方法 |
US7732891B2 (en) * | 2008-06-03 | 2010-06-08 | Kabushiki Kaisha Toshiba | Semiconductor device |
JP5288936B2 (ja) * | 2008-08-12 | 2013-09-11 | 株式会社東芝 | 不揮発性半導体記憶装置 |
JP5395460B2 (ja) * | 2009-02-25 | 2014-01-22 | 株式会社東芝 | 不揮発性半導体記憶装置、及びその製造方法 |
JP2011040706A (ja) * | 2009-07-15 | 2011-02-24 | Toshiba Corp | 不揮発性半導体記憶装置 |
JP2011054802A (ja) * | 2009-09-02 | 2011-03-17 | Toshiba Corp | 不揮発性半導体記憶装置、及びその製造方法 |
DE102013102221B4 (de) | 2013-03-06 | 2014-11-13 | Schott Ag | Kratzfester Glasgegenstand und Verfahren zur Herstellung kratzfester Oberflächen von Glasgegenständen |
-
2007
- 2007-12-11 JP JP2007320215A patent/JP5142692B2/ja not_active Expired - Fee Related
-
2008
- 2008-12-09 EP EP08860456.6A patent/EP2201601B1/en not_active Not-in-force
- 2008-12-09 US US12/679,991 patent/US8372720B2/en active Active
- 2008-12-09 CN CN2008801201725A patent/CN102037557B/zh not_active Expired - Fee Related
- 2008-12-09 WO PCT/JP2008/072727 patent/WO2009075370A1/en active Application Filing
- 2008-12-09 KR KR1020107013010A patent/KR101239559B1/ko not_active IP Right Cessation
- 2008-12-11 TW TW097148254A patent/TWI384615B/zh not_active IP Right Cessation
-
2013
- 2013-01-14 US US13/740,803 patent/US8729624B2/en active Active
-
2014
- 2014-04-07 US US14/246,849 patent/US9035374B2/en active Active
-
2015
- 2015-03-25 US US14/668,270 patent/US9356042B2/en active Active
-
2016
- 2016-04-28 US US15/141,135 patent/US9741738B2/en active Active
-
2017
- 2017-07-31 US US15/664,924 patent/US9985050B2/en active Active
-
2018
- 2018-04-24 US US15/960,842 patent/US10163931B2/en active Active
- 2018-11-29 US US16/204,444 patent/US20190096908A1/en not_active Abandoned
-
2019
- 2019-12-11 US US15/929,185 patent/US11393840B2/en active Active
-
2021
- 2021-10-12 US US17/499,357 patent/US11574926B2/en active Active
-
2022
- 2022-06-17 US US17/843,320 patent/US11844218B2/en active Active
- 2022-12-30 US US18/091,728 patent/US20230146470A1/en active Pending
Cited By (10)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US9985044B2 (en) | 2016-03-11 | 2018-05-29 | Toshiba Memory Corporation | Semiconductor memory device and method for manufacturing the same |
US10431590B2 (en) | 2016-03-11 | 2019-10-01 | Toshiba Memory Corporation | Semiconductor memory device and method for manufacturing the same |
US10608007B2 (en) | 2016-03-11 | 2020-03-31 | Toshiba Memory Corporation | Semiconductor memory device and method for manufacturing the same |
US10930660B2 (en) | 2016-03-11 | 2021-02-23 | Toshiba Memory Corporation | Semiconductor memory device and method for manufacturing the same |
US11329060B2 (en) | 2016-03-11 | 2022-05-10 | Kioxia Corporation | Semiconductor memory device and method for manufacturing the same |
US11751399B2 (en) | 2016-03-11 | 2023-09-05 | Kioxia Corporation | Semiconductor memory device and method for manufacturing the same |
US10553610B2 (en) | 2018-03-29 | 2020-02-04 | Samsung Electronics Co., Ltd. | Three-dimensional semiconductor memory devices |
US11302709B2 (en) | 2018-03-29 | 2022-04-12 | Samsung Electronics Co., Ltd. | Three-dimensional semiconductor memory devices |
US11659712B2 (en) | 2018-03-29 | 2023-05-23 | Samsung Electronics Co., Ltd. | Three-dimensional semiconductor memory devices |
US11588035B2 (en) | 2019-12-24 | 2023-02-21 | Samsung Electronics Co., Ltd. | Semiconductor devices |
Also Published As
Similar Documents
Publication | Publication Date | Title |
---|---|---|
JP5142692B2 (ja) | 不揮発性半導体記憶装置 | |
JP5279560B2 (ja) | 不揮発性半導体記憶装置 | |
JP4468433B2 (ja) | 不揮発性半導体記憶装置 | |
JP5364342B2 (ja) | 不揮発性半導体記憶装置、及びその製造方法 | |
TWI449133B (zh) | 非揮發性半導體記憶裝置及其製造方法 | |
JP5288936B2 (ja) | 不揮発性半導体記憶装置 | |
KR101780274B1 (ko) | 비휘발성 메모리 장치 | |
JP5395460B2 (ja) | 不揮発性半導体記憶装置、及びその製造方法 | |
JP5908389B2 (ja) | 不揮発性半導体記憶装置 | |
JP2010114369A (ja) | 不揮発性半導体記憶装置 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
A621 | Written request for application examination |
Free format text: JAPANESE INTERMEDIATE CODE: A621 Effective date: 20100301 |
|
A131 | Notification of reasons for refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A131 Effective date: 20120821 |
|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20121001 |
|
TRDD | Decision of grant or rejection written | ||
A01 | Written decision to grant a patent or to grant a registration (utility model) |
Free format text: JAPANESE INTERMEDIATE CODE: A01 Effective date: 20121023 |
|
A01 | Written decision to grant a patent or to grant a registration (utility model) |
Free format text: JAPANESE INTERMEDIATE CODE: A01 |
|
A61 | First payment of annual fees (during grant procedure) |
Free format text: JAPANESE INTERMEDIATE CODE: A61 Effective date: 20121120 |
|
FPAY | Renewal fee payment (event date is renewal date of database) |
Free format text: PAYMENT UNTIL: 20151130 Year of fee payment: 3 |
|
LAPS | Cancellation because of no payment of annual fees |