JP2007317874A - 不揮発性半導体記憶装置 - Google Patents

不揮発性半導体記憶装置 Download PDF

Info

Publication number
JP2007317874A
JP2007317874A JP2006145661A JP2006145661A JP2007317874A JP 2007317874 A JP2007317874 A JP 2007317874A JP 2006145661 A JP2006145661 A JP 2006145661A JP 2006145661 A JP2006145661 A JP 2006145661A JP 2007317874 A JP2007317874 A JP 2007317874A
Authority
JP
Japan
Prior art keywords
layer
semiconductor layer
gate
gate electrode
pillar
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
JP2006145661A
Other languages
English (en)
Inventor
Hirohisa Iino
巨久 飯野
Fumitaka Arai
史隆 荒井
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Toshiba Corp
Toshiba Electronic Device Solutions Corp
Original Assignee
Toshiba Corp
Toshiba Microelectronics Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Toshiba Corp, Toshiba Microelectronics Corp filed Critical Toshiba Corp
Priority to JP2006145661A priority Critical patent/JP2007317874A/ja
Publication of JP2007317874A publication Critical patent/JP2007317874A/ja
Withdrawn legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/20EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B43/23EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B43/27EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels

Abstract

【課題】微細化及び積層化が可能な不揮発性半導体記憶装置を提供する。
【解決手段】NAND型フラシュメモリは、複数の第1のメモリセルに含まれる複数の第1のゲート電極が絶縁層を介して積層された第1の積層体と、複数の第2のメモリセルに含まれる複数の第2のゲート電極が絶縁層を介して積層された第2の積層体と、第1及び第2の積層体の側面上にそれぞれ設けられ、かつ電荷蓄積層14を内部に含む第1及び第2のゲート絶縁膜16と、第1のゲート絶縁膜の側面上に設けられた第1のピラーと、第2のゲート絶縁膜の側面上に設けられかつ第1のピラーに電気的に接続された第2のピラーとを含む第1の半導体層12と、第1のメモリセルに直列に接続され、かつ第1のピラー上に設けられた第1の選択トランジスタSSTと、第2のメモリセルに直列に接続され、かつ第2のピラー上に設けられた第2の選択トランジスタSDTとを具備する。
【選択図】 図3

Description

本発明は、不揮発性半導体記憶装置、特に電荷蓄積層とゲート電極とを積層した不揮発性メモリセルを用いた不揮発性半導体記憶装置に関する。
従来、半導体メモリとしては例えばデータの書き込み及び消去を電気的に行う、EEPROM(Electrically Erasable Programmable Read Only Memory)が知られている。さらに、EEPROMの1つとして、高集積化が可能なNAND型フラッシュメモリが知られている。NAND型フラッシュメモリのメモリセルは、電荷蓄積を目的とする層を有し、この電荷蓄積層の電荷量に応じて異なる閾値電圧をデータとして記憶する。
NAND型フラッシュメモリは、複数のメモリセルがソース/ドレイン拡散層を共有して直列接続されてNANDセルユニットを構成する。このようなセルアレイ構成を採用することにより、単位セル面積が小さくでき、したがって大容量化が可能となる。
ところで、通常、メモリセルは単層で形成されている。したがって、単位面積あたりの記録密度を向上させるためには、更なる微細化を進めるか、或いは多値記憶を行なう必要がある。しかし、製造装置に依存する微細化には限界がある。また、多値記憶による記録密度の向上もデータの信頼性の点から限界がある。
また、この種の関連技術としてEEPROMに関する技術が開示されており、具体的には、垂直方向に延在する1つの柱状半導体層にメモリセルが設けられ、このメモリセルの上下に選択トランジスタが設けられた構成が開示されている(特許文献1参照)。
特開2005−85938号公報
本発明は、微細化が可能で、かつ積層化が可能な不揮発性半導体記憶装置を提供する。
本発明の一視点に係る不揮発性半導体記憶装置は、複数の第1のメモリセルに含まれる複数の第1のゲート電極が絶縁層を介して積層された第1の積層体と、前記第1の積層体に離間して配置され、かつ複数の第2のメモリセルに含まれる複数の第2のゲート電極が絶縁層を介して積層された第2の積層体と、前記第1及び第2の積層体の側面上にそれぞれ設けられ、かつ電荷蓄積層を内部に含む第1及び第2のゲート絶縁膜と、前記第1のゲート絶縁膜の側面上に設けられた第1のピラーと、前記第2のゲート絶縁膜の側面上に設けられかつ前記第1のピラーに電気的に接続された第2のピラーとを含み、かつ前記第1及び第2のメモリセルの活性領域としての第1の半導体層と、前記第1のメモリセルに直列に接続され、かつ前記第1のピラー上に設けられた第1の選択トランジスタと、前記第2のメモリセルに直列に接続され、かつ前記第2のピラー上に設けられた第2の選択トランジスタとを具備する。
本発明によれば、微細化が可能で、かつ積層化が可能な不揮発性半導体記憶装置を提供することができる。
以下、本発明の実施の形態について図面を参照して説明する。なお、以下の説明において、同一の機能及び構成を有する要素については、同一符号を付し、重複説明は必要な場合にのみ行う。
(第1の実施形態)
図1は、本発明の第1の実施形態に係るNAND型フラッシュメモリの回路図である。データ消去単位である1個のユニットは、直列に接続された複数のメモリセルMC、典型的には8個のメモリセルMCと、その一端(ソース側)に直列に接続された選択トランジスタSSTと、他端(ドレイン側)に直列に接続された選択トランジスタSDTとにより構成されている。
メモリセルMCとしてのメモリセルトランジスタの制御ゲート端子には、ワード線WLが接続されている。ソース側の選択トランジスタSSTのゲート端子には、選択ゲート線SGSLが接続されている。選択トランジスタSSTのソース端子には、ソース線SLが接続されている。ドレイン側の選択トランジスタSDTのゲート端子には、選択ゲート線SGDLが接続されている。選択トランジスタSDTのドレイン端子には、ビット線BLが接続されている。
選択ゲート線SGSL,SGDLは、選択トランジスタSST,SDTのオン/オフを制御するために設けられている。選択トランジスタSST,SDTは、データ書き込み及びデータ読み出し等の際に、ユニット内のメモリセルMCに所定の電位を供給するためのゲートとして機能する。
このユニットがロウ方向(ワード線の延在方向)に複数個配列されてブロックが構成されている。1個のブロックのうち同じワード線に接続された複数のメモリセルは1ページとして取り扱われ、このページごとにデータ書き込み及びデータ読み出し動作が行われる。
複数のブロックは、カラム方向(ビット線の延在方向)に複数個配列される。また、複数のブロックは、順番に折り返されるように配列される。すなわち、任意のブロックと、この任意のブロックの一方に隣接するブロックとは、ドレイン側の選択トランジスタSDTが向き合うように配置されている。上記任意のブロックとこの任意のブロックの他方に隣接するブロックとは、ソース側の選択トランジスタSSTが向き合うように配置されている。
次に、図1に示したNAND型フラッシュメモリの構造について説明する。図2は、本発明の第1の実施形態に係るNAND型フラッシュメモリの平面図である。図3は、図2に示したIII−III線に沿ったNAND型フラッシュメモリの断面図である。
本実施形態のNAND型フラッシュメモリは、SOI(Silicon On Insulator)構造を有するNAND型フラッシュメモリである。また、本実施形態のメモリセル及び選択トランジスタとしては、縦型メモリセルトランジスタ及び縦型選択トランジスタを用いている。なお、縦型のトランジスタとは、チャネルが縦方向に形成されるトランジスタである。
そして、複数の縦型メモリセルトランジスタを上方向(縦方向)に複数積み重ね、最上段に縦型選択トランジスタSSTを配置する。これを第1の積層体とすると、この第1の積層体に離間して、複数の縦型メモリセルトランジスタと最上段に配置された縦型選択トランジスタSDTとから構成される第2の積層体を配置する。さらに、第1の積層体の最下段のメモリセルトランジスタと、第2の積層体の最下段のメモリセルトランジスタとを直列に接続している。以下に、構造の詳細について説明する。
図2及び図3に示すように、支持層としての絶縁層11上(本実施形態では、後述するゲート絶縁膜16上)には、ピラー状のN型半導体層12−1が設けられている。また、絶縁層11上には、N型半導体層12−1からX方向に離間して、ピラー状のN型半導体層12−2が設けられている。
そして、N型半導体層12−1とN型半導体層12−2とが下部で電気的に接続されて、一体のN型半導体層12が形成されている。すなわち、N型半導体層12は、X方向の断面形状がU字型になっている。なお、N型半導体層12−1,12−2は、低濃度のN型不純物が導入された半導体層により構成される。N型半導体層12−1とN型半導体層12−2との間に形成された空間は、絶縁層23で満たされている。
型半導体層12−1とN型半導体層12−2との側面上には、ゲート絶縁膜16が設けられている。本実施形態では、ゲート絶縁膜16は、U字形のN型半導体層12を外側から覆うように、N型半導体層12−1とN型半導体層12−2とに共通して設けられている。
ゲート絶縁膜16は、電荷を捕捉して蓄積する電荷蓄積手段を有する積層構造である。積層構造のゲート絶縁膜16は、N型半導体層12−1側から順に、第1の絶縁膜13、電荷蓄積層14、第2の絶縁膜15を有している。
第1の絶縁膜13は、電荷蓄積層14にN型半導体層12−1から電荷を蓄積する際または電荷蓄積層14に蓄積された電荷がN型半導体層12−1へ拡散する際に電位障壁となる。第1の絶縁膜13としては、例えばシリコン酸化膜が用いられる。シリコン酸化膜は、シリコン窒化膜等よりも絶縁性に優れ、電荷の拡散を防止する機能が好適である。第1の絶縁膜13の膜厚は、4nm程度である。
電荷蓄積層14は、主に電荷蓄積手段を担い、電荷(電子)を捕捉し蓄積する。電荷蓄積層14としては、例えばシリコン窒化膜が用いられる。電荷蓄積層14の膜厚は、8nm程度である。
第2の絶縁膜15は、電荷蓄積層14とゲート電極との間に配置され、電荷蓄積層14に蓄積された電荷のゲート電極への拡散を防止する。第2の絶縁膜15としては、例えばシリコン酸化膜が用いられる。第2の絶縁膜15の膜厚は、4nm程度である。
このように、ゲート絶縁膜16としては、例えばONO膜(酸化膜、窒化膜、酸化膜の積層膜)が用いられる。同様に、N型半導体層12−2の側面上にも、ONO膜からなるゲート絶縁膜16が設けられている。
型半導体層12−1上には、選択トランジスタSSTのチャネル領域として機能するP型半導体層17が設けられている。P型半導体層17は、低濃度のP型不純物が導入された半導体層である。P型半導体層17上には、選択トランジスタSSTのソース領域として機能するN型拡散層18が設けられている。N型拡散層18は、高濃度のN型不純物が拡散された半導体層である。P型半導体層17の側面上には、ゲート絶縁膜19が設けられている。
同様に、N型半導体層12−2上には、選択トランジスタSDTのチャネル領域として機能するP型半導体層20が設けられている。P型半導体層20上には、選択トランジスタSDTのドレイン領域として機能するN型拡散層21が設けられている。P型半導体層20の側面上には、ゲート絶縁膜22が設けられている。
絶縁層11上には、メモリセルMCの制御ゲート電極CG3、CG2、CG1、CG0、及び選択トランジスタSSTのゲート電極SGSが、層間絶縁層24を介して積層されている。また、ゲート電極CG3、CG2、CG1、CG0は、N型半導体層12−1の側面上にゲート絶縁膜16を介して設けられている。ゲート電極SGSは、P型半導体層17上にゲート絶縁膜19を介して設けられている。
同様に、絶縁層11上には、メモリセルMCのゲート電極CG4、CG5、CG6、CG7、及び選択トランジスタSDTのゲート電極SGDが、層間絶縁層24を介して積層されている。また、ゲート電極CG4、CG5、CG6、CG7は、N型半導体層12−2の側面上にゲート絶縁膜16を介して設けられている。ゲート電極SGDは、P型半導体層20上にゲート絶縁膜22を介して設けられている。
ゲート電極CG0〜CG7は、図1に示したワード線WL0〜WL7に対応する。ゲート電極SGSは、図1に示した選択ゲート線SGSLに対応する。ゲート電極SGDは、図1に示した選択ゲート線SGDLに対応する。
選択トランジスタのゲート電極SGS,SGDの膜厚(ゲート長)は、メモリセルMCのゲート電極CGの膜厚(ゲート長)に比べて大きく設定されている。これは、選択トランジスタのカットオフ特性を良好なものとするためである。例えば、ゲート電極CGの膜厚(ゲート長)は、30nm程度である。一方、ゲート電極SGS,SGDの膜厚(ゲート長)は、150nm程度である。また、ゲート電極CG間の距離は、例えばゲート長と同じ長さに設定される。
ゲート電極CG3の側面上には、ゲート絶縁膜16を介して、N型半導体層12が配置される。さらに、ゲート電極CG3の側面に対応するN型半導体層12の側面の一部には、絶縁層23が配置される。このために、絶縁層11とゲート電極CG3との間の層間絶縁層24の膜厚は、ゲート絶縁膜16とN型半導体層12との合計膜厚より大きく設定される。これにより、ゲート電極CG3の側面上には、ゲート絶縁膜16を介してN型半導体層12及び絶縁層23が配置される。ゲート電極CG4についても同様である。
ゲート電極としては、例えばポリシリコンが用いられる。そして、ポリシリコン層の一部をシリサイド化することにより、シリサイド層26を含むようにゲート電極を構成する。このようにすることで、ゲート電極を低抵抗化することが可能となる。また、ゲート電極を低抵抗化するために、タングステン(W)、アルミニウム(Al)、銅(Cu)等の金属を用いてもよい。ゲート電極として金属を用いる場合は、シリサイド層26は必要ない。
型拡散層18上には、ソース線SLが設けられている。N型拡散層21上には、ビット線BLが設けられている。ソース線SLは、X方向に隣接するブロックの対応する選択トランジスタSSTのソース領域にも接続されている。また、ビット線BLは、X方向に隣接するブロックの対応する選択トランジスタSDTのソース領域にも接続されている。ソース線SL及びビット線BLには、例えばコンタクトが形成され、このコンタクトを介して所定の電位が供給される。
このようにして、ソース線SLとビット線BLとの間に、選択トランジスタSST、複数のメモリセルMC、選択トランジスタSDTが順に直列に接続されたユニットが構成される。
ところで、前述したように、本実施形態のメモリセルMCは、ゲート絶縁膜16内にシリコン窒化膜からなる電荷蓄積層14を備えるMONOS(Metal Oxide Nitride Oxide Semiconductor)型メモリセルである。このメモリセルMCでは、電荷蓄積層14を含むゲート絶縁膜16全体が絶縁体であるため、フローティングゲート型メモリセルのように、セル毎にフローティングゲート電極を分離するというプロセスが必要ない。すなわち、ゲート絶縁膜16は、N型半導体層12の側面全体に形成すればよく、パターニングの必要がないために、容易に縦型メモリセルを縦積みした構造を実現することができる。
MONOS型メモリセルMCは、電荷蓄積層14に電荷(電子)を捕捉し蓄積する。電荷を捕捉する能力は、電荷トラップ密度によって表わすことができ、電荷トラップ密度が大きくなれば電荷をより多く捕捉することができる。
電荷蓄積層14には、チャネル領域から電子が注入される。電荷蓄積層14に注入された電子は、この電荷蓄積層14のトラップに捕捉される。トラップに捕捉された電子は、簡単にはトラップから脱出することができず、そのまま安定することになる。そして、電荷蓄積層14の電荷量に応じてメモリセルMCの閾値電圧が変化するため、この閾値電圧のレベルによってデータ”0”、データ”1”を判定することで、メモリセルMCに情報を記憶する。
ところで、本実施形態の選択トランジスタSST,SDTは、エンハンスメント型MIS(Metal Insulator Semiconductor)である。一方、本実施形態のメモリセルMCは、デプレッション型MISトランジスタである。メモリセルMCのチャネル領域(活性領域)としてのN型半導体層12内には、N型半導体層12と導電型の異なるソース拡散層及びドレイン拡散層が形成されていない。すなわち、N型半導体層12は、メモリセルトランジスタのチャネル領域、ソース領域、及びドレイン領域として機能する。このメモリセルMCは、ゲート電極CGに印加される電位に基づいて、ゲート電極CG直下のN型半導体層12をほぼ空乏化することでオフ状態を実現している。
図4は、図3に示したメモリセルMCの1つを抜き出して示した断面図である。前述したように、本実施形態のメモリセルMCは、縦型メモリセルである。したがって、ゲート電極CGの膜厚がゲート長(チャネル長)となる。このゲート長をLとする。また、メモリセルMCの活性領域としてのN型半導体層12の膜厚(図4において、横方向の厚さ)をTSOIとする。ゲート長Lと膜厚TSOIとは、下記の関係式を満たすことが望ましい。
1nm<TSOI<L×0.8
読み出し動作において、ゲート電極CG直下のチャネル領域には、ゲート絶縁膜16との界面から1nm程度の範囲に反転層が形成される。このため、膜厚TSOIが1nmより薄くなると、反転層のキャリア面密度が急激に低下して、ビット線電流が減少する。この結果、データの読み出しが困難となる。
一方、読み出し動作を正常に行なうためには、メモリセルトランジスタのカットオフ特性を良好にする必要がある。このために、膜厚TSOIの上限値が上記関係式を満たすことが望ましい。
次に、このように構成されたNAND型フラッシュメモリのデータ書き込み動作、データ読み出し動作、及びデータ消去動作について説明する。
データ書き込み時には、選択されたメモリセルMC(選択メモリセルMC)のワード線WLに正の書き込み電位Vpgmを印加し、非選択メモリセルMCのワード線WLに正の中間電位Vpass(<Vpgm)を印加する。そして、ドレイン側の選択ゲート線SGDLにVCC(電源電位)を印加して選択トランジスタSDTをオン状態にし、ソース側の選択ゲート線SGSLに0V(接地電位)を印加して選択トランジスタSSTをカットオフ状態にする。そして、選択ビット線BLには、書き込むデータに応じて、0V或いはVCC(例えば3V)を印加する。
こうすることにより、選択トランジスタSDT及びユニット内の非選択メモリセルMCが導通状態となり、選択メモリセルMCのチャネル領域にビット線電位が伝達され、メモリセルMCの閾値電圧がシフトする。
例えば“0”を書き込む場合、ビット線BLに0Vを印加する。すると、メモリセルMCのチャネル領域とゲート電極CGとの間に高電界が発生するため、電荷蓄積層14に電子が注入され、閾値電圧は正方向にシフトする。
一方、“1”書き込みは、メモリセルMCの閾値電圧を変化させずに維持(消去状態を維持)する状態であり、メモリセルMCのゲート電極CGに正の高電位Vpgmが印加されても電荷蓄積層14に電子が注入されないようにする。このため、ビット線BLにVCCを印加する。そして、書き込みの初期にメモリセルMCのチャネル領域にVCCが充電された後、選択ワード線WLにVpgm、非選択ワード線WLにVpassを印加する。
すると、ゲート電極CGとチャネル領域との容量結合によりチャネル電位は上昇するが、ドレイン側の選択ゲート線SGDLはビット線BLとともにVCCであるため選択トランジスタSDTがカットオフ状態となる。すなわち、選択メモリセルMCのチャネル領域は、フローティング状態となる。これにより、メモリセルMCに電子は注入されず、閾値電圧は変化しない。
データ読み出し時には、選択メモリセルMCのワード線WLに例えば0Vを印加し、非選択メモリセルMCのワード線WL及び選択ゲート線SGDL,SGSLにVCC若しくはVCCより少し高い読み出し電位Vreadを印加する。つまり、選択トランジスタSDT,SST及び非選択メモリセルMCは導通状態になるため、選択メモリセルMCの閾値電圧が正か負かでビット線BLの電位は決まり、この電位を検知することでデータ読み出しが可能となる。
データ消去は、ブロック単位で行なわれる。データ消去時には、選択ゲート線SGDL,SGSL及びビット線BLをフローティング状態にし、選択されたブロック内の全てのワード線WLに0Vを印加し、ソース線SLに正の消去電位Veraを印加する。これにより、選択ブロック内の電荷蓄積層14が保持する電子がチャネル領域に放出される。この結果、これらのメモリセルMCの閾値電圧が負方向にシフトする。
一方、非選択ブロック内の全てのワード線WL、選択ゲート線SGDL,SGSL、及びビット線BLは、フローティング状態にする。これにより、非選択ブロックでは、ワード線WLがチャネル領域との容量結合によりVera近くまで上昇するため、消去動作が行われない。
次に、NAND型フラッシュメモリの製造方法の一例について説明する。図5、図6A〜図13A、図14、図15、図16A〜図18Aは、製造方法の一工程を示す平面図である。図6B〜図13B、図16B〜図18Bは、平面図に示したIII−III線に沿った断面図である。
図5に示すように、支持層としての絶縁層11を準備する。絶縁層11としては、例えばシリコン酸化膜が用いられる。そして、絶縁層11上に、層間絶縁層(31、33、35、37、39、41)とゲート電極(32、34、36、38、40)とを交互に積層する。
ゲート電極32は、後の加工によりゲート電極CG3、CG4となる。ゲート電極34は、後の加工によりゲート電極CG2、CG5となる。ゲート電極36は、後の加工によりゲート電極CG1、CG6となる。ゲート電極38は、後の加工によりゲート電極CG0、CG7となる。ゲート電極40は、後の加工によりゲート電極SGS、SGDとなる。また、層間絶縁層31、33、35、37、39、41は、図3に示した層間絶縁層24に対応する。
本実施形態では、ゲート電極として、例えばポリシリコンが用いられる。また、前述したように、ゲート電極を低抵抗化するために、タングステン(W)、アルミニウム(Al)、銅(Cu)等を用いてもよい。層間絶縁層としては、例えばシリコン酸化膜が用いられる。或いは、シリコン酸化膜にホウ素(B)とリン(P)とを含ませたBPSG(Boron Phosphorus Silicate Glass)、BSG(Boron Silicate Glass)、もしくはPSG(Phosphorus Silicate Glass)等を用いてもよい。
次に、図6A及び図6Bに示すように、リソグラフィ法及びRIE(Reactive Ion Etching)法を用いて、層間絶縁層(31、33、35、37、39、41)及びゲート電極(32、34、36、38、40)を選択的にエッチングし、絶縁層11の上面が露出するように積層膜内に開口部42を形成する。
次に、図7A及び図7Bに示すように、開口部42に面した層間絶縁層(31、33、35、37、39、41)及びゲート電極(32、34、36、38、40)の側面上に、第2の絶縁膜15及び電荷蓄積層14を順に堆積する。この際、開口部42に面した絶縁層11上にも第2の絶縁膜15及び電荷蓄積層14が形成されている。
次に、図8A及び図8Bに示すように、開口部42を埋め込むように、電荷蓄積層14上に絶縁層43を堆積する。この際、絶縁層43の上面は、ゲート電極38の上面より高く、かつゲート電極40の底面より低い位置に設定される。次に、絶縁層43の上面より上に形成された第2の絶縁膜15及び電荷蓄積層14をエッチングする。その後、絶縁層43を除去する。
次に、図9A及び図9Bに示すように、電荷蓄積層14上、及びゲート電極40の側面上に、第1の絶縁膜13を堆積する。なお、選択トランジスタSSTのゲート電極SGSの側面上に設けられた絶縁膜13は、選択トランジスタSSTのゲート絶縁膜19(図3を参照)に対応する。また、選択トランジスタSDTのゲート電極SGDの側面上に設けられた絶縁膜13は、選択トランジスタSDTのゲート絶縁膜22(図3を参照)に対応する。
次に、図10A及び図10Bに示すように、第1の絶縁膜13上及び側面上に、N型半導体層12を堆積する。N型半導体層12としては、低濃度のN型不純物(リン(P)、ヒ素(As)等)が導入されたシリコンが用いられる。
次に、図11A及び図11Bに示すように、開口部42を埋め込むように、N型半導体層12上に絶縁層23−1を堆積する。この際、絶縁層23−1の上面は、ゲート電極40の底面とほぼ同じ位置に設定される。絶縁層23−1としては、例えばシリコン酸化膜が用いられる。
次に、絶縁層23−1の上面より上に形成されたN型半導体層12内に、低濃度のP型不純物(ホウ素(B)等)を導入する。これにより、絶縁層23−1の上面より上のN型半導体層12内に、選択トランジスタSST,SDTのチャネル領域としてのP型半導体層17,20が形成される。
次に、図12A及び図12Bに示すように、絶縁層23−1上にさらに絶縁層を堆積することにより、N型半導体層12上に絶縁層23−2を形成する。この際、絶縁層23−2の上面は、ゲート電極40の上面とほぼ同じ位置に設定される。
次に、絶縁層23−2の上面より上に形成されたP型半導体層17,20内にそれぞれ、高濃度のN型不純物を導入する。これにより、絶縁層23−2の上面より上のP型半導体層17内に、選択トランジスタSSTのソース領域としてのN型拡散層18が形成される。同様に、絶縁層23−2の上面より上のP型半導体層20内に、選択トランジスタSDTのドレイン領域としてのN型拡散層21が形成される。
次に、図13A及び図13Bに示すように、絶縁層23−2上にさらに絶縁層を堆積することにより、N型半導体層12上に絶縁層23を形成する。
次に、図14に示すように、複数のユニットに分離するために、半導体層12(半導体層17,20、拡散層18,21を含む)及び絶縁層23をパターニングする。これにより、隣接するユニット間に、第1の絶縁膜13の上面まで到達する開口部44が形成される。なお、図14に示したIII−III線に沿った断面図は、図13Bと同じである。
次に、図15に示すように、開口部44内に絶縁体を埋め込むことにより、絶縁層23を形成する。このようにして、Y方向に隣接するユニットを電気的に分離する。
次に、図16A及び図16Bに示すように、X方向に隣接するブロックのゲート電極を電気的に分離するために、ゲート電極(32、34、36、38、40)をパターニングする。これにより、ゲート電極の側面及び絶縁層11の上面を露出する開口部45が形成される。
次に、図17A及び図17Bに示すように、ゲート電極40のパターニング工程によりゲート絶縁膜と反対側の側面が露出したゲート電極40をシリサイド化することにより、ゲート電極40の側面内にシリサイド層26を形成する。他のゲート電極についても同様である。なお、ゲート電極が前述した金属により構成されている場合には、ゲート電極のシリサイド工程は必要ない。
次に、図18A及び図18Bに示すように、開口部45に絶縁体を埋め込むことにより、X方向に隣接するブロックを電気的に分離するための絶縁層25を形成する。
次に、図2及び図3に示すように、選択トランジスタSSTのソース領域(N型拡散層18)に電気的に接続されたソース線SLを形成する。また、選択トランジスタSDTのドレイン領域(N型拡散層21)に電気的に接続されたビット線BLを形成する。このようにして、NAND型フラッシュメモリが形成される。
以上詳述したように本実施形態によれば、メモリセルMC及び選択トランジスタを縦型にしてかつ積層しているために、NAND型フラッシュメモリの面積を低減することができる。
また、メモリセルMC及び選択トランジスタを半導体基板上に形成する必要がないため、複数のブロックを縦方向に何層にも積み重ねることができる。これにより、NAND型フラッシュメモリの記憶容量を大きくした場合でも、面積の増加を抑えることができる。すなわち、単位面積あたりの記録密度を向上させることができる。この結果、高集積化が可能なNAND型フラッシュメモリを構成することができる。
また、N型半導体層12及びP型半導体層17,21は、絶縁層23の側面上に設けられたSOI層に対応する。したがって、N型半導体層12及びP型半導体層17,21の寄生容量を低減することができる。この結果、メモリセルMC及び選択トランジスタの動作速度を高速化することができる。
また、選択トランジスタSDTとビット線BLとを電気的に接続するビット線コンタクトが必要ない。また、選択トランジスタSSTとソース線SLとを電気的に接続するソース線コンタクトが必要ない。すなわち、コンタクトを形成する工程を省略することができるため、製造コストを低減することが可能となる。
また、メモリセルとしてMONOS型メモリセルを用いている。したがって、低電圧書き込み或いは低電圧消去動作が可能である。さらに、電荷蓄積層14を含むゲート絶縁膜16全体が絶縁体であるため、電荷蓄積層14をメモリセル毎に分離する必要がない。すなわち、ゲート絶縁膜16をN型半導体層12の側面全体に形成すればよく、パターニングの必要がないために、容易に縦型メモリセルを縦積みした構造を実現することができる。
また、メモリセルMCは、ソース領域及びドレイン領域としての拡散層を有していない。すなわち、チャネル領域としての半導体層と異なる導電型の拡散層を有していない。これにより、縦方向の微細化が可能である。さらに、メモリセルMCの活性領域としてN型半導体層12のみを形成すればよいため、容易に縦型メモリセルを縦積みした構造を実現することができる。
(第2の実施形態)
第2の実施形態は、N型半導体層12のゲート電極CGが設けられる側と反対側に、絶縁層23を介して導電層51を設ける。そして、この導電層51に供給する電位を制御することで、メモリセルMC及び選択トランジスタの動作特性を向上するようにしている。
図19は、本発明の第2の実施形態に係るNAND型フラッシュメモリの平面図である。図20は、図19に示したIII−III線に沿ったNAND型フラッシュメモリの断面図である。図21は、図19に示したIV−IV線に沿ったNAND型フラッシュメモリの断面図である。
本実施形態のメモリセルMC及び選択トランジスタとしては、縦型メモリセル及び縦型選択トランジスタを用いている。そして、複数の縦型メモリセルトランジスタを縦方向に複数積み重ね、最上段に縦型選択トランジスタを配置している。さらに、メモリセルの活性領域としてのN型半導体層12がU字形であり、ユニット内の複数のメモリセルMCが1つのN型半導体層12を共有して使用している。この構成は、上記第1の実施形態と同じである。
絶縁層23内でN型半導体層12−1とN型半導体層12−2との間には、導電層51が設けられている。したがって、絶縁層23は、導電層51とN型半導体層12との間に設けられたBOX(Buried Oxide)層とみなすことができる。また、導電層51の底面の位置は、最下段のメモリセルMCのゲート電極CG3(或いは、ゲート電極CG4)の底面より低く設定される。なお、導電層51は、例えば、各ユニットに対応して設けられている。そして、複数の導電層51は、上部で電気的に接続されている。
この導電層51には、NAND型フラッシュメモリの動作に応じて、所定の電位が供給される。導電層51に電位を供給することで、メモリセルMC及び選択トランジスタのバックゲート電位を制御することが可能となる。これにより、メモリセルMC及び選択トランジスタの動作特性を向上させることができる。
すなわち、導電層51の電位を制御することで、メモリセルMCのチャネル領域としてのN型半導体層12の電位を制御することができる。同様に、導電層51の電位を制御することで、選択トランジスタのチャネル領域としてのP型半導体層17,20の電位を制御することができる。この結果、NAND型フラッシュメモリのデータ書き込み動作、データ読み出し動作、及びデータ消去動作において、書き込み電圧、読み出し電圧、及び消去電圧等を低減することが可能となる。
次に、第2の実施形態に係るNAND型フラッシュメモリの製造方法の一例について説明する。図22A、図23A、図24、図25、図26A及び図27Aは、製造方法の一工程を示す平面図である。図22B、図23B、図26B及び図27Bは、平面図に示したIII−III線に沿った断面図である。なお、図13A及び図13Bまでの製造工程は、上記第1の実施形態と同じである。
図22A及び図22Bに示すように、リソグラフィ法及びRIE法を用いて、絶縁層23内に、ゲート電極32の底面まで到達する開口部52を形成する。また、開口部52は、Y方向に延在するように形成される。
次に、図23A及び図23Bに示すように、開口部52内に導電体を埋め込んで、導電層51を形成する。導電層51としては、例えばポリシリコンが用いられる。
次に、図24に示すように、複数のユニットに分離するために、半導体層12(半導体層17,20、拡散層18,21を含む)、絶縁層23、及び導電層51をパターニングする。これにより、隣接するユニット間に、第1の絶縁膜13の上面まで到達する開口部44を形成する。この際、導電層51は、複数の導電層51−1に分離される。なお、図24に示したIII−III線に沿った断面図は、図23Bと同じである。
次に、図25に示すように、開口部44内に絶縁体を埋め込むことにより、絶縁層23を形成する。このようにして、Y方向に隣接するユニットの半導体層12及び導電層51−1を電気的に分離する。
次に、図26A及び図26Bに示すように、絶縁層23及び複数の導電層51−1内に、Y方向に延在する浅い溝53を形成する。
次に、図27A、27B及び図27C(図27AのIV−IV線に沿った断面図)に示すように、溝53内にポリシリコンを埋め込む。これにより、ユニット毎に設けられた導電層51−1が電気的に接続されて、1つの導電層51が形成される。その後の製造工程は、上記第1の実施形態で説明した図16A及び図16B以下の製造工程と同じである。
以上詳述したように本実施形態によれば、導電層51に印加する電位を制御することで、メモリセルMC及び選択トランジスタの動作特性を向上させることができる。その他の効果は、上記第1の実施形態と同じである。
また、導電層51は、シールドとしても機能する。すなわち、導電層51は、この導電層51の両側に配置されたメモリセル間でノイズ等が伝わるのを防ぐことができる。
(第3の実施形態)
第3の実施形態は、メモリセルMCの活性領域としてのN型半導体層12を、半導体基板の結晶軸と結晶軸の揃ったエピタキシャル層により構成するようにしている。すなわち、単結晶の半導体基板を用いることで、N型半導体層12を単結晶層により構成するようにしている。
図28は、本発明の第3の実施形態に係るNAND型フラッシュメモリの平面図である。図29は、図28に示したIII−III線に沿ったNAND型フラッシュメモリの断面図である。
本実施形態では、絶縁層11に代えて、単結晶からなる半導体基板61(例えば、P型半導体基板)を用いている。半導体基板61としては、例えばシリコンが用いられる。半導体基板61内には、トランジスタ等の半導体素子が形成される複数の素子領域を電気的に分離するために、複数の素子分離領域62が設けられている。この素子分離領域62は、例えばSTI(Shallow Trench Isolation)により構成される。そして、X方向に隣接するユニットは、素子分離領域62により電気的に分離されている。
また、N型半導体層12は、半導体基板61上に設けられる。例えば、半導体基板61上のゲート絶縁膜16の一部が取り除かれる。そして、この取り除かれた部分に、N型半導体層12が形成される。
ここで、N型半導体層12は、単結晶層であり、半導体基板61の結晶軸と結晶軸の揃ったエピタキシャル層により構成される。その他の構成は、上記第1の実施形態と同じである。
次に、第3の実施形態に係るNAND型フラッシュメモリの製造方法の一例について説明する。図30A〜32Aは、製造方法の一工程を示す平面図である。図30B〜32Bは、平面図に示したIII−III線に沿った断面図である。
前述したように、本実施形態では、絶縁層11に代えて半導体基板61を用いている。半導体基板61内には、隣接する素子領域を電気的に分離するために、素子分離領域(STI)62が設けられている。すなわち、リソグラフィ法及びRIE法を用いて半導体基板61に溝を形成し、この溝にシリコン酸化膜等の絶縁体を埋め込むことにより、半導体基板61内にSTI62が形成される。そして、メモリセルMC及び選択トランジスタは、半導体基板61上に形成される。なお、図10A及び図10Bまでの製造工程は、上記第1の実施形態と同じである。
図30A及び図30Bに示すように、異方性エッチング法により、N型半導体層12及びゲート絶縁膜16(第1の絶縁膜13、電荷蓄積層14、及び第2の絶縁膜15)を選択的にエッチングする。これにより、半導体基板61の上面の一部を露出させる開口部63が形成される。
次に、図31A及び図31Bに示すように、露出された半導体基板61上に、ポリシリコン層64を堆積する。この際、N型半導体層12上及び側面上にもポリシリコン層64が堆積される。
次に、図32A及び図32Bに示すように、エピタキシャンル成長法を用いて、半導体基板61上に、この半導体基板61の結晶軸と結晶軸の揃ったエピタキシャル層(図32Aに示したN型半導体層12に対応する)を形成する。これにより、ポリシリコン層64は、N型半導体層12の一部となる。
その後の製造工程は、上記第1の実施形態の製造工程(図11A及び図11B以降の製造工程)と同じである。
このように構成されたNAND型フラッシュメモリでは、N型半導体層12は、半導体基板61の結晶軸と結晶軸の揃ったエピタキシャル層により構成される。すなわち、N型半導体層12は、単結晶層により構成される。また、N型半導体層12は、半導体基板61と同じ材料により構成され、かつ半導体基板61の一部となっている。換言すると、N型半導体層12は、半導体基板61から上方向に突出した突出部となっている。
したがって、このN型半導体層12を活性領域とするメモリセルMC(或いは、P型半導体層17,20を活性領域とする選択トランジスタ)は、素子特性が向上する。さらに、キャリア(電子)の移動度が向上する。この結果、NAND型フラッシュメモリの動作特性を向上させることができる。
なお、上記第1乃至第3の実施形態において、NANDセルを用いたNAND型フラッシュメモリを一例として説明したが、これに限らず不揮発性メモリセルを用いたEEPROM全般に適用することができる。
本発明は、上述した実施形態に限定されるものではなく、その要旨を逸脱しない範囲内で、構成要素を変形して具体化できる。また、実施形態に開示されている複数の構成要素の適宜な組み合わせにより種々の発明を構成することができる。例えば、実施形態に開示される全構成要素から幾つかの構成要素を削除してもよいし、異なる実施形態の構成要素を適宜組み合わせてもよい。
本発明の第1の実施形態に係るNAND型フラッシュメモリの回路図。 第1の実施形態に係るNAND型フラッシュメモリの平面図。 図2に示したIII−III線に沿ったNAND型フラッシュメモリの断面図。 図3に示したメモリセルMCの1つを抜き出して示した断面図。 第1の実施形態に係るNAND型フラッシュメモリの製造工程を示す断面図。 図5に続くNAND型フラッシュメモリの製造工程を示す平面図。 図6Aに示したIII−III線に沿ったNAND型フラッシュメモリの製造工程を示す断面図。 図6Aに続くNAND型フラッシュメモリの製造工程を示す平面図。 図7Aに示したIII−III線に沿ったNAND型フラッシュメモリの製造工程を示す断面図。 図7Aに続くNAND型フラッシュメモリの製造工程を示す平面図。 図8Aに示したIII−III線に沿ったNAND型フラッシュメモリの製造工程を示す断面図。 図8Aに続くNAND型フラッシュメモリの製造工程を示す平面図。 図9Aに示したIII−III線に沿ったNAND型フラッシュメモリの製造工程を示す断面図。 図9Aに続くNAND型フラッシュメモリの製造工程を示す平面図。 図10Aに示したIII−III線に沿ったNAND型フラッシュメモリの製造工程を示す断面図。 図10Aに続くNAND型フラッシュメモリの製造工程を示す平面図。 図11Aに示したIII−III線に沿ったNAND型フラッシュメモリの製造工程を示す断面図。 図11Aに続くNAND型フラッシュメモリの製造工程を示す平面図。 図12Aに示したIII−III線に沿ったNAND型フラッシュメモリの製造工程を示す断面図。 図12Aに続くNAND型フラッシュメモリの製造工程を示す平面図。 図13Aに示したIII−III線に沿ったNAND型フラッシュメモリの製造工程を示す断面図。 図13Aに続くNAND型フラッシュメモリの製造工程を示す平面図。 図14に続くNAND型フラッシュメモリの製造工程を示す平面図。 図15に続くNAND型フラッシュメモリの製造工程を示す平面図。 図16Aに示したIII−III線に沿ったNAND型フラッシュメモリの製造工程を示す断面図。 図16Aに続くNAND型フラッシュメモリの製造工程を示す平面図。 図17Aに示したIII−III線に沿ったNAND型フラッシュメモリの製造工程を示す断面図。 図17Aに続くNAND型フラッシュメモリの製造工程を示す平面図。 図18Aに示したIII−III線に沿ったNAND型フラッシュメモリの製造工程を示す断面図。 本発明の第2の実施形態に係るNAND型フラッシュメモリの平面図。 図19に示したIII−III線に沿ったNAND型フラッシュメモリの断面図。 図19に示したIV−IV線に沿ったNAND型フラッシュメモリの断面図。 第2の実施形態に係るNAND型フラッシュメモリの製造工程を示す平面図。 図22Aに示したIII−III線に沿ったNAND型フラッシュメモリの製造工程を示す断面図。 図22Aに続くNAND型フラッシュメモリの製造工程を示す平面図。 図23Aに示したIII−III線に沿ったNAND型フラッシュメモリの製造工程を示す断面図。 図23Aに続くNAND型フラッシュメモリの製造工程を示す平面図。 図24に続くNAND型フラッシュメモリの製造工程を示す平面図。 図25に続くNAND型フラッシュメモリの製造工程を示す平面図。 図26Aに示したIII−III線に沿ったNAND型フラッシュメモリの製造工程を示す断面図。 図26Aに続くNAND型フラッシュメモリの製造工程を示す平面図。 図27Aに示したIII−III線に沿ったNAND型フラッシュメモリの製造工程を示す断面図。 図27Aに示したIV−IV線に沿ったNAND型フラッシュメモリの製造工程を示す断面図。 本発明の第3の実施形態に係るNAND型フラッシュメモリの平面図。 図28に示したIII−III線に沿ったNAND型フラッシュメモリの断面図。 第3の実施形態に係るNAND型フラッシュメモリの製造工程を示す平面図。 図30Aに示したIII−III線に沿ったNAND型フラッシュメモリの製造工程を示す断面図。 図30Aに続くNAND型フラッシュメモリの製造工程を示す平面図。 図31Aに示したIII−III線に沿ったNAND型フラッシュメモリの製造工程を示す断面図。 図31Aに続くNAND型フラッシュメモリの製造工程を示す平面図。 図32Aに示したIII−III線に沿ったNAND型フラッシュメモリの製造工程を示す断面図。
符号の説明
MC…メモリセル、SST,SDT…選択トランジスタ、WL…ワード線、BL…ビット線、SL…ソース線、SGSL,SGDL…選択ゲート線、SGS,SGD…ゲート電極、CG…制御ゲート電極、11…絶縁層、12…N型半導体層、13…第1の絶縁膜、14…電荷蓄積層、15…第2の絶縁膜、16…ゲート絶縁膜、17,20…P型半導体層、18,21…N型拡散層、19,22…ゲート絶縁膜、23…絶縁層、24…層間絶縁層、25…絶縁層、26…シリサイド層、31,33,35,37,39,41…層間絶縁層、32,34,36,38,40…ゲート電極、42,44,45,52,63…開口部、43…絶縁層、51…導電層、53…溝、61…半導体基板、62…素子分離領域、64…ポリシリコン層。

Claims (5)

  1. 複数の第1のメモリセルに含まれる複数の第1のゲート電極が絶縁層を介して積層された第1の積層体と、
    前記第1の積層体に離間して配置され、かつ複数の第2のメモリセルに含まれる複数の第2のゲート電極が絶縁層を介して積層された第2の積層体と、
    前記第1及び第2の積層体の側面上にそれぞれ設けられ、かつ電荷蓄積層を内部に含む第1及び第2のゲート絶縁膜と、
    前記第1のゲート絶縁膜の側面上に設けられた第1のピラーと、前記第2のゲート絶縁膜の側面上に設けられかつ前記第1のピラーに電気的に接続された第2のピラーとを含み、かつ前記第1及び第2のメモリセルの活性領域としての第1の半導体層と、
    前記第1のメモリセルに直列に接続され、かつ前記第1のピラー上に設けられた第1の選択トランジスタと、
    前記第2のメモリセルに直列に接続され、かつ前記第2のピラー上に設けられた第2の選択トランジスタと
    を具備することを特徴とする不揮発性半導体記憶装置。
  2. 前記第1の選択トランジスタは、前記第1のピラー上に設けられかつチャネル領域としての第2の半導体層と、この第2の半導体層上に設けられた第1の拡散層とを含み、
    前記第2の選択トランジスタは、前記第2のピラー上に設けられかつチャネル領域としての第3の半導体層と、この第3の半導体層上に設けられた第2の拡散層とを含むことを特徴とする請求項1に記載の不揮発性半導体記憶装置。
  3. 前記第1の積層体は、前記第1のゲート電極上に絶縁層を介して設けられかつ前記第1の選択トランジスタに含まれる第3のゲート電極を含み、
    前記第2の積層体は、前記第1のゲート電極上に絶縁層を介して設けられかつ前記第2の選択トランジスタに含まれる第4のゲート電極を含み、
    前記第1の選択トランジスタは、前記第2の半導体層と前記第3のゲート電極との間に設けられた第3のゲート絶縁膜を含み、
    前記第2の選択トランジスタは、前記第3の半導体層と前記第4のゲート電極との間に設けられた第4のゲート絶縁膜を含むことを特徴とする請求項2に記載の不揮発性半導体記憶装置。
  4. 前記第1のピラーと前記第2のピラーとの間に設けられた第1の絶縁層と、
    前記第1の絶縁層内に設けられた導電層とをさらに具備することを特徴とする請求項1乃至3のいずれかに記載の不揮発性半導体記憶装置。
  5. 前記第1及び第2の積層体と前記第1の半導体層とは、半導体基板上に設けられ、
    前記第1の半導体層は、単結晶層であることを特徴とする請求項1乃至4のいずれかに記載の不揮発性半導体記憶装置。
JP2006145661A 2006-05-25 2006-05-25 不揮発性半導体記憶装置 Withdrawn JP2007317874A (ja)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2006145661A JP2007317874A (ja) 2006-05-25 2006-05-25 不揮発性半導体記憶装置

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2006145661A JP2007317874A (ja) 2006-05-25 2006-05-25 不揮発性半導体記憶装置

Publications (1)

Publication Number Publication Date
JP2007317874A true JP2007317874A (ja) 2007-12-06

Family

ID=38851473

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2006145661A Withdrawn JP2007317874A (ja) 2006-05-25 2006-05-25 不揮発性半導体記憶装置

Country Status (1)

Country Link
JP (1) JP2007317874A (ja)

Cited By (93)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2009075370A1 (en) 2007-12-11 2009-06-18 Kabushiki Kaisha Toshiba Non-volatile semiconductor storage device and method of manufacturing the same
WO2009084206A1 (en) * 2007-12-27 2009-07-09 Kabushiki Kaisha Toshiba Semiconductor memory device and method for manufacturing same
JP2009158775A (ja) * 2007-12-27 2009-07-16 Toshiba Corp 不揮発性半導体記憶装置及びその製造方法
JP2009224633A (ja) * 2008-03-18 2009-10-01 Toshiba Corp 半導体記憶装置
JP2009224565A (ja) * 2008-03-17 2009-10-01 Toshiba Corp 不揮発性半導体記憶装置
WO2009114675A3 (en) * 2008-03-13 2009-11-19 Micron Technology, Inc. Memory array with a pair of memory-cell strings to a single conductive pillar
JP2009272513A (ja) * 2008-05-09 2009-11-19 Toshiba Corp 不揮発性半導体記憶装置
WO2010004706A1 (en) 2008-07-11 2010-01-14 Kabushiki Kaisha Toshiba Nonvolatile semiconductor memory device
JP2010034109A (ja) * 2008-07-25 2010-02-12 Toshiba Corp 不揮発性半導体記憶装置
JP2010034112A (ja) * 2008-07-25 2010-02-12 Toshiba Corp 半導体記憶装置
JP2010045149A (ja) * 2008-08-12 2010-02-25 Toshiba Corp 不揮発性半導体記憶装置
JP2010080561A (ja) * 2008-09-25 2010-04-08 Toshiba Corp 不揮発性半導体記憶装置
JP2010114370A (ja) * 2008-11-10 2010-05-20 Toshiba Corp 不揮発性半導体記憶装置、及びその製造方法
JP2010114360A (ja) * 2008-11-10 2010-05-20 Toshiba Corp 半導体装置及びその製造方法
JP2010118580A (ja) * 2008-11-14 2010-05-27 Toshiba Corp 不揮発性半導体記憶装置
JP2010118530A (ja) * 2008-11-13 2010-05-27 Toshiba Corp 不揮発性半導体記憶装置
JP2010140997A (ja) * 2008-12-10 2010-06-24 Toshiba Corp 不揮発性半導体記憶装置及びその製造方法
JP2010157734A (ja) * 2008-12-31 2010-07-15 Samsung Electronics Co Ltd 半導体記憶素子及びその形成方法
JP2010161132A (ja) * 2009-01-07 2010-07-22 Toshiba Corp 不揮発性半導体記憶装置、及びその製造方法
JP2010161199A (ja) * 2009-01-08 2010-07-22 Toshiba Corp 不揮発性半導体記憶装置
JP2010187001A (ja) * 2009-02-11 2010-08-26 Samsung Electronics Co Ltd 不揮発性メモリ素子及びその製造方法
JP2010192569A (ja) * 2009-02-17 2010-09-02 Toshiba Corp 不揮発性半導体記憶装置及びその製造方法
JP2010199314A (ja) * 2009-02-25 2010-09-09 Toshiba Corp 不揮発性半導体記憶装置、及びその製造方法
JP2010199312A (ja) * 2009-02-25 2010-09-09 Toshiba Corp 不揮発性半導体記憶装置、及びその製造方法
JP2010212518A (ja) * 2009-03-11 2010-09-24 Toshiba Corp 不揮発性半導体記憶装置
JP2010219409A (ja) * 2009-03-18 2010-09-30 Toshiba Corp 不揮発性半導体記憶装置
JP2010225946A (ja) * 2009-03-24 2010-10-07 Toshiba Corp 不揮発性半導体記憶装置及びその製造方法
CN101859778A (zh) * 2009-04-10 2010-10-13 三星电子株式会社 非易失性存储器件
JP2010278233A (ja) * 2009-05-28 2010-12-09 Toshiba Corp 不揮発性半導体記憶装置及びその製造方法
JP2011009409A (ja) * 2009-06-25 2011-01-13 Toshiba Corp 不揮発性半導体記憶装置
JP2011009328A (ja) * 2009-06-24 2011-01-13 Toshiba Corp 半導体記憶装置及びその製造方法
US20110018052A1 (en) * 2009-07-21 2011-01-27 Kabushiki Kaisha Toshiba Nonvolatile semiconductor memory device and method for manufacturing same
JP2011023688A (ja) * 2009-07-21 2011-02-03 Toshiba Corp 不揮発性半導体記憶装置及びその製造方法
JP2011054802A (ja) * 2009-09-02 2011-03-17 Toshiba Corp 不揮発性半導体記憶装置、及びその製造方法
US7910973B2 (en) 2008-03-17 2011-03-22 Kabushiki Kaisha Toshiba Semiconductor storage device
US20110073866A1 (en) * 2009-09-29 2011-03-31 Samsung Electronics Co., Ltd. Vertical-type semiconductor device
CN102097387A (zh) * 2009-12-15 2011-06-15 三星电子株式会社 制造非易失性存储器的方法
US7977733B2 (en) 2008-03-17 2011-07-12 Kabushiki Kaisha Toshiba Non-volatile semiconductor storage device
KR101050571B1 (ko) 2008-11-05 2011-07-19 가부시끼가이샤 도시바 불휘발성 반도체 기억 장치 및 그 제조 방법
KR101055587B1 (ko) 2010-06-09 2011-08-08 한양대학교 산학협력단 3차원 구조를 가지는 메모리의 제조방법
US7994011B2 (en) 2008-11-12 2011-08-09 Samsung Electronics Co., Ltd. Method of manufacturing nonvolatile memory device and nonvolatile memory device manufactured by the method
JP2011165972A (ja) * 2010-02-10 2011-08-25 Toshiba Corp 不揮発性半導体記憶装置
US8013389B2 (en) 2008-11-06 2011-09-06 Samsung Electronics Co., Ltd. Three-dimensional nonvolatile memory devices having sub-divided active bars and methods of manufacturing such devices
KR20110099882A (ko) * 2010-03-03 2011-09-09 삼성전자주식회사 3차원 반도체 기억 소자 및 그 형성 방법
US8036043B2 (en) 2008-07-24 2011-10-11 Samsung Electronics Co., Ltd. Nonvolatile semiconductor device and memory system including the same
KR101073640B1 (ko) * 2009-05-01 2011-10-17 서울대학교산학협력단 고집적 수직형 반도체 메모리 셀 스트링, 셀 스트링 어레이, 및 그 제조 방법
KR101083637B1 (ko) 2010-05-31 2011-11-16 주식회사 하이닉스반도체 비휘발성 메모리 장치 및 그 제조 방법
JP2011233551A (ja) * 2010-04-23 2011-11-17 Sharp Corp 不揮発性可変抵抗素子、及び、不揮発性半導体記憶装置
KR101090979B1 (ko) * 2010-08-30 2011-12-08 서울대학교산학협력단 차폐전극을 갖는 3차원 수직형 메모리 셀 스트링
JP2012004470A (ja) * 2010-06-21 2012-01-05 Toshiba Corp 不揮発性半導体記憶装置及びその製造方法
WO2012009076A2 (en) 2010-07-15 2012-01-19 Micron Technology, Inc. Memory arrays having substantially vertical, adjacent semiconductor structures and their formation
US8110834B2 (en) 2009-01-05 2012-02-07 Samsung Electronics Co., Ltd. Three-dimensional semiconductor devices including select gate patterns having different work function from cell gate patterns
US8115259B2 (en) 2009-02-02 2012-02-14 Samsung Electronics Co., Ltd. Three-dimensional memory device
US20120068253A1 (en) * 2010-03-23 2012-03-22 Kabushiki Kaisha Toshiba Nonvolatile semiconductor memory device and method for manufacturing the same
US8178861B2 (en) 2008-10-15 2012-05-15 Kabushiki Kaisha Toshiba Semiconductor device
KR101160185B1 (ko) * 2010-04-26 2012-06-26 서울대학교산학협력단 차폐전극을 갖는 3차원 수직형 메모리 셀 스트링, 이를 이용한 메모리 어레이 및 그 제조 방법
CN102569203A (zh) * 2010-12-20 2012-07-11 中国科学院微电子研究所 一种三维多值非挥发存储器的制备方法
CN102576710A (zh) * 2009-08-26 2012-07-11 美光科技公司 基于电荷陷阱的存储器
KR101164954B1 (ko) * 2009-09-14 2012-07-12 에스케이하이닉스 주식회사 3차원 구조를 갖는 비휘발성 메모리 소자 및 그 제조 방법
JP2012142558A (ja) * 2010-12-30 2012-07-26 Sk Hynix Inc 不揮発性メモリ装置及びその製造方法
US8295089B2 (en) 2009-02-02 2012-10-23 Samsung Electronics Co., Ltd. Non-volatile memory device having vertical structure and method of operating the same
US8324677B2 (en) 2009-02-16 2012-12-04 Samsung Electronic Co., Ltd. Nonvolatile memory device and method of manufacturing the same
US8329537B2 (en) 2009-08-24 2012-12-11 Samsung Electronics Co., Ltd. Method for fabricating rewritable three-dimensional memory device
JP2013021322A (ja) * 2011-07-06 2013-01-31 Sk Hynix Inc 不揮発性メモリ素子及びその製造方法
US8383482B2 (en) 2009-09-29 2013-02-26 Samsung Electronics Co., Ltd. Three-dimensional semiconductor memory device and method of fabricating the same
JP2013042179A (ja) * 2012-11-15 2013-02-28 Toshiba Corp 不揮発性半導体記憶装置
US8394683B2 (en) * 2008-01-15 2013-03-12 Micron Technology, Inc. Methods of forming semiconductor constructions, and methods of forming NAND unit cells
US8415242B2 (en) 2010-03-23 2013-04-09 Kabushiki Kaisha Toshiba Nonvolatile semiconductor memory device and method of manufacturing the same
US8460998B2 (en) 2009-01-09 2013-06-11 Samsung Electronics Co., Ltd. Method of fabricating semiconductor device
US8488381B2 (en) 2009-02-02 2013-07-16 Samsung Electronics Co., Ltd. Non-volatile memory device having vertical structure and method of operating the same
US8507970B2 (en) 2009-06-22 2013-08-13 Samsung Electronics Co., Ltd. Three-dimensional semiconductor memory device
US8513731B2 (en) 2008-11-11 2013-08-20 Samsung Electronics Co., Ltd. Vertical type semiconductor device
US8541832B2 (en) 2009-07-23 2013-09-24 Samsung Electronics Co., Ltd. Integrated circuit memory devices having vertical transistor arrays therein and methods of forming same
US8541831B2 (en) 2008-12-03 2013-09-24 Samsung Electronics Co., Ltd. Nonvolatile memory device and method for fabricating the same
US8569829B2 (en) 2009-12-28 2013-10-29 Kabushiki Kaisha Toshiba Nonvolatile semiconductor memory device
CN103545276A (zh) * 2012-07-11 2014-01-29 三星电子株式会社 半导体装置及其制造方法
US8786007B2 (en) 2008-12-03 2014-07-22 Samsung Electronics Co., Ltd. Three-dimensional nonvolatile memory device
US8879321B2 (en) 2009-07-06 2014-11-04 Samsung Electronics Co., Ltd. Vertical non-volatile memory device and electric-electronic system having the same device
US8923057B2 (en) 2010-02-18 2014-12-30 Samsung Electronics Co., Ltd. Three-dimensional semiconductor memory device with active patterns and electrodes arranged above a substrate
KR101482639B1 (ko) 2009-03-06 2015-01-22 삼성전자주식회사 비휘발성 메모리 소자
US9070434B2 (en) 2012-03-29 2015-06-30 Kabushiki Kaisha Toshiba Semiconductor device
US9111799B2 (en) 2010-05-25 2015-08-18 Samsung Electronics Co., Ltd. Semiconductor device with a pick-up region
CN104952873A (zh) * 2014-03-27 2015-09-30 爱思开海力士有限公司 半导体器件及其制造方法
CN105609406A (zh) * 2014-11-19 2016-05-25 株式会社日立国际电气 半导体器件的制造方法、衬底处理装置、气体供给系统、立体闪存、动态随机存储器及半导体器件
JP2016105457A (ja) * 2014-11-19 2016-06-09 株式会社日立国際電気 三次元フラッシュメモリ、ダイナミックランダムアクセスメモリ、半導体装置、半導体装置の製造方法、基板処理装置、ガス供給システムおよびプログラム
US9406688B2 (en) 2010-08-03 2016-08-02 Samsung Electronics Co., Ltd. Vertical structure non-volatile memory device having insulating regions that are formed as air gaps
US9793292B2 (en) 2010-09-16 2017-10-17 Samsung Electronics Co., Ltd. Three-dimensional semiconductor memory devices
US9991275B2 (en) 2010-07-01 2018-06-05 Samsung Electronics Co., Ltd. Semiconductor memory device
WO2018179352A1 (ja) * 2017-03-31 2018-10-04 株式会社Kokusai Electric 半導体装置の製造方法および記録媒体
WO2019003042A1 (ja) * 2017-06-27 2019-01-03 株式会社半導体エネルギー研究所 半導体装置、および半導体装置の作製方法
US10593693B2 (en) 2017-06-16 2020-03-17 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method for manufacturing semiconductor device
US20220149054A1 (en) * 2014-09-11 2022-05-12 Kioxia Corporation Semiconductor memory device and method for manufacturing the same
US11682667B2 (en) 2017-06-27 2023-06-20 Semiconductor Energy Laboratory Co., Ltd. Memory cell including cell transistor including control gate and charge accumulation layer

Cited By (212)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11574926B2 (en) 2007-12-11 2023-02-07 Kioxia Corporation Non-volatile semiconductor storage device and method of manufacturing the same
CN102037557A (zh) * 2007-12-11 2011-04-27 株式会社东芝 非易失性半导体存储器件及其制造方法
KR101239559B1 (ko) 2007-12-11 2013-03-06 가부시끼가이샤 도시바 불휘발성 반도체 기억 장치 및 이를 제조하는 방법
US11844218B2 (en) 2007-12-11 2023-12-12 Kioxia Corporation Non-volatile semiconductor storage device and method of manufacturing the same
US9741738B2 (en) 2007-12-11 2017-08-22 Kabushiki Kaisha Toshiba Non-volatile semiconductor storage device and method of manufacturing the same
US9985050B2 (en) 2007-12-11 2018-05-29 Toshiba Memory Corporation Non-volatile semiconductor storage device and method of manufacturing the same
US10163931B2 (en) 2007-12-11 2018-12-25 Toshiba Memory Corporation Non-volatile semiconductor storage device and method of manufacturing the same
US11393840B2 (en) 2007-12-11 2022-07-19 Kioxia Corporation Non-volatile semiconductor storage device and method of manufacturing the same
US9035374B2 (en) 2007-12-11 2015-05-19 Kabushiki Kaisha Toshiba Non-volatile semiconductor storage device and method of manufacturing the same
US9356042B2 (en) 2007-12-11 2016-05-31 Kabushiki Kaisha Toshiba Non-volatile semiconductor storage device and method of manufacturing the same
US8729624B2 (en) 2007-12-11 2014-05-20 Kabushiki Kaisha Toshiba Non-volatile semiconductor storage device and method of manufacturing the same
WO2009075370A1 (en) 2007-12-11 2009-06-18 Kabushiki Kaisha Toshiba Non-volatile semiconductor storage device and method of manufacturing the same
US8372720B2 (en) 2007-12-11 2013-02-12 Kabushiki Kaisha Toshiba Non-volatile semiconductor storage device and method of manufacturing the same
JP2009146954A (ja) * 2007-12-11 2009-07-02 Toshiba Corp 不揮発性半導体記憶装置
US8198667B2 (en) 2007-12-27 2012-06-12 Kabushiki Kaisha Toshiba Semiconductor memory device and method for manufacturing same
JP2009158775A (ja) * 2007-12-27 2009-07-16 Toshiba Corp 不揮発性半導体記憶装置及びその製造方法
KR101091454B1 (ko) 2007-12-27 2011-12-07 가부시끼가이샤 도시바 반도체 기억 장치 및 그 제조 방법
WO2009084206A1 (en) * 2007-12-27 2009-07-09 Kabushiki Kaisha Toshiba Semiconductor memory device and method for manufacturing same
US9230978B2 (en) 2008-01-15 2016-01-05 Micron Technology, Inc. Semiconductor constructions and NAND unit cells
US11205657B2 (en) 2008-01-15 2021-12-21 Micron Technology, Inc. Semiconductor constructions
US10079244B2 (en) 2008-01-15 2018-09-18 Micron Technology, Inc. Semiconductor constructions and NAND unit cells
US8610193B2 (en) 2008-01-15 2013-12-17 Micron Technology Inc. Semiconductor constructions, NAND unit cells, methods of forming semiconductor constructions, and methods of forming NAND unit cells
US8394683B2 (en) * 2008-01-15 2013-03-12 Micron Technology, Inc. Methods of forming semiconductor constructions, and methods of forming NAND unit cells
US11094706B2 (en) 2008-01-15 2021-08-17 Micron Technology, Inc. NAND unit cells
US9431422B2 (en) 2008-01-15 2016-08-30 Micron Technology, Inc. Semiconductor constructions and NAND unit cells
US11094707B2 (en) 2008-01-15 2021-08-17 Micron Technology, Inc. NAND unit cells
JP2011514013A (ja) * 2008-03-13 2011-04-28 マイクロン テクノロジー, インク. 単一の導電柱への一対のメモリセルストリングを備えたメモリアレイ
WO2009114675A3 (en) * 2008-03-13 2009-11-19 Micron Technology, Inc. Memory array with a pair of memory-cell strings to a single conductive pillar
JP4660566B2 (ja) * 2008-03-17 2011-03-30 株式会社東芝 不揮発性半導体記憶装置
US7977733B2 (en) 2008-03-17 2011-07-12 Kabushiki Kaisha Toshiba Non-volatile semiconductor storage device
US8314455B2 (en) 2008-03-17 2012-11-20 Kabushiki Kaisha Toshiba Non-volatile semiconductor storage device
US7910973B2 (en) 2008-03-17 2011-03-22 Kabushiki Kaisha Toshiba Semiconductor storage device
JP2009224565A (ja) * 2008-03-17 2009-10-01 Toshiba Corp 不揮発性半導体記憶装置
JP4660567B2 (ja) * 2008-03-18 2011-03-30 株式会社東芝 半導体記憶装置
JP2009224633A (ja) * 2008-03-18 2009-10-01 Toshiba Corp 半導体記憶装置
JP2009272513A (ja) * 2008-05-09 2009-11-19 Toshiba Corp 不揮発性半導体記憶装置
US8653577B2 (en) 2008-07-11 2014-02-18 Kabushiki Kaisha Toshiba Nonvolatile semiconductor memory device
WO2010004706A1 (en) 2008-07-11 2010-01-14 Kabushiki Kaisha Toshiba Nonvolatile semiconductor memory device
JP2010021390A (ja) * 2008-07-11 2010-01-28 Toshiba Corp 不揮発性半導体記憶装置
CN102089878B (zh) * 2008-07-11 2013-10-30 株式会社东芝 非易失性半导体存储器器件
CN102089878A (zh) * 2008-07-11 2011-06-08 株式会社东芝 非易失性半导体存储器器件
US8036043B2 (en) 2008-07-24 2011-10-11 Samsung Electronics Co., Ltd. Nonvolatile semiconductor device and memory system including the same
JP2010034109A (ja) * 2008-07-25 2010-02-12 Toshiba Corp 不揮発性半導体記憶装置
JP2010034112A (ja) * 2008-07-25 2010-02-12 Toshiba Corp 半導体記憶装置
JP2010045149A (ja) * 2008-08-12 2010-02-25 Toshiba Corp 不揮発性半導体記憶装置
KR101031699B1 (ko) 2008-08-12 2011-04-29 가부시끼가이샤 도시바 비휘발성 반도체 저장 장치
US8008710B2 (en) 2008-08-12 2011-08-30 Kabushiki Kaisha Toshiba Non-volatile semiconductor storage device
US8569133B2 (en) 2008-09-25 2013-10-29 Kabushiki Kaisha Toshiba Nonvolatile semiconductor memory device and method of manufacturing the same
US20120135593A1 (en) * 2008-09-25 2012-05-31 Kabushiki Kaisha Toshiba Nonvolatile semiconductor memory device and method of manufacturing the same
US8148769B2 (en) 2008-09-25 2012-04-03 Kabushiki Kaisha Toshiba Nonvolatile semiconductor memory device and method of manufacturing the same
JP2010080561A (ja) * 2008-09-25 2010-04-08 Toshiba Corp 不揮発性半導体記憶装置
US8178861B2 (en) 2008-10-15 2012-05-15 Kabushiki Kaisha Toshiba Semiconductor device
KR101050571B1 (ko) 2008-11-05 2011-07-19 가부시끼가이샤 도시바 불휘발성 반도체 기억 장치 및 그 제조 방법
US7982261B2 (en) * 2008-11-05 2011-07-19 Kabushiki Kaisha Toshiba Nonvolatile semiconductor memory device and method for manufacturing same
US8013389B2 (en) 2008-11-06 2011-09-06 Samsung Electronics Co., Ltd. Three-dimensional nonvolatile memory devices having sub-divided active bars and methods of manufacturing such devices
US8426301B2 (en) 2008-11-06 2013-04-23 Samsung Electronics Co., Ltd. Three-dimensional nonvolatile memory devices having sub-divided active bars and methods of manufacturing such devices
JP2010114360A (ja) * 2008-11-10 2010-05-20 Toshiba Corp 半導体装置及びその製造方法
KR101068360B1 (ko) 2008-11-10 2011-09-28 가부시끼가이샤 도시바 비휘발성 반도체 메모리 장치
KR101068343B1 (ko) * 2008-11-10 2011-09-28 가부시끼가이샤 도시바 비휘발성 반도체 메모리 장치 및 그 제조 방법
US8217446B2 (en) 2008-11-10 2012-07-10 Kabushiki Kaisha Toshiba Nonvolatile semiconductor memory device and method of manufacturing the same
JP2010114370A (ja) * 2008-11-10 2010-05-20 Toshiba Corp 不揮発性半導体記憶装置、及びその製造方法
US8513731B2 (en) 2008-11-11 2013-08-20 Samsung Electronics Co., Ltd. Vertical type semiconductor device
US7994011B2 (en) 2008-11-12 2011-08-09 Samsung Electronics Co., Ltd. Method of manufacturing nonvolatile memory device and nonvolatile memory device manufactured by the method
US8404548B2 (en) 2008-11-12 2013-03-26 Samsung Electronics Co., Ltd. Method of manufacturing nonvolatile memory device and nonvolatile memory device manufactured by the method
KR101076125B1 (ko) * 2008-11-13 2011-10-21 가부시끼가이샤 도시바 불휘발성 반도체 기억 장치
US8120961B2 (en) 2008-11-13 2012-02-21 Kabushiki Kaisha Toshiba Nonvolatile semiconductor memory device
JP2010118530A (ja) * 2008-11-13 2010-05-27 Toshiba Corp 不揮発性半導体記憶装置
JP2010118580A (ja) * 2008-11-14 2010-05-27 Toshiba Corp 不揮発性半導体記憶装置
US10546872B2 (en) 2008-12-03 2020-01-28 Samsung Electronics Co., Ltd. Nonvolatile memory device and method for fabricating the same
US11387249B2 (en) 2008-12-03 2022-07-12 Samsung Electronics Co., Ltd. Nonvolatile memory device and method for fabricating the same
US9171860B2 (en) 2008-12-03 2015-10-27 Samsung Electronics Co., Ltd. Three-dimensional nonvolatile memory device
US11871571B2 (en) 2008-12-03 2024-01-09 Samsung Electronics Co., Ltd. Nonvolatile memory device and method for fabricating the same
US8786007B2 (en) 2008-12-03 2014-07-22 Samsung Electronics Co., Ltd. Three-dimensional nonvolatile memory device
US9735170B2 (en) 2008-12-03 2017-08-15 Samsung Electronics Co., Ltd. Nonvolatile memory device and method for fabricating the same
US8541831B2 (en) 2008-12-03 2013-09-24 Samsung Electronics Co., Ltd. Nonvolatile memory device and method for fabricating the same
US9245839B2 (en) 2008-12-03 2016-01-26 Samsung Electronics Co., Ltd. Nonvolatile memory device and method for fabricating the same
KR101117378B1 (ko) 2008-12-10 2012-03-08 가부시끼가이샤 도시바 비휘발성 반도체 메모리 장치 및 그 제조 방법
JP2010140997A (ja) * 2008-12-10 2010-06-24 Toshiba Corp 不揮発性半導体記憶装置及びその製造方法
US8173533B2 (en) 2008-12-31 2012-05-08 Samsung Electronics Co., Ltd. Semiconductor memory device and method of forming the same
KR101551901B1 (ko) * 2008-12-31 2015-09-09 삼성전자주식회사 반도체 기억 소자 및 그 형성 방법
JP2010157734A (ja) * 2008-12-31 2010-07-15 Samsung Electronics Co Ltd 半導体記憶素子及びその形成方法
CN101847602A (zh) * 2008-12-31 2010-09-29 三星电子株式会社 半导体存储器件以及形成半导体存储器件的方法
US8084819B2 (en) 2008-12-31 2011-12-27 Samsung Electronics Co., Ltd. Semiconductor memory device having insulation patterns and cell gate patterns
US8415742B2 (en) 2008-12-31 2013-04-09 Samsung Electronics Co., Ltd. Semiconductor memory device and method of forming the same
US8110834B2 (en) 2009-01-05 2012-02-07 Samsung Electronics Co., Ltd. Three-dimensional semiconductor devices including select gate patterns having different work function from cell gate patterns
JP2010161132A (ja) * 2009-01-07 2010-07-22 Toshiba Corp 不揮発性半導体記憶装置、及びその製造方法
JP2010161199A (ja) * 2009-01-08 2010-07-22 Toshiba Corp 不揮発性半導体記憶装置
US8460998B2 (en) 2009-01-09 2013-06-11 Samsung Electronics Co., Ltd. Method of fabricating semiconductor device
US9716102B2 (en) 2009-01-09 2017-07-25 Samsung Electronics Co., Ltd. Semiconductor device
US9293335B2 (en) 2009-01-09 2016-03-22 Samsung Electronics Co., Ltd. Method of fabricating semiconductor device
US8488381B2 (en) 2009-02-02 2013-07-16 Samsung Electronics Co., Ltd. Non-volatile memory device having vertical structure and method of operating the same
US9564221B2 (en) 2009-02-02 2017-02-07 Samsung Electronics Co., Ltd. Non-volatile memory device having vertical structure and method of operating the same
US8295089B2 (en) 2009-02-02 2012-10-23 Samsung Electronics Co., Ltd. Non-volatile memory device having vertical structure and method of operating the same
US8824209B2 (en) 2009-02-02 2014-09-02 Samsung Electronics Co., Ltd. Non-volatile memory device having vertical structure and method of operating the same
US9336884B2 (en) 2009-02-02 2016-05-10 Samsung Electronics Co., Ltd. Non-volatile memory device having vertical structure and method of operating the same
US8115259B2 (en) 2009-02-02 2012-02-14 Samsung Electronics Co., Ltd. Three-dimensional memory device
US9478291B2 (en) 2009-02-02 2016-10-25 Samsung Electronics Co., Ltd. Non-volatile memory device having vertical structure and method of operating the same
JP2010187001A (ja) * 2009-02-11 2010-08-26 Samsung Electronics Co Ltd 不揮発性メモリ素子及びその製造方法
US9721967B2 (en) 2009-02-16 2017-08-01 Samsung Electronics Co., Ltd. Nonvolatile memory device and method of manufacturing the same
US8324677B2 (en) 2009-02-16 2012-12-04 Samsung Electronic Co., Ltd. Nonvolatile memory device and method of manufacturing the same
KR101495799B1 (ko) * 2009-02-16 2015-03-03 삼성전자주식회사 비휘발성 메모리 장치 및 그 제조 방법
US8865579B2 (en) 2009-02-16 2014-10-21 Samsung Electronics Co., Ltd. Nonvolatile memory device and method of manufacturing the same
US9343478B2 (en) 2009-02-16 2016-05-17 Samsung Electronics Co., Ltd. Nonvolatile memory device and method of manufacturing the same
KR101117398B1 (ko) * 2009-02-17 2012-02-29 가부시끼가이샤 도시바 불휘발성 반도체 기억 장치 및 그 제조 방법
JP2010192569A (ja) * 2009-02-17 2010-09-02 Toshiba Corp 不揮発性半導体記憶装置及びその製造方法
US8748971B2 (en) 2009-02-17 2014-06-10 Kabushiki Kaisha Toshiba Three dimensional nonvolatile semiconductor memory having pillars provided inside an oblate through hole
US8436414B2 (en) 2009-02-17 2013-05-07 Kabushiki Kaisha Toshiba Non-volatile semiconductor stacked memory device having two semiconductor pillars in a through hole and method for manufacturing same
KR101110512B1 (ko) * 2009-02-25 2012-01-31 가부시끼가이샤 도시바 반도체 기억 장치 및 그 제조 방법
JP2010199314A (ja) * 2009-02-25 2010-09-09 Toshiba Corp 不揮発性半導体記憶装置、及びその製造方法
JP2010199312A (ja) * 2009-02-25 2010-09-09 Toshiba Corp 不揮発性半導体記憶装置、及びその製造方法
US8247863B2 (en) 2009-02-25 2012-08-21 Kabushiki Kaisha Toshiba Nonvolatile semiconductor memory device and method of manufacturing the same
KR101482639B1 (ko) 2009-03-06 2015-01-22 삼성전자주식회사 비휘발성 메모리 소자
JP2010212518A (ja) * 2009-03-11 2010-09-24 Toshiba Corp 不揮発性半導体記憶装置
JP2010219409A (ja) * 2009-03-18 2010-09-30 Toshiba Corp 不揮発性半導体記憶装置
US9601503B2 (en) 2009-03-24 2017-03-21 Kabushiki Kaisha Toshiba Nonvolatile semiconductor memory device and method for manufacturing same
US11792992B2 (en) 2009-03-24 2023-10-17 Kioxia Corporation Nonvolatile semiconductor memory device and method for manufacturing same
US10418378B2 (en) 2009-03-24 2019-09-17 Toshiba Memory Corporation Nonvolatile semiconductor memory device and method for manufacturing same
JP2010225946A (ja) * 2009-03-24 2010-10-07 Toshiba Corp 不揮発性半導体記憶装置及びその製造方法
US9941296B2 (en) 2009-03-24 2018-04-10 Toshiba Memory Corporation Nonvolatile semiconductor memory device and method for manufacturing same
US11257842B2 (en) 2009-03-24 2022-02-22 Kioxia Corporation Nonvolatile semiconductor memory device and method for manufacturing same
US8653582B2 (en) 2009-03-24 2014-02-18 Kabushiki Kaisha Toshiba Nonvolatile semiconductor memory device and method for manufacturing same
US9312134B2 (en) 2009-03-24 2016-04-12 Kabushiki Kaisha Toshiba Nonvolatile semiconductor memory device and method for manufacturing same
US9318503B2 (en) 2009-03-24 2016-04-19 Kabushiki Kaisha Toshiba Nonvolatile semiconductor memory device and method for manufacturing same
US10658383B2 (en) 2009-03-24 2020-05-19 Toshiba Memory Corporation Nonvolatile semiconductor memory device and method for manufacturing same
US9219072B2 (en) 2009-04-10 2015-12-22 Samsung Electronics Co., Ltd. Nonvolatile memory devices having a three dimensional structure utilizing strapping of a common source region and/or a well region
US8203211B2 (en) 2009-04-10 2012-06-19 Samsung Electronics Co., Ltd. Nonvolatile memory devices having a three dimensional structure
CN101859778A (zh) * 2009-04-10 2010-10-13 三星电子株式会社 非易失性存储器件
US8896123B2 (en) 2009-04-10 2014-11-25 Samsung Electronics Co., Ltd. Nonvolatile memory devices having a three dimensional structure
KR101073640B1 (ko) * 2009-05-01 2011-10-17 서울대학교산학협력단 고집적 수직형 반도체 메모리 셀 스트링, 셀 스트링 어레이, 및 그 제조 방법
US8581326B2 (en) 2009-05-28 2013-11-12 Kabushiki Kaisha Toshiba Nonvolatile semiconductor memory device and manufacturing method thereof
JP2010278233A (ja) * 2009-05-28 2010-12-09 Toshiba Corp 不揮発性半導体記憶装置及びその製造方法
US8507970B2 (en) 2009-06-22 2013-08-13 Samsung Electronics Co., Ltd. Three-dimensional semiconductor memory device
JP2011009328A (ja) * 2009-06-24 2011-01-13 Toshiba Corp 半導体記憶装置及びその製造方法
JP2011009409A (ja) * 2009-06-25 2011-01-13 Toshiba Corp 不揮発性半導体記憶装置
US8879321B2 (en) 2009-07-06 2014-11-04 Samsung Electronics Co., Ltd. Vertical non-volatile memory device and electric-electronic system having the same device
US11177274B2 (en) 2009-07-06 2021-11-16 Samsung Electronics Co., Ltd. Vertical non-volatile memory device, method of fabricating the same device, and electric-electronic system having the same device
US9881934B2 (en) 2009-07-06 2018-01-30 Samsung Electronics Co., Ltd. Vertical non-volatile memory device, method of fabricating the same device, and electric-electronic system having the same device
US8791464B2 (en) * 2009-07-21 2014-07-29 Kabushiki Kaisha Toshiba Nonvolatile semiconductor memory device and method for manufacturing same
JP2011023688A (ja) * 2009-07-21 2011-02-03 Toshiba Corp 不揮発性半導体記憶装置及びその製造方法
US20110018052A1 (en) * 2009-07-21 2011-01-27 Kabushiki Kaisha Toshiba Nonvolatile semiconductor memory device and method for manufacturing same
US8541832B2 (en) 2009-07-23 2013-09-24 Samsung Electronics Co., Ltd. Integrated circuit memory devices having vertical transistor arrays therein and methods of forming same
US9048329B2 (en) 2009-07-23 2015-06-02 Samsung Electronics Co., Ltd. Integrated circuit memory devices having vertical transistor arrays therein and methods of forming same
US8329537B2 (en) 2009-08-24 2012-12-11 Samsung Electronics Co., Ltd. Method for fabricating rewritable three-dimensional memory device
US9029256B2 (en) 2009-08-26 2015-05-12 Micron Technology, Inc. Charge-trap based memory
TWI508064B (zh) * 2009-08-26 2015-11-11 Micron Technology Inc 以電荷阱為基礎之記憶體
CN102576710A (zh) * 2009-08-26 2012-07-11 美光科技公司 基于电荷陷阱的存储器
JP2011054802A (ja) * 2009-09-02 2011-03-17 Toshiba Corp 不揮発性半導体記憶装置、及びその製造方法
US8735961B2 (en) 2009-09-14 2014-05-27 SK Hynix Inc. 3D non-volatile memory device and method for fabricating the same
KR101164954B1 (ko) * 2009-09-14 2012-07-12 에스케이하이닉스 주식회사 3차원 구조를 갖는 비휘발성 메모리 소자 및 그 제조 방법
CN102034829A (zh) * 2009-09-29 2011-04-27 三星电子株式会社 垂直型非易失性存储器件及其制造方法
US8344385B2 (en) 2009-09-29 2013-01-01 Samsung Electronics Co., Ltd. Vertical-type semiconductor device
US8383482B2 (en) 2009-09-29 2013-02-26 Samsung Electronics Co., Ltd. Three-dimensional semiconductor memory device and method of fabricating the same
US20110073866A1 (en) * 2009-09-29 2011-03-31 Samsung Electronics Co., Ltd. Vertical-type semiconductor device
US8728893B2 (en) 2009-09-29 2014-05-20 Samsung Electronics Co., Ltd. Method of fabricating a three-dimentional semiconductor memory device
CN102097387A (zh) * 2009-12-15 2011-06-15 三星电子株式会社 制造非易失性存储器的方法
US8569829B2 (en) 2009-12-28 2013-10-29 Kabushiki Kaisha Toshiba Nonvolatile semiconductor memory device
JP2011165972A (ja) * 2010-02-10 2011-08-25 Toshiba Corp 不揮発性半導体記憶装置
US8923057B2 (en) 2010-02-18 2014-12-30 Samsung Electronics Co., Ltd. Three-dimensional semiconductor memory device with active patterns and electrodes arranged above a substrate
US9356033B2 (en) 2010-03-03 2016-05-31 Samsung Electronics Co., Ltd. Three-dimensional semiconductor memory devices and methods of forming the same
KR20110099882A (ko) * 2010-03-03 2011-09-09 삼성전자주식회사 3차원 반도체 기억 소자 및 그 형성 방법
KR101663566B1 (ko) * 2010-03-03 2016-10-07 삼성전자주식회사 3차원 반도체 기억 소자 및 그 형성 방법
US20120068253A1 (en) * 2010-03-23 2012-03-22 Kabushiki Kaisha Toshiba Nonvolatile semiconductor memory device and method for manufacturing the same
US8415242B2 (en) 2010-03-23 2013-04-09 Kabushiki Kaisha Toshiba Nonvolatile semiconductor memory device and method of manufacturing the same
US8530957B2 (en) 2010-03-23 2013-09-10 Kabushiki Kaisha Toshiba Nonvolatile semiconductor memory device and method of manufacturing the same
US8445881B2 (en) 2010-04-23 2013-05-21 Sharp Kabushiki Kaisha Nonvolatile variable resistive element and nonvolatile semiconductor memory device
JP2011233551A (ja) * 2010-04-23 2011-11-17 Sharp Corp 不揮発性可変抵抗素子、及び、不揮発性半導体記憶装置
KR101160185B1 (ko) * 2010-04-26 2012-06-26 서울대학교산학협력단 차폐전극을 갖는 3차원 수직형 메모리 셀 스트링, 이를 이용한 메모리 어레이 및 그 제조 방법
US9111799B2 (en) 2010-05-25 2015-08-18 Samsung Electronics Co., Ltd. Semiconductor device with a pick-up region
US8975683B2 (en) 2010-05-31 2015-03-10 SK Hynix Inc. Nonvolatile pipe gate memory device
KR101083637B1 (ko) 2010-05-31 2011-11-16 주식회사 하이닉스반도체 비휘발성 메모리 장치 및 그 제조 방법
KR101055587B1 (ko) 2010-06-09 2011-08-08 한양대학교 산학협력단 3차원 구조를 가지는 메모리의 제조방법
JP2012004470A (ja) * 2010-06-21 2012-01-05 Toshiba Corp 不揮発性半導体記憶装置及びその製造方法
US8890235B2 (en) 2010-06-21 2014-11-18 Kabushiki Kaisha Toshiba Nonvolatile semiconductor memory device and method for manufacturing same
US9991275B2 (en) 2010-07-01 2018-06-05 Samsung Electronics Co., Ltd. Semiconductor memory device
JP2013531390A (ja) * 2010-07-15 2013-08-01 マイクロン テクノロジー, インク. 実質的に垂直な隣接半導体構造を有するメモリアレイ、およびそれらの形成
JP2014140054A (ja) * 2010-07-15 2014-07-31 Micron Technology Inc 互いに隣接する実質的に垂直な半導体構造を有するメモリアレイ、およびそれらの形成
WO2012009076A2 (en) 2010-07-15 2012-01-19 Micron Technology, Inc. Memory arrays having substantially vertical, adjacent semiconductor structures and their formation
EP2593966A2 (en) * 2010-07-15 2013-05-22 Micron Technology, Inc. Memory arrays having substantially vertical, adjacent semiconductor structures and their formation
EP2593966A4 (en) * 2010-07-15 2014-05-07 Micron Technology Inc MEMORY MATRICES HAVING SUBSTANTIALLY VERTICAL ADJACENT SEMICONDUCTOR STRUCTURES AND THEIR FORMATION
US9147681B2 (en) 2010-07-15 2015-09-29 Micron Technology, Inc. Electronic systems having substantially vertical semiconductor structures
US9406688B2 (en) 2010-08-03 2016-08-02 Samsung Electronics Co., Ltd. Vertical structure non-volatile memory device having insulating regions that are formed as air gaps
KR101090979B1 (ko) * 2010-08-30 2011-12-08 서울대학교산학협력단 차폐전극을 갖는 3차원 수직형 메모리 셀 스트링
US9793292B2 (en) 2010-09-16 2017-10-17 Samsung Electronics Co., Ltd. Three-dimensional semiconductor memory devices
CN102569203A (zh) * 2010-12-20 2012-07-11 中国科学院微电子研究所 一种三维多值非挥发存储器的制备方法
JP2012142558A (ja) * 2010-12-30 2012-07-26 Sk Hynix Inc 不揮発性メモリ装置及びその製造方法
JP2013021322A (ja) * 2011-07-06 2013-01-31 Sk Hynix Inc 不揮発性メモリ素子及びその製造方法
US9070434B2 (en) 2012-03-29 2015-06-30 Kabushiki Kaisha Toshiba Semiconductor device
US9698155B2 (en) 2012-07-11 2017-07-04 Samsung Electronics Co., Ltd. Semiconductor devices and methods of fabricating the same
CN103545276A (zh) * 2012-07-11 2014-01-29 三星电子株式会社 半导体装置及其制造方法
US10903227B2 (en) 2012-07-11 2021-01-26 Samsung Electronics Co., Ltd. Semiconductor devices and methods of fabricating the same
CN103545276B (zh) * 2012-07-11 2017-04-12 三星电子株式会社 半导体装置及其制造方法
JP2013042179A (ja) * 2012-11-15 2013-02-28 Toshiba Corp 不揮発性半導体記憶装置
CN104952873A (zh) * 2014-03-27 2015-09-30 爱思开海力士有限公司 半导体器件及其制造方法
US20220149054A1 (en) * 2014-09-11 2022-05-12 Kioxia Corporation Semiconductor memory device and method for manufacturing the same
US11917827B2 (en) * 2014-09-11 2024-02-27 Kioxia Corporation Semiconductor memory device and method for manufacturing the same
CN105609406A (zh) * 2014-11-19 2016-05-25 株式会社日立国际电气 半导体器件的制造方法、衬底处理装置、气体供给系统、立体闪存、动态随机存储器及半导体器件
US9691609B2 (en) 2014-11-19 2017-06-27 Hitachi Kokusai Electric Inc. Method of manufacturing semiconductor device, substrate processing apparatus, and recording medium
US9941119B2 (en) 2014-11-19 2018-04-10 Hitachi Kokusai Electric Inc. Method of forming silicon layer in manufacturing semiconductor device and recording medium
US9540728B2 (en) 2014-11-19 2017-01-10 Hitachi Kokusai Electric Inc. Substrate processing apparatus, apparatus for manufacturing semiconductor device, and gas supply system
JP2016105457A (ja) * 2014-11-19 2016-06-09 株式会社日立国際電気 三次元フラッシュメモリ、ダイナミックランダムアクセスメモリ、半導体装置、半導体装置の製造方法、基板処理装置、ガス供給システムおよびプログラム
WO2018179352A1 (ja) * 2017-03-31 2018-10-04 株式会社Kokusai Electric 半導体装置の製造方法および記録媒体
US11189483B2 (en) 2017-03-31 2021-11-30 Kokusai Electric Corporation Method of manufacturing semiconductor device and non-transitory computer-readable recording medium
JPWO2018179352A1 (ja) * 2017-03-31 2019-11-07 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
US10593693B2 (en) 2017-06-16 2020-03-17 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method for manufacturing semiconductor device
US11626422B2 (en) 2017-06-16 2023-04-11 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method for manufacturing semiconductor device
US11011542B2 (en) 2017-06-16 2021-05-18 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method for manufacturing semiconductor device
US11943929B2 (en) 2017-06-16 2024-03-26 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method for manufacturing semiconductor device
JP7265475B2 (ja) 2017-06-27 2023-04-26 株式会社半導体エネルギー研究所 半導体装置
US11682667B2 (en) 2017-06-27 2023-06-20 Semiconductor Energy Laboratory Co., Ltd. Memory cell including cell transistor including control gate and charge accumulation layer
WO2019003042A1 (ja) * 2017-06-27 2019-01-03 株式会社半導体エネルギー研究所 半導体装置、および半導体装置の作製方法
US11133336B2 (en) 2017-06-27 2021-09-28 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and manufacturing method of semiconductor device
JPWO2019003042A1 (ja) * 2017-06-27 2020-06-25 株式会社半導体エネルギー研究所 半導体装置、および半導体装置の作製方法

Similar Documents

Publication Publication Date Title
JP2007317874A (ja) 不揮発性半導体記憶装置
JP4445514B2 (ja) 半導体記憶装置
KR101267130B1 (ko) 반도체 기억 장치의 동작 방법
US7696559B2 (en) Semiconductor memory device including pillar-shaped semiconductor layers and a method of fabricating the same
JP4649487B2 (ja) 不揮発性半導体記憶装置及びその製造方法
JP5259552B2 (ja) 不揮発性半導体記憶装置及びその駆動方法
US7646041B2 (en) Non-volatile memory devices including vertical channels, methods of operating, and methods of fabricating the same
US6531732B2 (en) Nonvolatile semiconductor memory device, process of manufacturing the same and method of operating the same
US20080173933A1 (en) Semiconductor memory device
JP2009164485A (ja) 不揮発性半導体記憶装置
JP2007184323A (ja) 半導体装置および半導体装置の製造方法
JP2010118530A (ja) 不揮発性半導体記憶装置
JP2008171839A (ja) メモリシステム、半導体記憶装置及びその駆動方法
KR20080093872A (ko) 불휘발성 반도체 기억 장치
JP2009206451A (ja) 不揮発性半導体記憶装置、及びその製造方法
JP2012164776A (ja) 不揮発性半導体記憶装置
JP2002368141A (ja) 不揮発性半導体メモリ装置
US20070187746A1 (en) Nonvolatile semiconductor memory device with trench structure
US7842993B2 (en) Nonvolatile semiconductor memory device suppressing fluctuation in threshold voltage
US8581326B2 (en) Nonvolatile semiconductor memory device and manufacturing method thereof
US20130228842A1 (en) Semiconductor storage device and manufacturing method thereof
JP2004327937A (ja) 不揮発性半導体記憶装置
JP4810330B2 (ja) 半導体記憶装置
US20100327341A1 (en) Nonvolatile semiconductor memory device having charge storage layers and manufacturing method thereof
JP2005116582A (ja) 半導体装置およびその製造方法

Legal Events

Date Code Title Description
A300 Application deemed to be withdrawn because no request for examination was validly filed

Free format text: JAPANESE INTERMEDIATE CODE: A300

Effective date: 20090804