KR20100087743A - 불휘발성 반도체 기억 장치 및 이를 제조하는 방법 - Google Patents

불휘발성 반도체 기억 장치 및 이를 제조하는 방법 Download PDF

Info

Publication number
KR20100087743A
KR20100087743A KR1020107013010A KR20107013010A KR20100087743A KR 20100087743 A KR20100087743 A KR 20100087743A KR 1020107013010 A KR1020107013010 A KR 1020107013010A KR 20107013010 A KR20107013010 A KR 20107013010A KR 20100087743 A KR20100087743 A KR 20100087743A
Authority
KR
South Korea
Prior art keywords
layer
conductive layer
memory
hole
conductive
Prior art date
Application number
KR1020107013010A
Other languages
English (en)
Other versions
KR101239559B1 (ko
Inventor
요시아끼 후꾸즈미
료따 가쯔마따
마사루 기도
마사루 기또
히로야스 다나까
요스께 고모리
메구미 이시두끼
히데아끼 아오찌
Original Assignee
가부시끼가이샤 도시바
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 가부시끼가이샤 도시바 filed Critical 가부시끼가이샤 도시바
Publication of KR20100087743A publication Critical patent/KR20100087743A/ko
Application granted granted Critical
Publication of KR101239559B1 publication Critical patent/KR101239559B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/40EEPROM devices comprising charge-trapping gate insulators characterised by the peripheral circuit region
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C16/00Erasable programmable read-only memories
    • G11C16/02Erasable programmable read-only memories electrically programmable
    • G11C16/04Erasable programmable read-only memories electrically programmable using variable threshold transistors, e.g. FAMOS
    • G11C16/0483Erasable programmable read-only memories electrically programmable using variable threshold transistors, e.g. FAMOS comprising cells having several storage transistors connected in series
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/06Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration
    • H01L27/0688Integrated circuits having a three-dimensional layout
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/511Insulating materials associated therewith with a compositional variation, e.g. multilayer structures
    • H01L29/513Insulating materials associated therewith with a compositional variation, e.g. multilayer structures the variation being perpendicular to the channel plane
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/20Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/20Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B41/23Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B41/27Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/20EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/20EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B43/23EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B43/27EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/50EEPROM devices comprising charge-trapping gate insulators characterised by the boundary region between the core and peripheral circuit regions
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B99/00Subject matter not provided for in other groups of this subclass

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Semiconductor Memories (AREA)
  • Non-Volatile Memory (AREA)
  • Read Only Memory (AREA)

Abstract

불휘발성 반도체 기억 장치는 전기적으로 재기입 가능한 복수의 메모리 셀이 직렬로 접속된 복수의 메모리 스트링을 갖는다. 각각의 메모리 스트링은, 기판에 대하여 수직 방향으로 연장되는 한 쌍의 기둥 형상부 및 상기 한 쌍의 기둥 형상부의 하단부를 연결시키도록 형성된 연결부를 갖는 제1 반도체층과, 상기 기둥 형상부의 측면을 둘러싸도록 형성된 전하 축적층과, 상기 기둥 형상부의 측면 및 상기 전하 축적층을 둘러싸도록 형성된 제1 도전층을 포함한다. 제1 도전층은 상기 메모리 셀의 제어 전극으로 기능한다.

Description

불휘발성 반도체 기억 장치 및 이를 제조하는 방법{NON-VOLATILE SEMICONDUCTOR STORAGE DEVICE AND METHOD OF MANUFACTURING THE SAME}
본 발명은 전기적으로 데이터의 재기입이 가능한 불휘발성 반도체 기억 장치 및 이를 제조하는 방법에 관한 것이다.
종래, 실리콘 기판상의 2차원 평면 내에 소자들을 집적하여 LSI가 형성되어 왔다. 메모리의 기억 용량을 증가시키기 위해서 일반적으로는 1개 소자의 치수를 작게(미세화) 하지만, 이는 비용 및 기술의 관점에서 최근 어렵게 되고 있다. 미세화를 위해서는 포토리소그래피 기술 향상이 필요하지만, 리소그래피 공정에 필요한 비용은 점점 증가되고 있다. 또한, 미세화가 달성되어도, 구동 전압 등이 스케일링되지 않으면, 소자 간의 내압(withstanding voltage)등이 물리적인 한계점에 도달할 것으로 예상된다. 즉, 디바이스로서의 동작이 곤란해지는 가능성이 높다.
최근, 이러한 문제점을 해결하기 위해, 메모리 셀들을 3차원적으로 배치하여 메모리의 집적도를 증가시키는 다수의 반도체 기억 장치가 제안되어 있다(일본 특허 출원 공개 제2007-266143호 및 미국 특허 제5599724호, 제5707885호 참조).
메모리 셀들을 3차원적으로 배치한 종래의 반도체 기억 장치의 하나로서, 기둥형 구조의 트랜지스터를 사용한 반도체 기억 장치가 있다(일본 특허 출원 공개 제2007-266143호 및 미국 특허 제5599724호, 제5707885호 참조). 기둥형 구조의 트랜지스터를 사용한 반도체 기억 장치는 게이트 전극으로 기능하는 다층의 도전층 및 필러 형상의 기둥 형상 반도체를 구비한다. 기둥 형상 반도체는 트랜지스터의 채널(바디)부로서 기능한다. 기둥 형상 반도체의 주변에는 메모리 게이트 절연층이 배치된다. 도전층, 기둥 형상 반도체 및 메모리 게이트 절연층을 포함하는 구성은 메모리 스트링이라고 불린다.
상기 종래 기술에서는 적층된 도전층에 동시에 구멍을 형성한다. 계속해서, 이와 같이 형성된 구멍의 측벽에 메모리 게이트 절연층을 형성하고, 희석된 불산 처리를 행한다. 그리고, 구멍을 충전하여 기둥 형상 반도체를 형성한다. 상기 공정을 복수회에 걸쳐서 반복하여 메모리 셀들을 3차원적으로 형성한다. 그러나, 희석된 불산 처리에 의해 메모리 게이트 절연층이 에칭 제거되는 문제가 발생한다.
본 발명의 제1 형태에 관한 불휘발성 반도체 기억 장치는, 전기적으로 재기입 가능한 복수의 메모리 셀이 직렬로 접속된 복수의 메모리 스트링을 갖고, 각각의 상기 메모리 스트링은, 기판에 대하여 수직 방향으로 연장되는 한 쌍의 기둥 형상부 및 상기 한 쌍의 기둥 형상부의 하단부를 연결시키도록 형성된 연결부를 갖는 제1 반도체층과, 상기 기둥 형상부의 측면을 둘러싸도록 형성된 전하 축적층과, 상기 기둥 형상부의 측면 및 상기 전하 축적층을 둘러싸도록 형성된 제1 도전층을 포함하고, 상기 제1 도전층은 상기 메모리 셀의 게이트 전극으로 기능한다.
본 발명의 일 태양에 따르면, 전기적으로 재기입 가능한 복수의 메모리 셀이 직렬로 접속된 복수의 메모리 스트링을 갖는 불휘발성 반도체 기억 장치를 제조하는 방법이 제공되고, 상기 불휘발성 반도체 기억 장치를 제조하는 방법은, 기판 상에 제1 절연층을 개재하여 제1 도전층을 형성하는 단계와, 상기 제1 도전층을 파내기 위해 상기 기판과 평행한 제1 방향으로 연장되는 홈을 형성하는 단계와, 상기 제1 도전층의 상층 위에 제2 절연층을 개재하여 복수의 제2 도전층을 형성하는 단계와, 제1 관통 홀이 상기 제2 도전층과 상기 제2 절연층을 관통하고 또한 상기 홈의 제1 방향으로의 양 단부 근처에서 정합되도록 제1 관통 홀을 형성하는 단계와, 상기 제1 관통 홀과 대면하는 측면 및 상기 홈에 전하 축적층을 형성하는 단계와, 상기 전하 축적층의 측면에 제1 반도체층을 형성하는 단계를 포함한다.
본 발명은 높은 신뢰성을 갖고 또한 저렴한 불휘발성 반도체 기억 장치를 제공하는 것이 가능해진다.
도 1은 본 발명의 제1 실시 형태에 관한 불휘발성 반도체 기억 장치(100)의 구성 개략도.
도 2는 본 발명의 제1 실시 형태에 관한 메모리 트랜지스터 영역(12)의 일부 개략 사시도.
도 3은 본 발명의 제1 실시 형태에 관한 하나의 메모리 스트링(MS)의 확대도.
도 4는 본 발명의 제1 실시 형태에 관한 하나의 메모리 스트링(MS)의 회로도.
도 5는 제1 실시 형태에 관한 메모리 트랜지스터 영역(12)의 단면도.
도 6은 제1 실시 형태에 관한 메모리 트랜지스터 영역(12)의 종단부로부터 주변 영역(Ph)까지의 로우 방향 단면도.
도 7은 제1 실시 형태에 관한 제조 공정을 나타내는 메모리 트랜지스터 영역(12)의 단면도.
도 8은 제1 실시 형태에 관한 제조 공정을 나타내는 메모리 트랜지스터 영역(12)의 종단부로부터 주변 영역(Ph)까지의 로우 방향 단면도.
도 9는 제1 실시 형태에 관한 제조 공정을 나타내는 메모리 트랜지스터 영역(12)의 단면도.
도 10은 제1 실시 형태에 관한 제조 공정을 나타내는 메모리 트랜지스터 영역(12)의 종단부로부터 주변 영역(Ph)까지의 로우 방향 단면도.
도 11은 제1 실시 형태에 관한 제조 공정을 나타내는 메모리 트랜지스터 영역(12)의 단면도.
도 12는 제1 실시 형태에 관한 제조 공정을 나타내는 메모리 트랜지스터 영역(12)의 종단부로부터 주변 영역(Ph)까지의 로우 방향 단면도.
도 13은 제1 실시 형태에 관한 제조 공정을 나타내는 메모리 트랜지스터 영역(12)의 단면도.
도 14는 제1 실시 형태에 관한 제조 공정을 나타내는 메모리 트랜지스터 영역(12)의 종단부로부터 주변 영역(Ph)까지의 로우 방향 단면도.
도 15는 제1 실시 형태에 관한 제조 공정을 나타내는 메모리 트랜지스터 영역(12)의 단면도.
도 16은 제1 실시 형태에 관한 제조 공정을 나타내는 메모리 트랜지스터 영역(12)의 종단부로부터 주변 영역(Ph)까지의 로우 방향 단면도.
도 17은 제1 실시 형태에 관한 제조 공정을 나타내는 메모리 트랜지스터 영역(12)의 단면도.
도 18은 제1 실시 형태에 관한 제조 공정을 나타내는 메모리 트랜지스터 영역(12)의 종단부로부터 주변 영역(Ph)까지의 로우 방향 단면도.
도 19는 제1 실시 형태에 관한 제조 공정을 나타내는 메모리 트랜지스터 영역(12)의 단면도.
도 20은 제1 실시 형태에 관한 제조 공정을 나타내는 메모리 트랜지스터 영역(12)의 종단부로부터 주변 영역(Ph)까지의 로우 방향 단면도.
도 21은 제1 실시 형태에 관한 제조 공정을 나타내는 메모리 트랜지스터 영역(12)의 단면도.
도 22는 제1 실시 형태에 관한 제조 공정을 나타내는 메모리 트랜지스터 영역(12)의 종단부로부터 주변 영역(Ph)까지의 로우 방향 단면도.
도 23은 제1 실시 형태에 관한 제조 공정을 나타내는 메모리 트랜지스터 영역(12)의 단면도.
도 24는 제1 실시 형태에 관한 제조 공정을 나타내는 메모리 트랜지스터 영역(12)의 종단부로부터 주변 영역(Ph)까지의 로우 방향 단면도.
도 25는 제1 실시 형태에 관한 제조 공정을 나타내는 메모리 트랜지스터 영역(12)의 단면도.
도 26은 제1 실시 형태에 관한 제조 공정을 나타내는 메모리 트랜지스터 영역(12)의 종단부로부터 주변 영역(Ph)까지의 로우 방향 단면도.
도 27은 제1 실시 형태에 관한 제조 공정을 나타내는 메모리 트랜지스터 영역(12)의 단면도.
도 28은 제1 실시 형태에 관한 제조 공정을 나타내는 메모리 트랜지스터 영역(12)의 종단부로부터 주변 영역(Ph)까지의 로우 방향 단면도.
도 29는 제1 실시 형태에 관한 제조 공정을 나타내는 메모리 트랜지스터 영역(12)의 단면도.
도 30은 제1 실시 형태에 관한 제조 공정을 나타내는 메모리 트랜지스터 영역(12)의 종단부로부터 주변 영역(Ph)까지의 로우 방향 단면도.
도 31은 제1 실시 형태에 관한 제조 공정을 나타내는 메모리 트랜지스터 영역(12)의 단면도.
도 32는 제1 실시 형태에 관한 제조 공정을 나타내는 메모리 트랜지스터 영역(12)의 종단부로부터 주변 영역(Ph)까지의 로우 방향 단면도.
도 33은 제1 실시 형태에 관한 제조 공정을 나타내는 메모리 트랜지스터 영역(12)의 단면도.
도 34는 제1 실시 형태에 관한 제조 공정을 나타내는 메모리 트랜지스터 영역(12)의 종단부로부터 주변 영역(Ph)까지의 로우 방향 단면도.
도 35는 제1 실시 형태에 관한 제조 공정을 나타내는 메모리 트랜지스터 영역(12)의 단면도.
도 36은 제1 실시 형태에 관한 제조 공정을 나타내는 메모리 트랜지스터 영역(12)의 종단부로부터 주변 영역(Ph)까지의 로우 방향 단면도.
도 37은 제1 실시 형태에 관한 제조 공정을 나타내는 메모리 트랜지스터 영역(12)의 단면도.
도 38은 제1 실시 형태에 관한 제조 공정을 나타내는 메모리 트랜지스터 영역(12)의 종단부로부터 주변 영역(Ph)까지의 로우 방향 단면도.
도 39는 제1 실시 형태에 관한 제조 공정을 나타내는 메모리 트랜지스터 영역(12)의 단면도.
도 40은 제1 실시 형태에 관한 제조 공정을 나타내는 메모리 트랜지스터 영역(12)의 종단부로부터 주변 영역(Ph)까지의 로우 방향 단면도.
도 41은 제1 실시 형태에 관한 제조 공정을 나타내는 메모리 트랜지스터 영역(12)의 단면도.
도 42는 제1 실시 형태에 관한 제조 공정을 나타내는 메모리 트랜지스터 영역(12)의 종단부로부터 주변 영역(Ph)까지의 로우 방향 단면도.
도 43은 제1 실시 형태에 관한 제조 공정을 나타내는 메모리 트랜지스터 영역(12)의 단면도.
도 44는 제1 실시 형태에 관한 제조 공정을 나타내는 메모리 트랜지스터 영역(12)의 종단부로부터 주변 영역(Ph)까지의 로우 방향 단면도.
도 45는 제1 실시 형태에 관한 제조 공정을 나타내는 메모리 트랜지스터 영역(12)의 단면도.
도 46은 제1 실시 형태에 관한 제조 공정을 나타내는 메모리 트랜지스터 영역(12)의 종단부로부터 주변 영역(Ph)까지의 로우 방향 단면도.
도 47은 본 발명의 제2 실시 형태에 관한 불휘발성 반도체 기억 장치의 메모리 트랜지스터 영역의 일부 개략 사시도.
도 48은 제2 실시 형태에 관한 메모리 트랜지스터 영역의 단면도.
도 49는 본 발명의 제3 실시 형태에 관한 불휘발성 반도체 기억 장치의 메모리 트랜지스터 영역의 일부 개략 사시도.
도 50은 제3 실시 형태에 관한 메모리 트랜지스터 영역의 단면도.
도 51은 본 발명의 제4 실시 형태에 관한 불휘발성 반도체 기억 장치의 메모리 트랜지스터 영역의 일부 개략 상면도.
이하, 도면을 참조하여, 본 발명에 관한 불휘발성 반도체 기억 장치의 실시 형태 및 이를 제조하는 방법에 대해서 설명한다.
[제1 실시 형태]
(제1 실시 형태에 관한 불휘발성 반도체 기억 장치(100)의 구성)
도 1은 본 발명의 제1 실시 형태에 관한 불휘발성 반도체 기억 장치(100)의 개략도를 나타낸다. 도 1에 도시한 바와 같이, 제1 실시 형태에 관한 불휘발성 반도체 기억 장치(100)는 주로 메모리 트랜지스터 영역(12), 워드선 구동 회로(13), 소스측 선택 게이트선(SGSm) 구동 회로(14), 드레인측 선택 게이트선(SGDm) 구동 회로(15), 감지 증폭기(16), 소스선 구동 회로(17) 및 백 게이트 트랜지스터 구동 회로(18)를 갖는다. 메모리 트랜지스터 영역(12)은 데이터를 기억하는 메모리 트랜지스터를 갖는다. 워드선 구동 회로(13)는 워드선 WLm에 인가되는 전압을 제어한다. 소스측 선택 게이트선(SGSm) 구동 회로(14)는 소스측 선택 게이트선(SGSm)에 인가되는 전압을 제어한다. 드레인측 선택 게이트선(SGDm) 구동 회로(15)는 드레인측 선택 게이트선(SGDm)에 인가되는 전압을 제어한다. 감지 증폭기(16)는 메모리 트랜지스터로부터 판독한 전위를 증폭한다. 소스선 구동 회로(17)는 소스선 SLn에 인가되는 전압을 제어한다. 백 게이트 트랜지스터 구동 회로(18)는 백 게이트 선(BG)에 인가되는 전압을 제어한다. 상기의 것들에 더하여, 제1 실시 형태에 관한 불휘발성 반도체 기억 장치(100)는 비트선 BLn에 인가되는 전압을 제어하는 비트선 구동 회로(도시 생략)를 갖는다.
도 2는 제1 실시 형태에 관한 불휘발성 반도체 기억 장치(100)의 메모리 트랜지스터 영역(12)의 일부의 개략 사시도이다. 제1 실시 형태에서, 메모리 트랜지스터 영역(12)은 메모리 트랜지스터(MTr1mn 내지 MTr8mn), 소스측 선택 게이트 트랜지스터(SSTrmn) 및 드레인측 선택 게이트 트랜지스터(SDTrmn)로 각각 이루어지는 메모리 스트링(MS)을 m×n개(m, n은 자연수) 구비한다. 도 2는 m=6, n=2의 일례를 나타낸다. 도 3은 도 2의 일부를 확대한 단면도이다.
제1 실시 형태에 관한 불휘발성 반도체 기억 장치(100)에서, 메모리 트랜지스터 영역(12)에는 복수의 메모리 스트링(MS)이 배치된다. 상세하게는 후술하겠지만, 메모리 스트링(MS) 각각은 전기적으로 재기입 가능한 복수의 메모리 트랜지스터 MTrmn이 직렬로 접속된 구성을 갖는다. 도 1 및 도 2에 도시한 바와 같이, 메모리 스트링(MS) 각각을 구성하는 메모리 트랜지스터 MTrmn은 반도체층을 복수 적층함으로써 형성된다.
각 메모리 스트링(MS)은 U자 형상 반도체 SCmn, 워드선 WLmn(WLm1 내지 WLm8), 소스측 선택 게이트선(SGSm), 드레인측 선택 게이트선(SGDm)을 갖는다. 또한, 메모리 스트링(MS)은 백 게이트 선(BG)을 갖는다.
U자 형상 반도체 SCmn은 로우 방향에서 볼 때 U자 형상으로 형성된다. U자 형상 반도체 SCmn은 반도체 기판(Ba)에 대하여 대략 수직 방향으로 연장되는 한 쌍의 기둥 형상부 CLmn 및 한 쌍의 기둥 형상부 CLmn의 하단부를 연결시키도록 형성된 연결부 JPmn을 갖는다. 또한, 도 3에 도시한 바와 같이, U자 형상 반도체 SCmn은 한쪽의 기둥 형상부 CLmn의 상단부로부터 연결부 JPmn을 통해서 다른 쪽의 기둥 형상부 CLmn의 상단부까지 연통하는 중공부 H1을 갖는다. 중공부 H1 내에는 절연부(I)가 형성된다. 기둥 형상부 CLmn은 원기둥 형상과 각 기둥 형상 중 어느 형상으로도 형성될 수 있다는 것에 주목하자. 또한, 기둥 형상부 CLmn은 단차를 갖는 기둥 형상으로 형성될 수 있다. 여기서, 로우 방향은 적층 방향에 직교하는 방향이며, 후술하는 칼럼 방향은 수직 방향 및 로우 방향에 직교하는 방향이다.
U자 형상 반도체 SCmn은 한 쌍의 기둥 형상부 CLmn의 중심축을 연결하는 직선이 칼럼 방향과 평행하도록 배치된다. 또한, U자 형상 반도체 SCmn은 로우 방향 및 칼럼 방향으로 구성되는 면내에 매트릭스 상태로 형성되도록 배치된다.
각 층의 워드선 WLmn은 로우 방향과 평행하게 연장되는 형상을 갖는다. 각 층의 워드선 WLmn은 칼럼 방향으로 제1 간격을 두어 서로 절연 분리됨으로써 라인 상태로 반복적으로 형성된다.
칼럼 방향으로 같은 위치에 배치되고 로우 방향으로 배열되는 메모리 트랜지스터(MTr1mn 내지 MTr8mn)의 게이트는 동일한 워드선 WLmn에 접속된다. 각 워드선 WLmn은 메모리 스트링(MS)에 대략 수직으로 배치된다. 로우 방향의 워드선 WLmn의 단부는 계단 형상으로 형성된다. 칼럼 방향의 워드선 WLmn의 단부는 계단 형상에 한정되지 않는다는 점에 주목하자. 예를 들어, 칼럼 방향의 워드선 WLmn의 단부는 칼럼 방향의 임의 위치에 정렬될 수 있다.
도 3에 도시한 바와 같이, 워드선 WLmn과 기둥 형상부 CLmn 사이에는 ONO(Oxide-Nitride-Oxide)층(NL)이 형성된다. ONO층(NL)은 기둥 형상부 CLmn에 접하는 터널 절연층(TI), 터널 절연층(TI)에 접하는 전하 축적층(EC) 및 전하 축적층(EC)에 접하는 블록 절연층(BI)을 갖는다. 전하 축적층(EC)은 전하를 축적하는 기능을 갖는다.
즉, 전하 축적층(EC)은 기둥 형상부 CLmn의 측면을 둘러싸도록 형성된다. 또한, 각 워드선 WLmn은 기둥 형상부 CLmn의 측면 및 전하 축적층(EC)을 둘러싸도록 형성된다. 또한, 각각의 워드선 WLmn은 칼럼 방향으로 서로 인접하는 각각의 기둥 형상부 CLmn마다 분할된다.
드레인측 선택 게이트선(SGDm)은 최상부의 워드선 WLmn의 상부에 배치된다. 드레인측 선택 게이트선(SGDm)은 로우 방향과 평행하게 연장되는 형상을 갖는다. 드레인측 선택 게이트선(SGDm)은 칼럼 방향으로 교대로 제1 간격(D1), 또는 제2 간격(D2)(D2>D1)을 두어 서로 절연 분리됨으로써 라인 상태로 반복적으로 형성된다. 드레인측 선택 게이트선(SGDm)은 후술하는 소스측 선택 게이트선(SGSm)을 개재하여 제2 간격(D2)을 두고 형성된다. 또한, 기둥 형상부 CLmn은 드레인측 선택 게이트선(SGDm)의 칼럼 방향의 중심을 관통해서 형성된다. 도 3에 도시한 바와 같이, 드레인측 선택 게이트선(SGDm)과 기둥 형상부 CLmn 사이에는 게이트 절연층 DGI가 형성된다.
소스측 선택 게이트선(SGSm)은 최상부의 워드선 WLmn의 상부에 배치된다. 소스측 선택 게이트선(SGSm)은 로우 방향과 평행하게 연장되는 형상을 갖는다. 소스측 선택 게이트선(SGSm)은 칼럼 방향으로 교대로 제1 간격(D1), 제2 간격(D2)을 두어, 서로 절연 분리됨으로써 라인 상태로 반복적으로 형성된다. 소스측 선택 게이트선(SGSm)은 드레인측 선택 게이트선(SGDm)을 개재하여 제2 간격(D2)을 두고 형성된다. 또한, 기둥 형상부 CLmn은 소스측 선택 게이트선(SGSm)의 칼럼 방향의 중심을 관통해서 형성된다. 도 3에 도시한 바와 같이, 소스측 선택 게이트선(SGSm)과 기둥 형상부 CLmn 사이에는 게이트 절연층 SGI가 형성된다.
환언하면, 칼럼 방향으로 제1 간격(D1)을 둠으로써 2개의 드레인측 선택 게이트선(SGDm)과 2개의 소스측 선택 게이트선(SGSm)이 교대로 형성된다. 또한, 각 드레인측 선택 게이트선(SGDm) 및 각 소스측 선택 게이트선(SGSm)은 기둥 형상부 CLmn 및 게이트 절연층 SGI, DGI를 둘러싸도록 형성된다. 또한, 각 드레인측 선택 게이트선(SGDm) 및 각 소스측 선택 게이트선(SGSm)은 칼럼 방향으로 서로 인접하는 각 기둥 형상부 CLmn마다 분할된다.
백 게이트 선(BG)은 복수의 연결부 JPmn의 하부를 커버하도록 로우 방향 및 칼럼 방향으로 2차원적으로 확장되도록 형성된다. 도 3에 도시한 바와 같이, 백 게이트 선(BG)과 연결부 JPmn 사이에는 상술한 ONO층(NL)이 형성된다.
또한, 칼럼 방향으로 인접하는 U자 형상 반도체 SCmn의 기둥 형상부 CLmn의 상단부에는 소스선 SLn이 형성된다.
또한, 플러그 선 PLmn을 통해서 드레인측 선택 게이트선(SGDm)의 상방으로 연장하는 기둥 형상부 CLmn의 상단부에는 비트선 BLn이 형성된다. 각 비트선 BLn은 소스선 SLn 위에 위치하도록 형성된다. 각 비트선 BLn은 로우 방향으로 소정 간격을 두고, 칼럼 방향으로 연장되는 라인 상태로 반복적으로 형성된다.
다음에, 도 2 내지 도 4를 참조하여, 제1 실시 형태의 메모리 스트링(MS)으로 구성되는 회로 구성을 설명한다. 도 4는 제1 실시 형태의 하나의 메모리 스트링(MS)의 회로도이다.
도 2 내지 도 4에 도시한 바와 같이, 제1 실시 형태에서, 각 메모리 스트링(MS)은 8개의 메모리 트랜지스터(MTr1mn 내지 MTr8mn), 소스측 선택 게이트 트랜지스터(SSTrmn), 드레인측 선택 게이트 트랜지스터(SDTrmn) 및 백 게이트 트랜지스터(BGTrmn)를 갖는다. 이들 8개의 메모리 트랜지스터(MTr1mn 내지 MTr8mn) 및 소스측 선택 게이트 트랜지스터(SSTrmn) 및 드레인측 선택 게이트 트랜지스터(SDTrmn)는 각각 직렬로 접속된다(도 4 참조). 또한, 소스선 SLn에는 제어 회로가 접속된다.
각 메모리 트랜지스터 MTrmn은 기둥 형상부 CLmn, ONO층(NL)(전하 축적층(EC)) 및 워드선 WLmn으로 구성된다. ONO층(NL)에 접하는 워드선 WLmn의 단부는 메모리 트랜지스터 MTrmn의 제어 게이트 전극으로 기능한다.
드레인측 선택 게이트 트랜지스터(SDTrmn)는 기둥 형상부 CLmn, 게이트 절연층 DGI 및 드레인측 선택 게이트선(SGDm)으로 구성된다. 게이트 절연층 DGI에 접하는 드레인측 선택 게이트선(SGDm)의 단부는 드레인측 선택 게이트 트랜지스터(SDTrmn)의 제어 게이트 전극으로 기능한다.
소스측 선택 게이트 트랜지스터(SSTrmn)는 기둥 형상부 CLmn, 게이트 절연층 SGI 및 소스측 선택 게이트선(SGSm)으로 구성된다. 게이트 절연층 SGI에 접하는 소스측 선택 게이트선(SGSm)의 단부는 소스측 선택 게이트 트랜지스터(SSTrmn)의 제어 게이트 전극으로 기능한다.
백 게이트 트랜지스터(BGTrmn)는 연결부 JPmn, ONO층(NL)(전하 축적층(EC)) 및 백 게이트 선(BG)으로 구성된다. ONO층(NL)에 접하는 백 게이트 선(BG)의 단부는 백 게이트 트랜지스터(BGTrmn)의 제어 게이트 전극으로 기능한다.
(제1 실시 형태에 관한 불휘발성 반도체 장치(100)의 구체적 구성)
다음에, 도 5 및 도 6을 참조하여, 제1 실시 형태에 관한 불휘발성 반도체 장치(100)의 구체적 구성에 대해서 설명한다. 도 5는 제1 실시 형태에 관한 불휘발성 반도체 장치(100)의 메모리 트랜지스터 영역(12)의 단면도이며, 도 6은 그 메모리 트랜지스터 영역(12)의 종단부 및 주변 영역(Ph)까지의 로우 방향 단면도이다. 또한, 도 5는 칼럼 방향의 단면 및 로우 방향의 단면을 나타낸다. 도 5 및 도 6은 도 1 내지 도 4에 도시된 메모리 스트링과 다른 16개의 메모리 트랜지스터가 직렬 접속된 메모리 스트링을 도시한다.
우선, 제1 실시 형태에 관한 불휘발성 반도체 기억 장치(100)의 메모리 트랜지스터 영역(12)의 구성에 대해서 설명한다. 도 5 및 도 6에 도시한 바와 같이, 메모리 트랜지스터 영역(12)(메모리 스트링(MS))은 반도체 기판(Ba)으로부터 적층 방향으로, 순차적으로 백 게이트 트랜지스터층(20), 메모리 트랜지스터층(30), 선택 게이트 트랜지스터층(40) 및 배선층(50)을 갖는다. 백 게이트 트랜지스터층(20)은 상술한 백 게이트 트랜지스터(BGTrmn)로 기능한다. 메모리 트랜지스터층(30)은 상술한 메모리 트랜지스터 MTrmn으로 기능한다. 선택 게이트 트랜지스터층(40)은 상술한 소스측 선택 게이트 트랜지스터층 SSTrmn 및 드레인측 선택 게이트 트랜지스터(SDTrmn)로 기능한다.
백 게이트 트랜지스터층(20)은 반도체 기판(Ba) 위에 순차 적층된 백 게이트 절연층(21) 및 백 게이트 도전층(22)을 갖는다. 이들 백 게이트 절연층(21) 및 백 게이트 도전층(22)은 로우 방향 및 칼럼 방향으로 메모리 트랜지스터 영역(12)의 단부까지 넓혀져서 형성된다. 또한, 백 게이트 트랜지스터층(20)은 백 게이트 절연층(21) 및 백 게이트 도전층(22)의 로우 방향 및 칼럼 방향의 단부 측벽을 커버하는 측벽 절연층(23)을 갖는다.
백 게이트 도전층(22)은 후술하는 U자 형상 반도체층(63)의 연결부(63a)의 하면 및 측면을 커버하도록 형성되고, 연결부(63a)의 상면과 동일한 높이로 형성된다.
백 게이트 절연층(21)은 산화 실리콘(SiO2)으로 구성된다. 백 게이트 도전층(22)은 폴리실리콘(p-Si)으로 구성된다. 측벽 절연층(23)은 산화 실리콘(SiO2)으로 구성된다.
또한, 백 게이트 트랜지스터층(20)은 백 게이트 도전층(22)을 파내어 형성된 백 게이트 홀(24)을 갖는다. 백 게이트 홀(24) 각각은 로우 방향으로 단변, 칼럼 방향으로 장변을 갖는 개구부를 갖는다. 백 게이트 홀(24)은 로우 방향 및 칼럼 방향으로 소정 간격을 두고 형성된다. 환언하면, 백 게이트 홀(24)은 로우 방향 및 칼럼 방향을 포함하는 면에 매트릭스 상태로 형성된다.
메모리 트랜지스터층(30)은 각각의 백 게이트 도전층(22) 상에 교대로 적층된 제1 내지 제4 워드선간 절연층(31a 내지 31d) 및 제1 내지 제4 워드선 도전층(32a 내지 32d)을 갖는다. 또한, 메모리 트랜지스터층(30)은 제4 워드선 도전층(32d) 위에 피착된 제1 분리/절연층(33a)을 갖는다. 또한, 메모리 트랜지스터층(30)은 제1 분리/절연층(33a) 상에 교대로 적층된 제5 내지 제8 워드선간 절연층(31e 내지 31h) 및 제5 내지 제8 워드선 도전층(32e 내지 32h)을 갖는다. 또한, 메모리 트랜지스터층(30)은 제8 워드선 도전층(32h) 위에 순차적으로 피착된 제2 분리/절연층(33b) 및 메모리 보호/절연층(34)을 갖는다.
제1 내지 제8 워드선간 절연층(31a 내지 31h), 제1 내지 제8 워드선 도전층(32a 내지 32h), 제1 분리/절연층(33a) 및 제2 분리/절연층(33b)은 칼럼 방향으로 소정 간격을 두고 로우 방향으로 연장하도록 라인 상태로 반복적으로 형성된다. 제1 내지 제8 워드선간 절연층(31a 내지 31h), 제1 내지 제8 워드선 도전층(32a 내지 32h), 제1 분리/절연층(33a) 및 제2 분리/절연층(33b)은 로우 방향의 단부에서 계단 형상으로 형성된다. 메모리 보호/절연층(34)은 제1 내지 제8 워드선간 절연층(31a 내지 31h), 제1 내지 제8 워드선 도전층(32a 내지 32h), 제1 분리/절연층(33a) 및 제2 분리/절연층(33b)의 로우 방향 및 칼럼 방향의 단부 및 제2 분리/절연층(33b)의 상면을 커버하도록 형성된다. 또한, 제1 내지 제8 워드선 도전층(32a 내지 32h)의 칼럼 방향의 단부 측면에는 실리사이드 막(36)이 형성된다.
제1 내지 제8 워드선간 절연층(31a 내지 31h)은 산화 실리콘(SiO2)으로 구성된다. 제1 내지 제8 워드선 도전층(32a 내지 32h)은 폴리실리콘(p-Si)으로 구성된다. 제1 분리/절연층(33a) 및 제2 분리/절연층(33b)은 산화 실리콘(SiO2)으로 구성된다. 메모리 보호/절연층(34)은 질화 실리콘(SiN)으로 구성된다. 실리사이드 막(36)은 규화 코발트(CoSi2)로 구성된다.
또한, 메모리 트랜지스터층(30)은 제1 분리/절연층(33a), 제1 내지 제4 워드선간 절연층(31a 내지 31d), 제1 내지 제4 워드선 도전층(32a 내지 32d)을 관통하도록 형성된 제1 메모리 홀(35a)을 갖는다. 제1 메모리 홀(35a)은 각 백 게이트 홀(24)의 칼럼 방향의 양단부 근방의 위치에서 정합하도록 형성된다. 또한, 메모리 트랜지스터층(30)은 제2 분리/절연층(33b), 제5 내지 제8 워드선간 절연층(31e 내지 31h), 제5 내지 제8 워드선 도전층(32e 내지 32h), 및 제1 분리/절연층(33a)을 관통하고, 제4 워드선 도전층(32d)을 파내어 형성된 제2 메모리 홀(35b)을 갖는다. 즉, 제1 메모리 홀(35a)과 제2 메모리 홀(35b)은 적층 방향으로 소정 길이만큼 중첩되도록 형성된다. 이 중첩된 길이는 예상되는 위치 맞춤 어긋남량의 최대치, 예를 들어, 최소 가공 치수의 약 1/3로 설정된다. 도 5에서, 제1 메모리 홀(35a)의 중심축은 제2 메모리 홀(35b)의 중심축과 어긋나 있지만, 이들 홀(35a, 35b)은 중심축을 서로 정합시켜서 형성할 수 있다는 점에 주목하자.
선택 게이트 트랜지스터층(40)은 메모리 보호/절연층(34) 위에 피착된 드레인측 도전층(41), 소스측 도전층(42) 및 층간 절연층(43)을 갖는다. 드레인측 도전층(41), 소스측 도전층(42), 층간 절연층(43)은 칼럼 방향으로 소정 간격을 두고 로우 방향으로 연장되도록 라인 상태로 반복적으로 형성된다.
드레인측 도전층(41)은 칼럼 방향으로 제1 간격(D1) 또는 제2 간격(D2)을 교대로 둠으로써 형성된다. 마찬가지로, 소스측 도전층(42)은 칼럼 방향으로 제1 간격(D1) 또는 제2 간격(D2)을 교대로 둠으로써 형성된다. 칼럼 방향으로 제2 간격(D2)을 두고 형성된 드레인측 도전층(41) 사이에 제1 간격(D1)을 두고 형성된 2개의 소스측 도전층(41)이 형성된다. 또한, 칼럼 방향으로 제2 간격(D2)을 두고 형성된 소스측 도전층(42) 사이에 제1 간격(D1)을 두고 형성된 2개의 드레인측 도전층(42)이 형성된다. 층간 절연층(43)은 상기와 같이 형성된 드레인측 도전층(41)과 소스측 도전층(42) 사이에 형성된다.
또한, 선택 게이트 트랜지스터층(40)은 드레인측 도전층(41), 소스측 도전층(42) 및 층간 절연층(43) 위에 형성된 선택 게이트 트랜지스터 절연층(44)을 갖는다.
드레인측 도전층(41) 및 소스측 도전층(42)은 폴리실리콘(p-Si)으로 구성된다. 층간 절연층(43) 및 선택 게이트 트랜지스터 절연층(44)은 산화 실리콘(SiO2)으로 구성된다.
또한, 선택 게이트 트랜지스터층(40)은 선택 게이트 트랜지스터 절연층(44) 및 드레인측 도전층(41)을 관통하도록 형성된 드레인측 홀(45a)을 갖는다. 또한, 선택 게이트 트랜지스터층(40)은 선택 게이트 트랜지스터 절연층(44) 및 소스측 도전층(42)을 관통하도록 형성된 소스측 홀(45b)을 갖는다. 드레인측 홀(45a) 및 소스측 홀(45b)은 제2 메모리 홀(35b)과 정합하는 위치에 형성된다. 칼럼 방향으로 서로 인접하는 소스측 홀(45b) 위에는 선택 게이트 트랜지스터 절연층(44)을 파내어 소스선 배선 홈(45c)이 형성된다. 소스선 배선 홈(45c)은 칼럼 방향으로 서로 인접하는 소스측 홀(45b)의 상부를 연결하고 로우 방향으로 연장되도록 형성된다.
상기 구성에서, 드레인측 홀(45a)에 대면하는 측벽에는 드레인측 게이트 절연층(61a)이 형성된다. 또한, 소스측 홀(45b)에 대면하는 측벽 상에 소스측 게이트 절연층(6lb)이 형성된다. 또한, 제2 메모리 홀(35b), 제1 메모리 홀(35a) 및 백 게이트 홀(24)에 대면하는 측벽에는 메모리 게이트 절연층(62)이 형성된다. 또한, U자 형상 반도체층(63)은 드레인측 게이트 절연층(61a), 소스측 게이트 절연층(6lb) 및 메모리 게이트 절연층(62)에 접촉하도록 드레인측 홀(45a), 소스측 홀(45b)의 높이까지 형성된다. U자 형상 반도체층(63)은 중공부를 갖는다. U자 형상 반도체층(63)의 중공부 내에는 내부 절연층(64)이 형성된다.
드레인측 게이트 절연층(61a) 및 소스측 게이트 절연층(6lb)은 통 형상을 갖는다. 메모리 게이트 절연층(62)은 로우 방향에서 볼 때 U자 형상을 갖는다. 메모리 게이트 절연층(62)은 한쪽의 상단부로부터 다른 쪽의 상단부까지 연속된 중공부를 갖는다. U자 형상 반도체층(63)은 로우 방향에서 볼 때 U자 형상을 갖는다. U자 형상 반도체층(63)은 로우 방향에서 볼 때 반도체 기판(Ba)에 대하여 수직 방향으로 연장되는 한 쌍의 기둥 형상부(63a) 및 한 쌍의 기둥 형상부(63a)의 하단부를 연결시키도록 형성된 연결부(63b)를 갖는다.
U자 형상 반도체층(63)은 상술한 U자 형상 반도체 SCmn으로 기능한다. 백 게이트 도전층(22)은 백 게이트 선(BG)으로 기능한다. 또한, 백 게이트 도전층(22)의 연결부(63a) 근방의 단부는 백 게이트 트랜지스터(BGTrmn)의 제어 게이트로서 기능한다. 제1 내지 제8 워드선 도전층(32a 내지 32h)은 워드선 WLm1 내지 WLm8로서 기능한다. 또한, 제1 내지 제8 워드선 도전층(32a 내지 32h)의 기둥 형상부(63b) 근방에 위치된 단부는 메모리 트랜지스터 MTrmn의 제어 게이트로서 기능한다. 드레인측 도전층(41)은 드레인측 선택 게이트선(SGDm)으로 기능한다. 또한, 드레인측 도전층(41)의 기둥 형상부(63b) 근방에 위치된 단부는 드레인측 선택 게이트 트랜지스터(SDTrmn)의 제어 게이트로서 기능한다. 소스측 도전층(42)은 소스측 선택 게이트선(SGSm)으로 기능한다. 또한, 소스측 도전층(42)의 기둥 형상부(63b) 근방에 위치된 단부는 소스측 선택 게이트 트랜지스터(SSTrmn)의 제어 게이트로서 기능한다. 또한, 내부 유전체층(64)은 절연부(I)에 대응한다.
또한, 상기 구성에서, 소스측 홀(45b)의 제1 높이로부터 소스선 배선 홈(45c)을 충전하도록 소스선 도전층(65)이 형성된다. 소스선 도전층(65)은 반도체 기판(Ba)과 평행한 판상으로 형성된다. 소스선 도전층(65)은 상술한 소스선 SLn에 대응한다.
드레인측 게이트 절연층(61a) 및 소스측 게이트 절연층(6lb)은 산화 실리콘(SiO2)으로 구성된다. 메모리 게이트 절연층(62) 각각은 블록 절연층(BI), 전하 축적층(EC), 및 터널 절연층(TI)으로 구성된다. 블록 절연층(BI)은 산화 실리콘(SiO2)으로 구성된다. 전하 축적층(EC)은 질화 실리콘(SiN)으로 구성된다. 터널 절연층(TI)은 산화 실리콘(SiO2)으로 구성된다. 즉, 메모리 게이트 절연층(62) 각각은 ONO층으로 구성된다. U자 형상 반도체층(63)은 폴리실리콘(p-Si)으로 구성된다. 각각의 내부 유전체층(64)은 산화 실리콘(SiO2)으로 구성된다. 소스선 도전층(65)은 티타늄(Ti), 질화 티타늄(TiN) 및 텅스텐(W)으로 구성된다.
배선층(50)은 각각의 선택 게이트 트랜지스터 절연층(44) 위에 순차 적층된 제1 배선 절연층(51), 제2 배선 절연층(52), 제3 배선 절연층(53) 및 제4 배선 절연층(54)을 갖는다.
제1 내지 제3 배선 절연층(51 내지 53)은 산화 실리콘(SiO2)으로 구성된다. 제4 배선 절연층(54)은 질화 실리콘(SiN)으로 구성된다.
또한, 배선층(50)은 제1 배선 절연층(51)을 파내어 형성된 비트선 배선 홈(56a) 및 비트선 배선 홈(56a)의 하방으로부터 제1 배선 절연층(51)을 관통하도록 형성된 비트선 플러그 홀(56)을 갖는다.
비트선 배선 홈(56a)은 비트선 플러그 홀(56)과 정합하는 위치에 형성된다. 비트선 배선 홈(56a)은 로우 방향으로 소정 간격을 두고, 칼럼 방향으로 연장하도록 라인 상태로 반복적으로 형성된다. 비트선 플러그 홀(56)은 드레인측 홀(45a)과 정합하는 위치에 형성된다.
비트선 배선 홈(56a)에는 비트선 도전층(55)이 형성된다. 비트선 도전층(55)은 상술한 비트선 BLn에 대응한다. 또한, 드레인측 홀(45a) 내의 U자 형상 반도체층(63)의 상면으로부터 비트선 플러그 홀(56)의 개구부까지 비트선 플러그층(57)이 형성된다. 비트선 도전층(55)은 로우 방향으로 소정 간격을 두고, 칼럼 방향으로 연장하도록 라인 상태로 반복적으로 형성된다. 또한, 비트선 플러그층(57)은 비트선 도전층(55)의 하면에 접촉하도록 기둥 형상으로 형성된다.
비트선 도전층(55)은 탄탈(Ta), 질화 탄탈(TaN) 및 구리(Cu)로 구성된다. 비트선 플러그층(57)은 티타늄(Ti), 질화 티타늄(TiN) 및 텅스텐(W)으로 구성된다.
다음에, 제1 실시 형태에 관한 불휘발성 반도체 기억 장치의 주변 영역(Ph)에 대해서 설명한다. 도 6에 도시한 바와 같이, 주변 영역(Ph)에서, 반도체 기판(Ba) 위에는 베이스 영역(71)이 형성된다.
반도체 기판(Ba)의 베이스 영역(71) 위에는 게이트 절연층(81), 게이트 도전층(82)이 배치된다. 또한, 게이트 절연층(81) 및 게이트 도전층(82)의 측벽에는 측벽 절연층(83)이 배치된다. 구체적으로는, 주변 영역(Ph)에서 베이스 영역(71), 게이트 절연층(81) 및 게이트 도전층(82)으로 트랜지스터들이 구성된다. 이들 트랜지스터는 주변 영역(Ph)에 형성되는 주변 회로용으로 사용된다.
또한, 게이트 절연층(81), 게이트 도전층(82) 및 측벽 절연층(83)을 충전하도록, 메모리 트랜지스터 영역(12)의 드레인측 도전층(41) 및 소스측 도전층(42)의 상면까지, 층간 절연층(43)이 형성된다. 또한, 층간 절연층(43) 위에는 선택 게이트 트랜지스터 절연층(44)이 형성된다.
또한, 주변 영역(Ph)에서, 각각의 선택 게이트 트랜지스터 절연층(44) 위에는 순차 적층된 제1 배선 절연층(51), 제2 배선 절연층(52), 제3 배선층(84), 제3 배선 절연층(53) 및 제4 배선 절연층(54)이 형성된다.
주변 영역(Ph)에서, 선택 게이트 트랜지스터 절연층(44), 또는 선택 게이트 트랜지스터 절연층(44)과 층간 절연층(43)을 관통하도록 제1 플러그 홀(85a)이 형성된다. 제1 플러그 홀(85a)은 드레인측 도전층(41), 소스측 도전층(42), 제1 내지 제8 워드선 도전층(32a 내지 32h), 백 게이트 도전층(22), 게이트 도전층(82) 및 베이스 영역(71)에 도달하도록 형성된다.
제1 플러그 홀(85a)의 상부에는 선택 게이트 트랜지스터 절연층(44)을 파내어 칼럼 방향으로 연장되는 제1 배선 홈(85b)이 형성된다. 제1 배선 홈(85b)의 상부에는 제1 플러그 홀(85a)과 정합하는 위치에 제1 배선 절연층(51)을 관통하도록 제2 플러그 홀(85c)이 형성된다. 제2 플러그 홀(85c)의 상부에는 제1 배선 절연층(51)을 파내어 로우 방향 또는 칼럼 방향으로 연장되는 제2 배선 홈(85d)이 형성된다. 제2 배선 홈(85d)의 상부에는 제2 플러그 홀(85c)과 정합하는 위치에 제2 배선 절연층(52)을 관통하도록 제3 플러그 홀(85e)이 형성된다.
제1 플러그 홀(85a)에는 제1 플러그 도전층(86a)이 형성된다. 제1 배선 홈(85b)에는 제1 배선층(86b)이 형성된다. 제2 플러그 홀(85c)에는 제2 플러그 도전층(86c)이 형성된다. 제2 배선 홈(85d)에는 제2 배선층(86d)이 형성된다. 제3 플러그 홀(85e)에는 제2 배선층(86d)의 상면에 접촉하도록 제3 배선층(84)이 하방으로 돌출해서 형성된다.
제1 플러그 도전층(86a), 제1 배선층(86b) 및 제2 플러그 도전층(86c)은 티타늄(Ti), 질화 티타늄(TiN) 및 텅스텐(W)으로 구성된다. 제2 배선층(86d)은 탄탈(Ta), 질화 탄탈(TaN) 및 구리(Cu)로 구성된다. 제3 배선층(84)은 티타늄(Ti), 질화 티타늄(TiN) 및 알루미늄-구리(AlCu)로 구성된다.
(제1 실시 형태에 관한 불휘발성 반도체 기억 장치(100)의 동작)
다음에, 다시 도 1 내지 도 4를 참조하여, 제1 실시 형태에 관한 불휘발성 반도체 장치(100)의 동작을 설명한다. 메모리 트랜지스터(MTr1mn 내지 MTr8mn)에서의 "판독 동작", "기입 동작" 및 "소거 동작"에 대해서 설명한다. "판독 동작", "기입 동작"에 대해서는 데이터가 판독되고 기입되는 대상으로 메모리 트랜지스터 MTr4mn를 이용할 경우를 예로서 설명한다. 또한, 전하 축적층(EC)에 전하가 축적되어 있지 않은 상태의 메모리 트랜지스터 MTr의 임계치Vth(중성 임계치)를 약 0V인 것으로 하여 설명한다.
(판독 동작)
메모리 트랜지스터 MTr4mn으로부터의 데이터 판독 시, 비트선 구동 회로는 비트선 BLn에 비트선 전압 Vbl을 인가한다. 소스선 구동 회로(17)는 소스선 SLn을0V로 설정한다. 소스측 선택 게이트선 구동 회로(14)은 소스측 선택 게이트선(SGSm)에 구동 전압 Vdd를 인가한다. 드레인측 선택 게이트선 구동 회로(15)는 드레인측 선택 게이트선(SGDm)에 구동 전압 Vdd를 인가한다. 백 게이트 선 구동 회로(19)는 백 게이트 선(BG)에 도통 전압 Vj를 인가한다. 보다 구체적으로는, 소스측 선택 게이트 트랜지스터(SSTrmn), 드레인측 선택 게이트 트랜지스터(SSTrmn) 및 백 게이트 트랜지스터(BGTrmn)를 온(ON) 상태로 한다.
또한, 데이터 판독 시, 워드선 구동 회로(13)는 데이터를 판독하고 싶은 비트(MTr4mn)가 접속되어 있는 워드선 WLm4를 0V로 설정한다. 반대로, 워드선 구동 회로(13)는 그 밖의 비트가 접속되어 있는 워드선 WLmn을 판독 전압 Vread(예를 들어, 4.5V)로 설정한다. 이런 동작에 의해, 데이터를 판독하고 싶은 메모리 트랜지스터 MTr4mn의 임계치 전압 Vth가 0V와 동일하거나, 크거나 작게 설정되는데 따라, 비트선 BLn에 전류가 흐르는 지의 여부가 결정된다. 따라서, 감지 증폭기(16)에 의해 비트선 BLn의 전류를 감지함으로써, 메모리 트랜지스터 MTr4mn의 데이터 정보를 판독하는 것이 가능해진다.
(기입 동작)
메모리 트랜지스터 MTr4mn에 데이터 "0"을 기입할 경우, 즉, 메모리 트랜지스터 MTr4mn의 전하 축적층(EC)에 전자를 주입하고, 메모리 트랜지스터 MTr4mn의 임계치 전압 Vth를 올릴 경우, 비트선 구동 회로는 비트선 Blm을 0V로 설정한다. 소스선 구동 회로(17)는 소스선 SLn에 구동 전압 Vdd(예를 들어, 3V)를 인가한다. 소스측 선택 게이트선 구동 회로(14)은 소스측 선택 게이트선(SGSm)에 오프 전압 Voff(예를 들어, 0V)를 인가한다. 드레인측 선택 게이트선 구동 회로(15)는 드레인측 선택 게이트선(SGDm)에 구동 전압 Vdd를 인가한다. 백 게이트 선 구동 회로(19)는 백 게이트 선(BG)에 도통 전압 Vj를 인가한다.
또한, 데이터 "0"의 기입시, 워드선 구동 회로(13)는 데이터를 기입하고 싶은 비트(MTr4mn)의 워드선 WLm4에 프로그램 전압 Vprog(예를 들어, 18V)을 인가한다. 한편, 워드선 구동 회로(13)는 그 밖의 워드선 WLmn에 패스 전압 Vpass(예를 들어, 10V)를 인가한다. 이런 동작에 의해, 원하는 비트(MTr4mn)에서만 전하 축적층(EC)에 인가되는 전계 강도가 증가되어 전하 축적층(EC)에 전자가 주입되기 때문에, 메모리 트랜지스터 MTr4mn의 임계치 전압 Vth가 양의 방향으로 시프트한다.
메모리 트랜지스터 MTr4mn에 데이터 "1"을 기입할 경우, 즉, 메모리 트랜지스터 MTr4m의 임계치 전압 Vth를 소거 상태로부터 증가시키지 않는 경우(전하 축적층(EC)에 전자를 주입하지 않는 경우), 비트선 구동 회로는 비트선 BLn에 구동 전압 Vdd를 인가한다. 그 밖의 구동 회로는 데이터 "0"의 기입시와 같은 동작을 실행한다는 점에 주목하자. 이 비트선 BLn에 구동 전압 Vdd가 인가됨에 의해, 드레인측 선택 게이트 트랜지스터(SDTrmn)의 게이트 전위와 그 소스 전위가 동 전위가 된다. 이런 동작에 의해, 드레인측 선택 게이트 트랜지스터(SDTrmn)가 오프(OFF) 상태가 되고 메모리 트랜지스터 MTr4mn의 채널 형성 영역(바디부)과 워드선 WLm4 사이의 전위차가 감소되기 때문에, 메모리 트랜지스터 MTr4mn의 전하 축적층(EC)에 전자가 주입되지 않는다.
(소거 동작)
데이터의 소거 시에는 복수의 메모리 스트링(MS)으로 구성되는 블록 단위로 메모리 트랜지스터의 데이터의 소거를 행한다.
우선, 백 게이트 선 구동 회로(19)는 백 게이트 선(BG)에 도통 전압 Vj를 인가한다. 계속해서, 선택 블록(데이터를 소거하고 싶은 블록)에서, 소스선 SLn의 일단부에 소거 전압 Verase(예를 들어, 20V)을 인가하고, 또한, 소스선 SLn을 플로팅 상태로 한다. 그리고, 이 소스선 SLn을 플로팅 상태로 하는 시점과 약간 시차를 두고 소스측 선택 게이트선 구동 회로(14)은 소스측 선택 게이트 트랜지스터(SSTrmn)의 전위를 상승(예를 들어, 15V)시킨다. 마찬가지로, 드레인측 선택 게이트선 구동 회로(15)는 드레인측 선택 게이트 트랜지스터(SDTrmn)의 전위를 상승(예를 들어, 15V)시킨다. 이러한 동작에 의해, 소스측 선택 게이트 트랜지스터(SSTrmn)의 게이트 단부 부근에서 GIDL(Gate Induced Drain Leak) 전류가 발생하고, 생성된 정공들이 메모리 트랜지스터(MTr1mn 내지 MTr8mn)의 바디부로 기능하는 기둥 형상부 CLmn에 유입된다. 반대로, 전자들은 소스선 SLn의 방향으로 흐른다. 이러한 동작에 의해, 메모리 트랜지스터 MTr의 채널 형성 영역(바디부)에는 소거 전압 Verase에 가까운 전위가 전달되기 때문에, 워드 구동 회로(13)가 워드선 WLm1 내지 WLm8을 예를 들어, 0V로 설정하면, 메모리 트랜지스터(MTr1mn 내지 MTr8mn)의 전하 축적층(EC)의 전자가 추출된다. 즉, 메모리 트랜지스터(MTr1mn 내지 MTr8mn)의 데이터의 소거가 행하여진다.
반면, 선택 블록의 메모리 트랜지스터의 데이터 소거를 행할 때, 비선택 블록에서는 워드선 WLm1 내지 WLm8을 플로팅 상태로 한다. 이러한 동작에 의해, 메모리 트랜지스터(MTr1mn 내지 MTr8mn)의 채널 형성 영역(바디부)의 전위의 상승은 커플링에 의해 워드선 WLm1 내지 WLm8의 전위를 상승시킨다. 따라서, 워드선 WLm1 내지 WLm8과 메모리 트랜지스터 MTr1mn 내지 MTr8mn의 전하 축적층(EC) 사이에 전위차가 발생하지 않기 때문에, 전하 축적층(EC)으로부터 전자가 추출(소거)되지 않는다.
(제1 실시 형태에 관한 불휘발성 반도체 기억 장치(100)의 제조 방법)
다음에, 도 7 내지 도 46을 참조하여, 제1 실시 형태에 관한 불휘발성 반도체 기억 장치(100)의 제조 방법을 설명한다. 도 7 내지 도 45 중 홀수 번으로 나타낸 도면은 메모리 트랜지스터 영역(12)을 도시하는 단면도이다. 도 7 내지 도 45 중 홀수 번으로 나타낸 도면은 로우 방향의 단면도 및 칼럼 방향의 단면도이다. 도 8 내지 도 45 중 짝수 번으로 나타낸 도면은 메모리 트랜지스터 영역(12)의 종단부 및 주변 영역(Ph)을 나타내는 칼럼 방향의 단면도이다.
우선, 도 7 및 도 8에 도시한 바와 같이, 주변 영역(Ph)으로 기능하는 위치의 전방면에 베이스 영역(71)을 형성한 반도체 기판(Ba)을 준비한다. 다음에, 반도체 기판(Ba) 위에 산화 실리콘(SiO2) 및 폴리실리콘(p-Si)을 피착시킨 후, 리소그래피법, RIE(반응성 이온 에칭)법 및 이온 주입법을 사용하여, 메모리 트랜지스터 영역(12)에 백 게이트 절연층(21), 백 게이트 도전층(22) 및 측벽 절연층(23)을 형성한다. 또한, 주변 영역(Ph)에 게이트 절연층(81), 게이트 도전층(82) 및 측벽 절연층(83)을 형성한다.
다음에, 도 9 및 도 10에 도시한 바와 같이, 주변 영역(Ph)에, 반도체 기판(Ba)의 상면으로부터 게이트 도전층(82)(백 게이트 도전층(22))의 상면까지 산화 실리콘(SiO2)을 피착시켜, 층간 절연층(83a)을 형성한다. 계속해서, 백 게이트 도전층(22)을 파냄으로써, 메모리 트랜지스터 영역(12)에 백 게이트 홀(24)을 형성한다. 백 게이트 홀(24) 각각은 로우 방향으로 단변, 칼럼 방향으로 장변을 갖는 섬 형상의 개구부를 갖도록 형성된다. 백 게이트 홀(24)은 로우 방향 및 칼럼 방향으로 소정 간격으로 형성된다. 다음에, 백 게이트 홀(24) 내를 충전하도록 질화 실리콘(SiN)을 피착시킨다. 계속해서, 화학 기계 연마법(CMP:Chemical Mechanical Polishing), 또는 RIE법을 사용해서 백 게이트 도전층(22)의 상부의 질화 실리콘(SiN)을 제거하고, 백 게이트 홀(24) 내에 제1 희생층(91)을 형성한다. 도 9에 도시한 바와 같이, 백 게이트 홀(24)은 백 게이트 도전층(22)을 관통하지 않는 깊이까지 형성하고 있지만, 백 게이트 도전층(22)을 관통하도록 형성할 수 있다는 점에 주목하자.
다음에, 도 11 및 도 12에 도시한 바와 같이, 백 게이트 도전층(22), 희생층(91), 게이트 도전층(82) 및 층간 절연층(83a) 상에 교대로 산화 실리콘(SiO2) 및 폴리실리콘(p-Si)을 적층시켜, 제1 내지 제4 판상 워드선간 절연층(31a' 내지 31d'),제1 내지 제4 판상 폴리실리콘 도전층(32a' 내지 32d'),및 제1 판상 분리/절연층(33a')을 형성한다. 이들 제1 내지 제4 판상 워드선간 절연층(31a' 내지 31d'), 제1 내지 제4 판상 폴리실리콘 도전층(32a' 내지 32d'), 및 제1 판상 분리/절연층(33a')은 적층 방향에 직교하는 방향(로우 방향 및 칼럼 방향)으로 2차원적으로 넓혀지도록 형성된다.
계속해서, 제1 내지 제4 판상 워드선간 절연층(31a' 내지 31d'), 제1 내지 제4 판상 폴리실리콘층(32a' 내지 32d'),및 제1 판상 분리/절연층(33a')을 관통하도록 제1 메모리 홀(35a)을 형성한다. 또한, 제1 메모리 홀(35a)은 백 게이트 홀(24)의 칼럼 방향의 양단부 부근과 정합하는 위치에 형성된다. 제1 메모리 홀(35a) 내에는 질화 실리콘(SiN)을 피착시켜, 제2 희생층(92a)을 형성한다.
계속해서, 제1 판상 분리/절연층(33a') 상에 교대로 산화 실리콘(SiO2) 및 폴리실리콘(p-Si)을 적층시키고, 제5 내지 제8 판상 워드선간 절연층(31e' 내지 31h'),제5 내지 제8 판상 폴리실리콘층(32e' 내지 32h'), 및 제2 판상 분리/절연층(33b')을 형성한다. 이들 제5 내지 제8 판상 워드선간 절연층(31e' 내지 31h'), 제5 내지 제8 판상 폴리실리콘층(32e' 내지 32h'), 및 제2 판상 분리/절연층(33b')은 적층 방향에 직교하는 방향(로우 방향 및 칼럼 방향)으로 2차원적으로 넓혀지도록 형성된다.
계속해서, 제2 판상 분리/절연층(33b'), 제5 내지 제8 판상 워드선간 절연층(31e' 내지 31h'), 및 제5 내지 제8 판상 폴리실리콘층(32e' 내지 32h'), 제1 판상 분리/절연층(33a')을 관통하고, 제4 판상 워드선 폴리실리콘층(32d')을 파도록 제2 메모리 홀(35b)을 형성한다. 또한, 제2 메모리 홀(35b)은 제1 메모리 홀(35a)과 정합하는 위치에 형성된다. 제2 메모리 홀(35b)에는 질화 실리콘(SiN)을 피착시켜, 제3 희생층(92b)을 형성한다.
다음에, 도 13 및 도 14에 도시한 바와 같이, 제1 희생층(91), 제2 희생층(92a) 및 제3 희생층(92b)을 제거한다. 제1 희생층(91), 제2 희생층(92a) 및 제3 희생층(92b)은 예를 들어, 열인산 용액으로 제거된다. 이러한 도 13 및 도 14에 나타내는 공정을 거쳐, 다시, 제1 메모리 홀(35a), 제2 메모리 홀(35b) 및 백 게이트 홀(24)이 형성된다. 제1 메모리 홀(35a), 제2 메모리 홀(35b) 및 백 게이트 홀(24)은 서로 연통하여, 로우 방향에서 볼 때 U자 형상으로 형성된다. 계속해서, 희석된 불산 처리에 의해, 노출된 백 게이트 도전층(22)의 전방면 및 노출된 제1 내지 제8 판상 폴리실리콘층(32a' 내지 32h')의 전방면을 세정하여, 자연 산화막을 제거한다.
계속해서, 도 15 및 도 16에 도시한 바와 같이, 백 게이트 홀(24), 제1 메모리 홀(35a) 및 제2 메모리 홀(35b)에 대면하는 측벽 및 제2 판상 분리/절연층(33b')을 커버하도록, 메모리 게이트 절연층(62)을 형성한다. 구체적으로는 산화 실리콘(SiO2), 질화 실리콘(SiN), 산화 실리콘(SiO2)을 피착시켜, 메모리 게이트 절연층(62)을 형성한다.
다음에, 도 17 및 도 18에 도시한 바와 같이, 메모리 게이트 절연층(62) 상에 아몰퍼스 실리콘(a-Si)을 피착시켜, 아몰퍼스 실리콘층(93)을 형성한다. 아몰퍼스 실리콘층(93)은 중공부(93a)를 갖도록 형성된다. 환언하면, 아몰퍼스 실리콘층(93)은 백 게이트 홀(24), 제1 메모리 홀(35a) 및 제2 메모리 홀(35b)을 완전하게 채우지 않도록 형성된다.
계속해서, 도 19 및 도 20에 도시한 바와 같이, 중공부(93a)에 대면하는 아몰퍼스 실리콘층(93)의 측벽을 열산화시켜, 산화 실리콘(SiO2)을 형성한다. 또한, 잔존한 아몰퍼스 실리콘층(93)을 결정화시켜, 폴리실리콘(p-Si)을 형성하고, U자 형상 반도체층(63)을 형성한다. 또한, U자 형상 반도체층(63a)의 중공부(93a)에 형성된 산화 실리콘(SiO2) 상에 CVD(Chemical Vapor Deposition)법을 사용하여 산화 실리콘(SiO2)을 피착하고, 중공부(93a) 내를 충전하도록 내부 유전체층(64)을 형성한다. 또한, CMP 처리에 의해, 제2 판상 분리/절연층(33b') 위에 피착된 메모리 게이트 절연층(62), U자 형상 반도체층(63) 및 내부 유전체층(64)을 제거한다.
다음에, 도 21 및 도 22에 도시한 바와 같이, 주변 영역(Ph)측의 제1 내지 제8 판상 워드선간 절연층(31a' 내지 31h'), 제1 내지 제8 판상 폴리실리콘층(32a' 내지 32h'), 및 제1, 제2 판상 분리/절연층(32a',32b')의 단부를 계단 형상으로 가공한다. 이 공정은 예를 들어, 레지스트막의 슬리밍과 RIE(또는 리소그래피)를 반복하여 실행된다.
계속해서, 도 23 및 도 24에 도시한 바와 같이, 메모리 트랜지스터 영역(12)에서, 제2 판상 분리/절연층(33b'), 및 계단 형상으로 가공한 단부를 커버하도록 질화 실리콘(SiN)을 피착시켜, 메모리 보호/절연층(34)을 형성한다. 다음에, 메모리 트랜지스터 영역(12) 및 주변 영역(Ph)에서, 메모리 보호/절연층(34)의 최상면까지 산화 실리콘(SiO2)을 피착시켜, 층간 절연층(43)을 형성한다.
다음에, 도 25 및 도 26에 도시한 바와 같이, 메모리 트랜지스터 영역(12)에서, 칼럼 방향으로 소정 간격을 두고 로우 방향으로 연장하도록 메모리 분리 홈(94)을 라인 상태로 반복 형성한다. 메모리 분리 홈(94)은 칼럼 방향으로의 제1 메모리 홀(35a)과 제2 메모리 홀(35b) 사이에 위치하도록 형성된다. 메모리 분리 홈(94)은 메모리 보호/절연층(34'), 제1 내지 제8 판상 워드선간 절연층(31a' 내지 31h'), 제1 내지 제8 판상 폴리실리콘층(32a' 내지 32h'), 및 제1, 제2 분리/절연층(33a',33b')을 관통하도록 형성한다.
상기 도 25 및 도 26에 나타내는 메모리 분리 홈(94)의 형성 공정에 의해, 제1 내지 제8 판상 워드선간 절연층(31a' 내지 31h')은 로우 방향으로 서로 평행하게 연장되는 형상을 갖고 칼럼 방향으로 제1 간격을 두고 라인 상태로 반복적으로 형성된 제1 내지 제8 워드선간 절연층(31a 내지 31h)이 된다. 또한, 제1 내지 제8 판상 폴리실리콘층(32a' 내지 32h')은 로우 방향으로 서로 평행하게 연장되는 형상을 갖고 칼럼 방향으로 제1 간격을 두고 라인 상태로 반복적으로 형성된 제1 내지 제8 워드선 도전층(32a 내지 32h)이 된다. 또한, 제1 및 제2 판상 분리/절연층(33a', 33b')은 로우 방향으로 서로 평행하게 연장되는 형상을 갖고 칼럼 방향으로 제1 간격을 두고 라인 상태로 반복적으로 형성된 제1 및 제2 분리/절연층(33a,33b)이 된다.
계속해서, 도 27 및 도 28에 도시한 바와 같이, 메모리 분리 홈(94)의 측면에 코발트(Co)막을 CVD법에 의해 피착시킨다. 이후, RTA(Rapid Thermal Annealing) 처리를 더 행함으로써, 코발트 막이 제1 내지 제8 워드선 도전층(32a 내지 32h)을 구성하는 폴리실리콘(p-Si)과 자기 정합적으로 반응하여, 제1 내지 제8 워드선 도전층(32a 내지 32h)의 전방면에 실리사이드 막(36)이 형성된다. 미반응의 코발트 막은 황산-과산화수소수 혼합액 중에서 제거됨에 주목한다.
다음에, 도 29 및 도 30에 도시한 바와 같이, 질화 실리콘(SiN)으로 메모리 분리 홈(94)을 충전하고, 메모리 보호/절연층(34)을 메모리 분리 홈(94) 내로 연장되도록 형성한다.
계속해서, 메모리 보호/절연층(34) 상에 폴리실리콘(p-Si)을 피착시킨다. 그리고, 메모리 분리 홈(94)과 정합하는 위치에, 선택 트랜지스터 분리 홈(95)을 형성한다. 선택 트랜지스터 분리 홈(95)은 칼럼 방향으로 소정 간격을 두고 라인 상태로 반복적으로 형성한다. 이 공정에 의해, 드레인측 도전층(41) 및 소스측 도전층(42)이 형성된다.
다음에, 도 31 및 도 32에 도시한 바와 같이, 드레인측 도전층(41) 및 소스측 도전층(42) 위에 산화 실리콘(SiO2)을 피착시켜, 선택 게이트 트랜지스터 절연층(44)을 형성한다. 계속해서, 드레인측 홀(45a)을, 제2 메모리 홀(35b)과 정합하도록 선택 게이트 트랜지스터 절연층(44), 드레인측 도전층(41) 및 층간 절연층(34)을 관통시켜 형성한다. 또한, 소스측 홀(45b)을, 제2 메모리 홀(35b)과 정합하도록 선택 게이트 트랜지스터 절연층(44), 소스측 도전층(42) 및 층간 절연층(34)을 관통시켜 형성한다.
다음에, 도 33 및 도 34에 도시한 바와 같이, 질화 실리콘(SiN)을 피착시킨 후, 리소그래피 공정을 행한다. 이 공정에 의해, 드레인측 홀(45a) 및 소스측 홀(45b)의 측벽에 드레인측 게이트 절연층(61a) 및 소스측 게이트 절연층(6lb)이 형성된다.
계속해서, 드레인측 도전층(41) 및 소스측 도전층(42)보다 높은 소정 위치까지 폴리실리콘(p-Si)을, 드레인측 홀(45a) 및 소스측 홀(45b) 내의 게이트 절연층(61a)에 접하도록 피착시킨다. 즉, U자 형상 반도체층(63)의 상면이 드레인측 도전층(41) 및 소스측 도전층(42)보다 높은 소정 위치까지 연장되도록 U자 형상 반도체층(63)을 형성한다.
다음에, 도 35 및 도 36에 도시한 바와 같이, 주변 영역(Ph)에서, 선택 게이트 트랜지스터 절연층(44), 층간 절연층(43) 및 메모리 보호/절연층(34)을 관통하도록 제1 플러그 홀(85a)을 형성한다. 제1 플러그 홀(85a)은 베이스 영역(71), 게이트 도전층(82), 백 게이트 도전층(22), 제1 내지 제8 워드선 도전층(32a 내지 32h), 드레인측 도전층(41), 소스측 도전층(42)에 도달하도록 형성된다. 도 36에서는, 소스측 도전층(42)에 도달하는 제1 플러그 홀(85a)의 도시, 제1 워드선 도전층(32a) 및 제3 내지 제8 워드선 도전층(32c 내지 32h)에 도달하는 제1 플러그 홀(85a)의 도시를 생략하고 있는 점에 주목하자.
계속해서, 도 37 및 도 38에 도시한 바와 같이, 칼럼 방향으로 서로 인접하는 소스측 홀(45b)의 상부를 칼럼 방향으로 연결하도록 선택 게이트 트랜지스터 절연층(44)을 파내어, 소스선 배선 홈(45c)을 형성한다. 소스선 배선 홈(45c)은 칼럼 방향으로 단변, 로우 방향으로 장변을 각각 갖는 직사각 형상의 개구부를 갖도록 형성한다. 동시에, 제1 플러그 홀(85a)의 상부에서 선택 게이트 트랜지스터 절연층(44)이 파내어 주변 영역(Ph)에 제1 배선 홈(85b)을 형성한다.
다음에, 도 39 및 도 40에 도시한 바와 같이, 소스선 배선 홈(45c), 제1 배선 홈(85b) 및 제1 플러그 홀(85a)를 충전하도록, 티타늄(Ti), 질화 티타늄(TiN), 텅스텐(W)을 순차 피착시킨다. 이 후, 선택 게이트 트랜지스터 절연층(44)의 상면에 피착된 티타늄(Ti), 질화 티타늄(TiN), 텅스텐(W)을 CMP에 의해 제거한다. 상기 공정(소위, 듀얼 다마신 공정)을 거쳐, 소스선 배선 홈(45c)을 충전하도록 소스선 도전층(65)을 형성한다. 또한, 제1 플러그 홀(85a)을 충전하도록 제1 플러그 도전층(86a)을 형성하고, 제1 배선 홈(85b)을 충전하도록 제1 배선층(86b)을 형성한다.
계속해서, 도 41 및 도 42에 도시한 바와 같이, 선택 게이트 트랜지스터 절연층(44) 상에 산화 실리콘(SiO2)을 피착시켜, 제1 배선 절연층(51)을 형성한다. 다음에, 제1 배선 절연층(51)을 관통하도록 비트선 플러그 홀(56) 및 제2 플러그 홀(85c)을 형성한다. 비트선 플러그 홀(56)은 드레인측 홀(45a)과 정합하는 위치에 형성된다. 또한, 제2 플러그 홀(85c)은 제1 플러그 홀(85a)과 정합하는 위치에 형성된다.
다음에, 비트선 플러그 홀(56) 및 제2 플러그 홀(85c)을 충전하도록, 티타늄(Ti), 질화 티타늄(TiN) 및 텅스텐(W)을 순차 피착한다. 계속해서, 제1 배선 절연층(51) 상의 티타늄(Ti), 질화 티타늄(TiN) 및 텅스텐(W)을 CMP에 의해 제거한다. 도 41 및 도 42에 나타내는 공정을 거쳐, 비트선 플러그 홀(56) 내에는 비트선 플러그층(57)이 형성된다. 또한, 제2 플러그 홀(85c) 내에는 제2 플러그 도전층(86c)이 형성된다.
계속해서, 도 43 및 도 44에 도시한 바와 같이, 제1 배선 절연층(51)의 상면이 더욱 높게 되도록 산화 실리콘(SiO2)을 피착시킨다. 다음에, 제1 배선 절연층(51)을 파내어, 비트선 배선 홈(56a)을 형성한다.
비트선 배선 홈(56a)은 비트선 플러그 홀(56)과 정합하는 위치에 형성된다. 비트선 배선 홈(56a)은 로우 방향으로 소정 간격을 두고 칼럼 방향으로 연장되도록 라인 상태로 반복적으로 형성한다. 또한, 주변 영역(Ph)에서, 제1 배선 절연층(51)을 파내어, 제2 배선 홈(85d)을 형성한다.
다음에, 비트선 배선 홈(56a) 및 제2 배선 홈(85d)을 충전하도록, 탄탈(Ta), 질화 탄탈(TaN) 및 구리(Cu)를 순차 피착시킨다. 계속해서, 제1 배선 절연층(51) 상의 탄탈(Ta), 질화 탄탈(TaN) 및 구리(Cu)를 CMP에 의해 제거한다. 이상의 공정을 거쳐, 비트선 배선 홈(56a)에는 비트선 도전층(55)이 형성된다. 또한, 제2 배선 홈(85d)에는 제2 배선층(86d)이 형성된다.
계속해서, 도 45 및 도 46에 도시한 바와 같이, 제1 배선 절연층(51) 위에 산화 실리콘(SiO2)을 피착시켜, 제2 배선 절연층(52)을 형성한다. 주변 영역(Ph)에서 제2 배선 절연층(52)을 관통하도록, 제3 플러그 홀(85e)을 형성한다. 제3 플러그 홀(85e)은 제2 배선 홈(85d)과 정합하는 위치에 형성된다. 계속해서, 제3 플러그 홀(85e)을 충전하도록, 제2 배선 절연층(52)의 상면 상에 소정 높이로 티타늄(Ti), 질화 티타늄(TiN) 및 알루미늄-구리(AlCu)를 순차 피착한다. 다음에, 티타늄(Ti), 질화 티타늄(TiN) 및 알루미늄-구리(AlCu)를 소정의 형상으로 가공한다. 이상의 공정을 거쳐, 티타늄(Ti)-질화 티타늄(TiN)-알루미늄-구리(AlCu)로 제3 배선층(84)이 형성된다. 또한, 마찬가지의 공정을 거쳐, 본딩 패드(도시 생략)가 형성된다.
도 45 및 도 46에 계속해서, 제2 배선 절연층(52) 및 제3 배선층(84)의 상에 산화 실리콘(SiO2) 및 질화 실리콘(SiN)을 피착시켜, 제3 배선 절연층(53) 및 제4 배선 절연층(54)을 형성한다. 이상의 공정을 거쳐, 도 5 및 도 6에 나타낸 제1 실시 형태에 관한 불휘발성 반도체 기억 장치(100)가 제조된다.
(제1 실시 형태에 관한 불휘발성 반도체 기억 장치(100)의 이점)
다음에, 제1 실시 형태에 관한 불휘발성 반도체 기억 장치(100)의 이점에 대해서 설명한다. 제1 실시 형태에 관한 불휘발성 반도체 기억 장치(100)는 상기 적층 구조에서 도시한 바와 같이 고집적화가능하다. 또한, 불휘발성 반도체 기억 장치(100)에서는 상기 제조 공정에서 설명한 바와 같이, 메모리 트랜지스터 MTrmn로 기능하는 각 층 및 소스측 선택 게이트 트랜지스터(SSTrmn), 드레인측 선택 트랜지스터층 SDTrmn으로 기능하는 각 층을, 워드선 WLmn의 적층수에 관계없이 소정의 리소그래피 공정수로 제조할 수 있다. 즉, 저렴하게 불휘발성 반도체 기억 장치(100)를 제조하는 것이 가능하다.
또한, 제1 실시 형태에 관한 불휘발성 반도체 기억 장치(100)는 U자 형상 반도체층 SCmn의 연결부 JPmn(U자 형상의 하부)에 접하는 백 게이트 선(BG)을 갖는다. 그리고, 이 백 게이트 선(BG)은 연결부 JPmn에 대한 채널을 형성하는 백 게이트 트랜지스터(BGTrmn)로서 기능한다. 따라서, 거의 비도핑 상태의 U자 형상 반도체층 SCmn에 의해 우수한 도전성을 갖는 메모리 스트링(MS)을 구성할 수 있다.
또한, 제1 실시 형태에 관한 불휘발성 반도체 기억 장치(100)에서, 소스선 SLn(소스선 도전층(65))은 티타늄(Ti), 질화 티타늄(TiN) 및 텅스텐(W)으로 구성된다. 따라서, 제1 실시 형태에 관한 불휘발성 반도체 기억 장치(100)는 소스선 SLn을 폴리실리콘 등의 반도체로 구성한 경우와 비교하여 판독 속도를 향상시킬 수 있다.
여기서, 복수회 폴리실리콘을 피착시켜서 U자 형상 반도체층(63)을 형성하는 비교예를 고려해 보기로 한다. 비교예의 제조 공정에서는 예를 들어, 제1 메모리 홀(35a) 내에 희생층(91) 대신 폴리실리콘을 형성한다. 계속해서, 폴리실리콘의 상부에 제5 내지 제8 워드선 도전층(32e 내지 32h)을 형성하고, 제2 메모리 홀(35b)을 형성하고, 제2 메모리 홀(35b) 내에 메모리 게이트 절연층(32)을 형성한다.
상기 비교예에서, 계속해서 제2 메모리 홀(35b) 내에 폴리실리콘을 피착시킬 때, 제2 메모리 홀(35b)의 저부(제1 메모리 홀(35a)의 폴리실리콘의 상면) 상의 자연 산화막을 습식 처리에 의해 제거할 필요가 있다. 그러나, 이 습식 처리로 인한 에칭에 의해, 제2 메모리 홀(35b) 내의 메모리 게이트 절연층(32)이 제거되는 문제가 발생한다.
또한, 비교예의 제조 공정에서는 제1 메모리 홀(35a) 내의 폴리실리콘과 제2 메모리 홀(35b) 내의 폴리실리콘 사이에 접촉 저항이 발생한다. 이 접촉 저항에 의해, U자 형상 반도체층(63)을 흐르는 전류는 불안정하게 된다.
반면, 제1 실시 형태에 관한 불휘발성 반도체 기억 장치(100)의 제조 공정에서는 습식 처리를 행하지 않고, 메모리 게이트 절연층(62) 및 U자 형상 반도체층(63)을 형성할 수 있다. 상세히 기술하자면, 백 게이트 홀(24), 제1 메모리 홀(35a) 및 제2 메모리 홀(35b) 내에 연속하여 메모리 게이트 절연층(62) 및 U자 형상 반도체층(63)을 형성한다. 따라서, 제1 실시 형태에 관한 불휘발성 반도체 기억 장치(100)에서, 메모리 게이트 절연층(62)은 에칭에 의해 제거되지 않고 소정의 두께로 형성될 수 있다. 또한, 습식 처리가 필요하지 않기 때문에, 메모리 게이트 절연층(62)을 구성하는 재료 선택 폭이 넓어질 수 있다. 따라서, 메모리 게이트 절연층(62)을 다치화에 대응한 재료로 구성하는 것이 가능하다. 이에 의해, 메모리 소자의 고밀도화를 증대시킬 수 있다.
다음에, U자 형상 반도체층(63)이 연속해서 형성되므로, 제1 메모리 홀(35a)과 제2 메모리 홀(35b) 사이의 경계에 접촉 저항을 발생시키지 않는다. 따라서, 제1 실시 형태에 관한 불휘발성 반도체 기억 장치(100)에서, U자 형상 반도체층(63)은 비교예보다 전류를 안정적으로 흐르게 할 수 있다.
또한, 제1 실시 형태에 관한 불휘발성 반도체 기억 장치(100)에는 U자 형상 반도체층(63)은 중공부를 갖도록 형성된다. 이 구성에 의해, 백 게이트 홀(24)의 직경, 제1 메모리 홀(35a)의 직경 및 제2 메모리 홀(35b)의 직경에 의존하지 않고 소정의 두께를 갖는 U자 형상 반도체층(63)을 형성하는 것이 가능하다. 상세히 기술하자면, 제1 실시 형태에 관한 불휘발성 반도체 장치(100)는 제조시의 개구부 직경의 편차에 관계없이 메모리 트랜지스터 MTrmn의 특성을 유지하는 것이 가능하다.
또한, 제1 실시 형태에 관한 불휘발성 반도체 기억 장치(100)에서는 제1 메모리 홀(35a)과 제2 메모리 홀(35b)이 적층 방향으로 중첩되어 형성된다. 따라서, 제1 메모리 홀(35a)의 중심 위치가 제2 메모리 홀(35b)의 중심 위치에서 소정 길이 어긋날 경우에도, 제1 메모리 홀(35a)과 제2 메모리 홀(35b)을 연통시킬 수 있다. 구체적으로는, 제1 실시 형태에 관한 불휘발성 반도체 기억 장치(100)는 신뢰성을 높일 수 있고, 또한 수율의 저하를 억제할 수 있다.
또한, 제1 실시 형태에 관한 불휘발성 반도체 장치(100)에서, 드레인측 선택 게이트 트랜지스터층(41)과 소스측 선택 게이트 트랜지스터층(42)은 동일한 피착층으로 구성된다. 따라서, 제1 실시 형태에 관한 불휘발성 반도체 장치(100)는 공정 비용을 저감할 수 있다.
이상과 같이, 제1 실시 형태에 관한 불휘발성 반도체 기억 장치(100)는 높은 신뢰성을 갖고 저렴하게 제조할 수 있다.
[제2 실시 형태]
(제2 실시 형태에 관한 불휘발성 반도체 기억 장치의 구성)
다음에, 도 47 및 도 48을 참조하여, 제2 실시 형태에 관한 불휘발성 반도체 기억 장치의 구성에 대해서 설명한다. 도 47은 제2 실시 형태에 관한 불휘발성 반도체 기억 장치의 메모리 트랜지스터 영역의 일부 개략 사시도이며, 도 48은 메모리 트랜지스터 영역의 단면도이다.
도 47 및 도 48에 도시한 바와 같이, 제2 실시 형태에 관한 불휘발성 반도체 기억 장치에서 메모리 트랜지스터층(30a) 및 선택 트랜지스터층(40a)의 구성은 제1 실시 형태의 구성과 상이하다.
메모리 트랜지스터층(30a) 및 선택 트랜지스터층(40a)에서 소스측 선택 게이트선(SGSm')(소스측 도전층(421)) 및 워드선 WLm1' 내지 WLm8'(제1 내지 제8 워드선 도전층(321a 내지 321h))의 구성은 제1 실시 형태의 것과 상이하다.
여기서, 소정 위치에 배치된 U자 형상 반도체층(63)(U자 형상 반도체층 SCmn)은 "U자 형상 반도체층(63(1))(U자 형상 반도체층 SCmn)"으로 도시된다. 또한, "U자 형상 반도체층(63(1))(U자 형상 반도체층 SCmn(1))"에 대해 칼럼에 인접하게 배치된 U자 형상 반도체층(63)(U자 형상 반도체층 SCmn)은 "U자 형상 반도체층(63(2))(U자 형상 반도체층 SCmn(2))"으로 도시된다.
제2 실시 형태에서, 워드선 WLm1' 내지 WLm8', 및 소스측 선택 게이트선(SGSm')을 기둥 형상부 CLmn에서 공유하도록 칼럼 방향으로 배열된 U자 형상 반도체층 SCmn(1)과 U자 형상 반도체층 SCmn(2)이 형성된다. 환언하면, 워드선 WLm1' 내지 WLm8', 및 소스측 선택 게이트선(SGSm')은 칼럼 방향으로 서로 인접하는 U자 형상 반도체층 SCmn을 구성하는 한 쌍의 기둥 형상부 CLmn 각각으로 분할된다. 드레인측 선택 게이트선(SGDm)은 제1 실시 형태와 마찬가지의 구성을 갖는다는 점에 주목하자. 소스측 선택 게이트선(SGSm')도 제1 실시 형태와 마찬가지의 구성을 가질 수 있다.
(제2 실시 형태에 관한 불휘발성 반도체 기억 장치의 제조 방법)
다음에, 제2 실시 형태에 관한 불휘발성 반도체 기억 장치의 제조 방법을 설명한다. 제2 실시 형태에 관한 불휘발성 반도체 기억 장치의 제조 공정에서는, 제1 실시 형태의 도 25 및 도 26에 나타내는 공정에서 칼럼 방향으로 서로 인접하는 각각의 U자 형상 반도체층(63) 사이에 메모리 분리 홈(94)을 형성하지 않는다. 환언하면, 제2 실시 형태에 관한 불휘발성 반도체 기억 장치의 제조 공정에서는 각각의 U자 형상 반도체층(63)의 칼럼 방향의 중심에만 메모리 분리 홈(94)을 형성한다. 이 후, 제1 실시 형태와 같은 공정을 거쳐, 제2 실시 형태에 관한 불휘발성 반도체 기억 장치가 제조된다.
(제2 실시 형태에 관한 불휘발성 반도체 기억 장치의 이점)
제2 실시 형태에 관한 불휘발성 반도체 기억 장치는 제1 실시 형태와 같은 이점을 달성한다.
또한, 제2 실시 형태에 관한 불휘발성 반도체 기억 장치에서, 워드선 WLm5' 내지 WLm8', 및 소스측 선택 게이트선(SGSm')은 칼럼 방향으로 서로 인접한 한 쌍의 기둥 형상부 CLm을 둘러싸도록 형성된다. 즉, 워드선 WLm1' 내지 WLm8', 및 소스측 선택 게이트선(SGSm')은 제1 실시 형태와 비교하여 칼럼 방향으로 폭이 넓게 형성된다. 이러한 구성에 의해, 제2 실시 형태에 관한 불휘발성 반도체 기억 장치는 제1 실시 형태와 비교하여 제1 플러그 도전층(86a)과 소스측 선택 게이트선(SGSm')(소스측 도전층(421)) 사이의 접촉 저항 및 제1 플러그 도전층(86a)과 워드선 WLm1' 내지 WLm8'(제1 내지 제8 워드선 도전층(321a 내지 321h)) 사이의 접촉 저항을 저감시킬 수 있다.
또한, 제2 실시 형태에 관한 불휘발성 반도체 장치는 제1 실시 형태와 비교하여 그 제조 공정에서 칼럼 방향으로 서로 인접하는 U자 형상 반도체층(63) 사이에 메모리 분리 홈(94)을 형성하지 않는다. 따라서, 제1 실시 형태의 도 27 및 도 28에 나타내는 공정(실리사이드 공정)을 행할 때, 금속막을 형성하는 부분의 종횡비를 저하시킬 수 있으므로, 그 실리사이드 공정에서의 공정 안정성을 향상시킬 수 있다.
[제3 실시 형태]
(제3 실시 형태에 관한 불휘발성 반도체 기억 장치의 구성)
다음에, 도 49 및 도 50을 참조하여, 제3 실시 형태에 관한 불휘발성 반도체 기억 장치의 구성에 대해서 설명한다. 도 49는 제3 실시 형태에 관한 불휘발성 반도체 기억 장치의 메모리 트랜지스터 영역의 일부 개략 사시도이며, 도 50은 메모리 트랜지스터 영역의 단면도이다.
도 49 및 도 50에 도시한 바와 같이, 제3 실시 형태에 관한 불휘발성 반도체 기억 장치에서, 백 게이트 선(BG')(백 게이트 트랜지스터층(20a))의 구성은 제1 실시 형태와 상이하다. 제3 실시 형태에 관한 백 게이트 선(BG')(백 게이트 트랜지스터층(20a))은 제1 백 게이트 선(BG1')(제1 백 게이트 도전층(22a)) 및 제1 백 게이트 선(BG1')(제1 백 게이트 도전층(22a))의 상부에 형성된 제2 백 게이트 선(BG2')(제2 백 게이트 도전층(22b))을 갖는다. 제1 백 게이트 선(BG1')(제1 백 게이트 도전층(22a))은 제1 실시 형태와 마찬가지로 연결부 JPmn(U자 형상 반도체층(63)의 하부)의 하면 및 측면을 커버하고 또한 연결부 JPmn의 상면과 동일한 높이까지 형성된다. 제2 백 게이트 선(BG2')(제2 백 게이트 도전층(22b))은 연결부 JPmn(연결부63a)의 상면을 커버하도록 형성된다.
(제3 실시 형태에 관한 불휘발성 반도체 기억 장치의 제조 방법)
다음에, 제3 실시 형태에 관한 불휘발성 반도체 기억 장치의 제조 방법을 설명한다. 제3 실시 형태에 관한 불휘발성 반도체 기억 장치는 제1 실시 형태의 도 11 및 도 12에 나타내는 공정을 거쳐, 제1 백 게이트 도전층(22a)을 형성한다. 계속해서, 제1 희생층(91)을 형성한 후, 제1 희생층(91) 위에 폴리실리콘을 피착시키고, 또한 제2 백 게이트 도전층(22b)을 더 형성한다. 이 후, 제1 실시 형태의 도 13 내지 도 46에 나타내는 공정을 거쳐, 도 50에 나타내는 제3 실시 형태에 관한 불휘발성 반도체 기억 장치가 제조된다.
(제3 실시 형태에 관한 불휘발성 반도체 기억 장치의 이점)
제3 실시 형태에 관한 불휘발성 반도체 기억 장치는 제1 실시 형태와 같은 이점을 달성한다.
또한, 제3 실시 형태에 관한 불휘발성 반도체 기억 장치는 연결부 JPmn의 하면 및 측면을 커버하고 또한 연결부 JPmn의 상면과 동일한 높이까지 형성된 제1 백 게이트 선(BG1'), 및 연결부 JPmn의 상단부를 커버하는 제2 백 게이트 선(BG2')을 갖는다. 따라서, 제1 백 게이트 선(BG1') 및 제2 백 게이트 선(BG2')에 의해, 연결부 JPmn의 전체 주변에 걸쳐서 채널을 형성할 수 있다. 즉, 제3 실시 형태에 관한 불휘발성 반도체 기억 장치는 제1 및 제2 실시 형태와 비교하여 연결부 JPmn의 저항을 감소시킬 수 있다.
또한, 제조 공정에서, 제2 백 게이트 선(BG2')의 두께만을 변경시킴으로써, 제1 및 제2 실시 형태와 비교하여 최하층의 워드선 WLmn과 연결부 JPmn 사이의 거리를 용이하게 설계 변경하는 것이 가능하다.
[제4 실시 형태]
(제4 실시 형태에 관한 불휘발성 반도체 기억 장치의 구성)
다음에, 도 51을 참조하여, 제4 실시 형태에 관한 불휘발성 반도체 기억 장치의 구성에 대해서 설명한다. 도 51은 제4 실시 형태에 관한 불휘발성 반도체 기억 장치의 메모리 트랜지스터 영역의 일부 개략 상면도이다.
도 51에 도시한 바와 같이, 제4 실시 형태에 관한 불휘발성 반도체 기억 장치는 제1 실시 형태와 비교하여 워드선 WLmn"의 구성이 상이하다.
제4 실시 형태에서, 각 워드선 WLmn"은 적층 방향의 각 위치에서 로우 방향 및 칼럼 방향으로 2차원에 확장되는 형상을 갖는다. 또한, 상면으로부터 볼 때, 각 워드선 WLmn"은 로우 방향의 소정 위치 A를 중심으로 로우 방향에 대면하는 한 쌍의 빗(comb) 형상이 되도록 파단(분할)된다. 상세히 기술하자면, 각 워드선 WLmn"은 로우 방향으로 대면하는 제1 워드선 WLamn" 및 제2 워드선 WLbmn"으로 구성된다.
제1 워드선 WLamn" 및 제2 워드선 WLbmn"은 로우 방향으로 연장되는 돌출부 P를 갖는다. 제1 워드선 WLamn"의 돌출부 P는 U자 형상 반도체 SCm의 기둥 형상부 CLmn 중 하나를 둘러싸도록 형성된다. 제2 워드선 WLbmn"의 돌출부 P는 U자 형상 반도체 SCm의 다른 기둥 형상부 CLmn를 둘러싸도록 형성된다.
각 워드선 WLmn"이 파단된 영역(B)의 상층에 비트선 BL이 형성된다. 구체적으로는, 이 영역(B)이 메모리 트랜지스터 영역(12')으로서 기능한다.
(제4 실시 형태에 관한 불휘발성 반도체 기억 장치의 이점)
제4 실시 형태에 관한 불휘발성 반도체 기억 장치는 제1 실시 형태와 같은 이점을 달성한다.
또한, 제4 실시 형태에 관한 불휘발성 반도체 기억 장치에서, 상면으로부터 볼 때, 각 워드선 WLmn"은 적층 방향의 각 위치에서 로우 방향 및 칼럼 방향으로 2차원으로 확장되는 형상을 갖는다. 또한, 상면에서 볼 때, 각 워드선 WLmn"은 로우 방향의 소정 위치 A를 중심으로 빗 형상이 되도록 파단된다. 따라서, 제4 실시 형태에 관한 불휘발성 반도체 기억 장치는 제1 내지 제3 실시 형태와 같이 워드선 WLmn을 라인 상태로 가공하지 않기 때문에, 제1 내지 제3 실시 형태보다 더 용이한 제조 공정으로 제조될 수 있다. 또한, 워드선 WLmn"의 구성에 의해 워드선 구동 회로(13)를 공통 회로로 구성할 수 있다. 따라서, 제4 실시 형태에 관한 불휘발성 반도체 기억 장치는 워드선 구동 회로(13) 등을 포함하는 제어 회로의 점유 면적을 축소할 수 있다.
[기타 실시 형태]
이상, 불휘발성 반도체 기억 장치의 실시 형태를 설명했지만, 본 발명은 상기 실시 형태에 한정되지 않고, 발명의 취지를 일탈하지 않는 범위 내에서 다양한 변경, 추가, 치환 등이 가능하다.
예를 들어, 상기 제1 실시 형태에서, 판독 동작, 기입 동작, 소거 동작이 실행될 때, 백 게이트 선(BG)에 도통 전압 Vj를 인가하는 구성이지만, 통상의 동작 시에도 백 게이트 선에 도통 전압 Vj를 인가할 수 있다.
또한, 상기 제1 실시 형태에서, 백 게이트 도전층(22)은 U자 형상 반도체층(63)의 연결부(63a)의 하면 및 측면을 커버하지만, 이는 연결부(63a)의 측면만을 커버할 수 있다. 또한, 백 게이트 도전층(22)은 연결부(63a)의 저면만을 커버할 수 있다.
100 : 불휘발성 반도체 기억 장치
12 : 메모리 트랜지스터 영역
13 : 워드선 구동 회로
17 : 소스선 구동 회로
22 : 백 게이트 도전층

Claims (20)

  1. 전기적으로 재기입 가능한 복수의 메모리 셀이 직렬로 접속된 복수의 메모리 스트링을 갖는 불휘발성 반도체 기억 장치로서,
    각각의 상기 메모리 스트링은,
    기판에 대하여 수직 방향으로 연장되는 한 쌍의 기둥 형상부 및 상기 한 쌍의 기둥 형상부의 하단부를 연결하도록 형성된 연결부를 각각 갖는 제1 반도체층과,
    상기 기둥 형상부의 측면을 둘러싸도록 형성된 전하 축적층과,
    상기 기둥 형상부의 측면 및 상기 전하 축적층을 둘러싸도록 형성된 제1 도전층을 포함하고,
    상기 제1 도전층은 상기 메모리 셀의 게이트 전극으로 기능하는, 불휘발성 반도체 기억 장치.
  2. 제1항에 있어서,
    상기 연결부에 접촉하도록 형성된 제2 도전층을 더 포함하고, 상기 제2 도전층은 상기 연결부에 채널을 형성하는 트랜지스터의 게이트 전극으로 기능하는, 불휘발성 반도체 기억 장치.
  3. 제1항에 있어서,
    상기 기둥 형상부는 상기 기판과 평행한 제1 방향으로 배치되도록 형성되고,
    상기 제1 도전층은 상기 제1 방향으로 서로 인접한 각각의 상기 기둥 형상부마다 분할되어 있는, 불휘발성 반도체 기억 장치.
  4. 제1항에 있어서,
    상기 기판과 평행한 제1 방향으로 배치된 상기 제1 반도체층은 상기 기둥 형상부에서 상기 제1 도전층을 공유하는, 불휘발성 반도체 기억 장치.
  5. 제1항에 있어서,
    각각의 상기 제1 도전층은 수직 방향에 직교하는 제2 방향으로 대면하는 한 쌍의 빗 형상부로 분할되고, 상기 빗 형상부 각각은 상기 제2 방향으로 연장되는 돌출부를 구비하고,
    상기 제1 도전층 중 어느 한 제1 도전층의 돌출부는 상기 제1 반도체층 중 어느 한 제1 반도체층의 기둥 형상부를 둘러싸도록 형성되고,
    나머지 다른 상기 제1 도전층의 돌출부는 나머지 다른 상기 제1 반도체층의 기둥 형상부를 둘러싸도록 형성되는, 불휘발성 반도체 기억 장치.
  6. 제1항에 있어서,
    상기 제1 도전층의 측면에 형성된 실리사이드 막을 더 포함하는, 불휘발성 반도체 기억 장치.
  7. 제2항에 있어서,
    상기 제2 도전층은 상기 연결부의 측면 및 하면을 둘러싸도록 형성되는, 불휘발성 반도체 기억 장치.
  8. 제7항에 있어서,
    상기 제2 도전층은 상기 연결부의 상면을 둘러싸도록 형성되는, 불휘발성 반도체 기억 장치.
  9. 제1항에 있어서,
    상기 제1 반도체층은 중공부를 갖는, 불휘발성 반도체 기억 장치.
  10. 제9항에 있어서,
    상기 중공부를 내부 유전체층으로 충전하도록 형성된 내부 유전체층을 포함하는, 불휘발성 반도체 기억 장치.
  11. 제1항에 있어서,
    각각의 일단부가 각각의 상기 메모리 스트링에 연결된 선택 게이트 트랜지스터를 더 포함하고,
    각각의 상기 선택 게이트 트랜지스터는,
    상기 기둥 형상부의 상면으로부터 수직 방향으로 연장되는 제4 반도체층과,
    상기 제2 반도체층의 측면을 둘러싸도록 형성된 게이트 절연층과,
    상기 제2 반도체층의 측면 및 상기 게이트 절연층을 둘러싸도록 형성된 제3 도전층을 포함하고,
    상기 제3 도전층은 상기 선택 게이트 트랜지스터의 게이트 전극으로 기능하는, 불휘발성 반도체 기억 장치.
  12. 제2항에 있어서,
    메모리 셀이 동작 중에 있을 때, 상기 제2 도전층에 소정의 전압이 인가되고,
    상기 소정의 전압은 상기 연결부에 채널을 형성하기 위한 전압인, 불휘발성 반도체 기억 장치.
  13. 전기적으로 재기입 가능한 복수의 메모리 셀이 직렬로 접속된 복수의 메모리 스트링을 갖는 불휘발성 반도체 기억 장치를 제조하는 방법으로서, 상기 불휘발성 반도체 기억 장치를 제조하는 방법은,
    기판 상에 제1 절연층을 개재하여 제1 도전층을 형성하는 단계와,
    상기 제1 도전층을 파내어 상기 기판과 평행한 제1 방향으로 연장되는 홈을 형성하는 단계와,
    상기 제1 도전층의 상층 위에 제2 절연층을 개재하여 복수의 제2 도전층을 형성하는 단계와,
    제1 관통 홀이 상기 제2 도전층과 상기 제2 절연층을 관통하고 또한 상기 홈의 제1 방향으로의 양 단부 근처에서 정합되도록 상기 제1 관통 홀을 형성하는 단계와,
    상기 제1 관통 홀과 대면하는 측면 및 상기 홈에 전하 축적층을 형성하는 단계와,
    상기 전하 축적층의 측면에 제1 반도체층을 형성하는 단계를 포함하는, 불휘발성 반도체 기억 장치를 제조하는 방법.
  14. 제13항에 있어서,
    각각의 상기 제1 반도체층은 기판에 대해 수직 방향으로 연장되는 한 쌍의 기둥 형상부를 갖도록 구성되고,
    상기 제2 도전층을 상기 제1 방향으로 서로 인접한 각각의 상기 기둥 형상부마다 분할하는 단계를 더 포함하는, 불휘발성 반도체 기억 장치를 제조하는 방법
  15. 제13항에 있어서,
    상기 제2 도전층을 상기 제1 방향으로 배열된 상기 제1 반도체층이 상기 기둥 형상부에서 상기 제2 도전층을 공유하도록 분할하는 단계를 더 포함하는, 불휘발성 반도체 기억 장치를 제조하는 방법.
  16. 제13항에 있어서,
    각각의 상기 제2 도전층을 상기 제1 방향 및 수직 방향에 직교하는 제2 방향에 대면하는 한 쌍의 빗 형상부로 분할하는 단계를 더 포함하고,
    상기 제2 도전층은 상기 제2 방향으로 연장하는 돌출부를 갖도록 형성되고,
    상기 제2 도전층 중 어느 한 제2 도전층의 돌출부는 상기 제1 반도체층 중 어느 한 제1 반도체층의 기둥 형상부를 둘러싸도록 형성되고,
    나머지 다른 상기 제2 도전층의 돌출부는 나머지 다른 제1 반도체층의 기둥 형상부를 둘러싸도록 형성되는, 불휘발성 반도체 기억 장치를 제조하는 방법.
  17. 제13항에 있어서,
    상기 제1 도전층의 상면 상에 제3 도전층을 형성하는 단계를 더 포함하고,
    상기 제2 도전층은 상기 제3 도전층의 상층 위에 형성되고,
    상기 제1 관통 홀은 상기 제3 도전층, 상기 제2 도전층 및 상기 제2 절연층을 관통하도록 형성되는, 불휘발성 반도체 기억 장치를 제조하는 방법.
  18. 제13항에 있어서,
    상기 제1 반도체층은 중공부를 갖도록 형성되는, 불휘발성 반도체 기억 장치를 제조하는 방법.
  19. 제18항에 있어서,
    상기 중공부가 내부 유전체층으로 충전되도록 내부 유전체층을 형성하는 단계를 더 포함하는, 불휘발성 반도체 기억 장치를 제조하는 방법.
  20. 제13항에 있어서,
    제4 도전층을 형성하되, 상기 제4 도전층이 상기 제1 반도체층의 상면과 정합되는 위치에서 상기 제2 도전층의 상층 위에 상기 제4 도전층을 형성하는 단계와,
    상기 제4 도전층을 관통하도록 제2 관통 홀을 형성하는 단계와,
    상기 제2 관통 홀에 대면하는 측면에 게이트 절연층을 형성하는 단계와,
    상기 제2 관통 홀이 제2 반도체층으로 충전되도록 상기 제2 반도체층을 형성하는 단계를 더 포함하는, 불휘발성 반도체 기억 장치를 제조하는 방법.
KR1020107013010A 2007-12-11 2008-12-09 불휘발성 반도체 기억 장치 및 이를 제조하는 방법 KR101239559B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP2007320215A JP5142692B2 (ja) 2007-12-11 2007-12-11 不揮発性半導体記憶装置
JPJP-P-2007-320215 2007-12-11
PCT/JP2008/072727 WO2009075370A1 (en) 2007-12-11 2008-12-09 Non-volatile semiconductor storage device and method of manufacturing the same

Publications (2)

Publication Number Publication Date
KR20100087743A true KR20100087743A (ko) 2010-08-05
KR101239559B1 KR101239559B1 (ko) 2013-03-06

Family

ID=40755605

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020107013010A KR101239559B1 (ko) 2007-12-11 2008-12-09 불휘발성 반도체 기억 장치 및 이를 제조하는 방법

Country Status (7)

Country Link
US (12) US8372720B2 (ko)
EP (1) EP2201601B1 (ko)
JP (1) JP5142692B2 (ko)
KR (1) KR101239559B1 (ko)
CN (1) CN102037557B (ko)
TW (1) TWI384615B (ko)
WO (1) WO2009075370A1 (ko)

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101068343B1 (ko) * 2008-11-10 2011-09-28 가부시끼가이샤 도시바 비휘발성 반도체 메모리 장치 및 그 제조 방법
KR101149619B1 (ko) * 2010-11-19 2012-05-25 에스케이하이닉스 주식회사 3차원 구조의 비휘발성 메모리 소자 및 그 제조 방법
US8440531B2 (en) 2011-04-29 2013-05-14 Samsung Electronics Co., Ltd. Methods of forming semiconductor memory devices having vertically stacked memory cells therein
KR20140029703A (ko) * 2012-08-29 2014-03-11 에스케이하이닉스 주식회사 불휘발성 메모리 소자와 그 제조방법
US8872249B2 (en) 2011-12-29 2014-10-28 SK Hynix Inc. Nonvolatile memory device and method for fabricating the same
US9130038B2 (en) 2012-08-31 2015-09-08 SK Hynix Inc. Three-dimensional semiconductor memory device, memory system including the same, method of manufacturing the same and method of operating the same
KR20160075077A (ko) * 2014-12-19 2016-06-29 삼성전자주식회사 반도체 소자 및 그 형성 방법
KR20170066377A (ko) * 2014-09-30 2017-06-14 샌디스크 테크놀로지스 엘엘씨 빗살 형상의 소스 전극을 갖는 3차원 메모리 디바이스 및 그 제조 방법

Families Citing this family (586)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4468433B2 (ja) 2007-11-30 2010-05-26 株式会社東芝 不揮発性半導体記憶装置
JP5142692B2 (ja) 2007-12-11 2013-02-13 株式会社東芝 不揮発性半導体記憶装置
JP5112201B2 (ja) * 2008-07-11 2013-01-09 株式会社東芝 不揮発性半導体記憶装置
JP5288936B2 (ja) * 2008-08-12 2013-09-11 株式会社東芝 不揮発性半導体記憶装置
JP2010080561A (ja) 2008-09-25 2010-04-08 Toshiba Corp 不揮発性半導体記憶装置
JP5388537B2 (ja) 2008-10-20 2014-01-15 株式会社東芝 不揮発性半導体記憶装置、及びその製造方法
JP5364336B2 (ja) 2008-11-04 2013-12-11 株式会社東芝 半導体記憶装置
JP5300419B2 (ja) * 2008-11-05 2013-09-25 株式会社東芝 不揮発性半導体記憶装置及びその製造方法
JP2010118530A (ja) 2008-11-13 2010-05-27 Toshiba Corp 不揮発性半導体記憶装置
JP2010118580A (ja) 2008-11-14 2010-05-27 Toshiba Corp 不揮発性半導体記憶装置
JP5356005B2 (ja) 2008-12-10 2013-12-04 株式会社東芝 不揮発性半導体記憶装置及びその製造方法
JP5317664B2 (ja) 2008-12-17 2013-10-16 株式会社東芝 不揮発性半導体記憶装置の製造方法
JP2010161132A (ja) 2009-01-07 2010-07-22 Toshiba Corp 不揮発性半導体記憶装置、及びその製造方法
JP5275052B2 (ja) 2009-01-08 2013-08-28 株式会社東芝 不揮発性半導体記憶装置
JP5388600B2 (ja) 2009-01-22 2014-01-15 株式会社東芝 不揮発性半導体記憶装置の製造方法
JP5376976B2 (ja) 2009-02-06 2013-12-25 株式会社東芝 不揮発性半導体記憶装置及びその製造方法
JP5364394B2 (ja) 2009-02-16 2013-12-11 株式会社東芝 不揮発性半導体記憶装置
JP5383241B2 (ja) 2009-02-16 2014-01-08 株式会社東芝 不揮発性半導体記憶装置及びその製造方法
JP2010199235A (ja) 2009-02-24 2010-09-09 Toshiba Corp 不揮発性半導体記憶装置
JP5395460B2 (ja) 2009-02-25 2014-01-22 株式会社東芝 不揮発性半導体記憶装置、及びその製造方法
JP5330027B2 (ja) 2009-02-25 2013-10-30 株式会社東芝 不揮発性半導体記憶装置、及びその製造方法
JP2010205904A (ja) 2009-03-03 2010-09-16 Toshiba Corp 不揮発性半導体記憶装置の製造方法及び不揮発性半導体記憶装置
JP5279560B2 (ja) * 2009-03-11 2013-09-04 株式会社東芝 不揮発性半導体記憶装置
KR101539699B1 (ko) 2009-03-19 2015-07-27 삼성전자주식회사 3차원 구조의 비휘발성 메모리 소자 및 그 제조방법
JP4897009B2 (ja) 2009-03-24 2012-03-14 株式会社東芝 不揮発性半導体記憶装置の製造方法
JP5398378B2 (ja) 2009-06-24 2014-01-29 株式会社東芝 半導体記憶装置及びその製造方法
JP2011009409A (ja) * 2009-06-25 2011-01-13 Toshiba Corp 不揮発性半導体記憶装置
JP5306080B2 (ja) * 2009-07-01 2013-10-02 株式会社東芝 不揮発性半導体記憶装置及びその製造方法
JP5464928B2 (ja) * 2009-07-02 2014-04-09 ルネサスエレクトロニクス株式会社 半導体装置及び半導体装置の製造方法
JP2011014817A (ja) 2009-07-06 2011-01-20 Toshiba Corp 不揮発性半導体記憶装置
JP2011040706A (ja) 2009-07-15 2011-02-24 Toshiba Corp 不揮発性半導体記憶装置
JP5380190B2 (ja) 2009-07-21 2014-01-08 株式会社東芝 不揮発性半導体記憶装置及びその製造方法
JP2011023687A (ja) * 2009-07-21 2011-02-03 Toshiba Corp 不揮発性半導体記憶装置
JP2011029234A (ja) 2009-07-21 2011-02-10 Toshiba Corp 不揮発性半導体記憶装置
KR101660944B1 (ko) * 2009-07-22 2016-09-28 삼성전자 주식회사 수직형의 비휘발성 메모리 소자 및 그 제조 방법
JP2011035228A (ja) 2009-08-04 2011-02-17 Toshiba Corp 不揮発性半導体記憶装置及びその製造方法
JP2011035237A (ja) * 2009-08-04 2011-02-17 Toshiba Corp 半導体装置の製造方法及び半導体装置
JP4977180B2 (ja) 2009-08-10 2012-07-18 株式会社東芝 不揮発性半導体記憶装置の製造方法
JP2011049206A (ja) * 2009-08-25 2011-03-10 Toshiba Corp 半導体装置の製造方法及び半導体装置
JP5052575B2 (ja) 2009-09-01 2012-10-17 株式会社東芝 不揮発性半導体記憶装置
JP2011054802A (ja) 2009-09-02 2011-03-17 Toshiba Corp 不揮発性半導体記憶装置、及びその製造方法
JP4982540B2 (ja) * 2009-09-04 2012-07-25 株式会社東芝 不揮発性半導体記憶装置、及びその製造方法
JP4922370B2 (ja) 2009-09-07 2012-04-25 株式会社東芝 不揮発性半導体記憶装置、及びその製造方法
KR101164954B1 (ko) 2009-09-14 2012-07-12 에스케이하이닉스 주식회사 3차원 구조를 갖는 비휘발성 메모리 소자 및 그 제조 방법
JP2011061159A (ja) 2009-09-14 2011-03-24 Toshiba Corp 不揮発性半導体記憶装置
KR101698193B1 (ko) 2009-09-15 2017-01-19 삼성전자주식회사 3차원 반도체 메모리 장치 및 그 제조 방법
JP4975794B2 (ja) 2009-09-16 2012-07-11 株式会社東芝 不揮発性半導体記憶装置
JP4913188B2 (ja) * 2009-09-18 2012-04-11 株式会社東芝 不揮発性半導体記憶装置
JP5044624B2 (ja) 2009-09-25 2012-10-10 株式会社東芝 不揮発性半導体記憶装置
JP5259552B2 (ja) 2009-11-02 2013-08-07 株式会社東芝 不揮発性半導体記憶装置及びその駆動方法
JP5297342B2 (ja) 2009-11-02 2013-09-25 株式会社東芝 不揮発性半導体記憶装置
JP2011108921A (ja) * 2009-11-19 2011-06-02 Toshiba Corp 不揮発性半導体記憶装置及びその製造方法
JP5457815B2 (ja) 2009-12-17 2014-04-02 株式会社東芝 不揮発性半導体記憶装置
JP5394270B2 (ja) * 2010-01-25 2014-01-22 株式会社東芝 不揮発性半導体記憶装置及びその製造方法
JP2011171698A (ja) * 2010-01-25 2011-09-01 Toshiba Corp 半導体装置の製造方法
JP2011159364A (ja) 2010-02-02 2011-08-18 Toshiba Corp 不揮発性半導体記憶装置および不揮発性半導体記憶装置の駆動方法
JP5378255B2 (ja) * 2010-02-02 2013-12-25 株式会社東芝 不揮発性半導体記憶装置および不揮発性半導体記憶装置の駆動方法
JP2011165815A (ja) 2010-02-08 2011-08-25 Toshiba Corp 不揮発性半導体記憶装置
JP2011166061A (ja) 2010-02-15 2011-08-25 Toshiba Corp 半導体装置の製造方法
KR101652873B1 (ko) * 2010-02-18 2016-08-31 삼성전자주식회사 3차원 반도체 장치 및 그 동작 방법
KR101702060B1 (ko) * 2010-02-19 2017-02-02 삼성전자주식회사 3차원 반도체 장치의 배선 구조체
JP5144698B2 (ja) 2010-03-05 2013-02-13 株式会社東芝 半導体記憶装置及びその製造方法
JP5248541B2 (ja) * 2010-03-05 2013-07-31 株式会社東芝 半導体記憶装置の動作方法
JP2011187794A (ja) 2010-03-10 2011-09-22 Toshiba Corp 半導体記憶装置及びその製造方法
JP2011198806A (ja) 2010-03-17 2011-10-06 Toshiba Corp 半導体記憶装置及びその製造方法
JP5121869B2 (ja) * 2010-03-23 2013-01-16 株式会社東芝 不揮発性半導体記憶装置の製造方法
JP2011198435A (ja) 2010-03-23 2011-10-06 Toshiba Corp 不揮発性半導体記憶装置
JP5072995B2 (ja) 2010-03-24 2012-11-14 株式会社東芝 不揮発性半導体記憶装置
US9536970B2 (en) 2010-03-26 2017-01-03 Samsung Electronics Co., Ltd. Three-dimensional semiconductor memory devices and methods of fabricating the same
KR101738533B1 (ko) * 2010-05-24 2017-05-23 삼성전자 주식회사 적층 메모리 장치 및 그 제조 방법
JP5504053B2 (ja) 2010-05-27 2014-05-28 株式会社東芝 半導体装置及びその製造方法
JP2011258776A (ja) 2010-06-09 2011-12-22 Toshiba Corp 不揮発性半導体メモリ
JP5514004B2 (ja) * 2010-06-15 2014-06-04 株式会社東芝 半導体記憶装置及びその製造方法
JP5491982B2 (ja) 2010-06-21 2014-05-14 株式会社東芝 不揮発性半導体記憶装置及びその製造方法
JP2012009512A (ja) 2010-06-22 2012-01-12 Toshiba Corp 不揮発性半導体記憶装置及びその製造方法
KR101713228B1 (ko) 2010-06-24 2017-03-07 삼성전자주식회사 비대칭 워드라인 패드를 갖는 반도체 메모리 소자
US8980731B2 (en) 2010-06-24 2015-03-17 Samsung Electronics Co., Ltd. Methods of forming a semiconductor device
JP2012009701A (ja) * 2010-06-25 2012-01-12 Toshiba Corp 不揮発性半導体記憶装置
US8928061B2 (en) 2010-06-30 2015-01-06 SanDisk Technologies, Inc. Three dimensional NAND device with silicide containing floating gates
US10128261B2 (en) 2010-06-30 2018-11-13 Sandisk Technologies Llc Cobalt-containing conductive layers for control gate electrodes in a memory structure
US9397093B2 (en) 2013-02-08 2016-07-19 Sandisk Technologies Inc. Three dimensional NAND device with semiconductor, metal or silicide floating gates and method of making thereof
US9159739B2 (en) 2010-06-30 2015-10-13 Sandisk Technologies Inc. Floating gate ultrahigh density vertical NAND flash memory
US8187936B2 (en) 2010-06-30 2012-05-29 SanDisk Technologies, Inc. Ultrahigh density vertical NAND memory device and method of making thereof
KR20120003351A (ko) 2010-07-02 2012-01-10 삼성전자주식회사 3차원 비휘발성 메모리 장치 및 그 동작방법
JP5502629B2 (ja) 2010-07-12 2014-05-28 株式会社東芝 不揮発性半導体記憶装置、及びその製造方法
JP2012028537A (ja) 2010-07-22 2012-02-09 Toshiba Corp 不揮発性半導体記憶装置及びその製造方法
JP5468489B2 (ja) * 2010-07-29 2014-04-09 株式会社東芝 半導体記憶装置の動作方法
JP5349423B2 (ja) 2010-08-20 2013-11-20 株式会社東芝 不揮発性半導体記憶装置及びその製造方法
JP2012059830A (ja) 2010-09-07 2012-03-22 Toshiba Corp 半導体記憶装置
KR101738103B1 (ko) * 2010-09-10 2017-05-22 삼성전자주식회사 3차원 반도체 기억 소자
JP2012069606A (ja) 2010-09-21 2012-04-05 Toshiba Corp 不揮発性半導体記憶装置
JP2012069205A (ja) 2010-09-22 2012-04-05 Toshiba Corp 不揮発性半導体記憶装置
JP5259666B2 (ja) 2010-09-22 2013-08-07 株式会社東芝 不揮発性半導体記憶装置
JP5422530B2 (ja) 2010-09-22 2014-02-19 株式会社東芝 半導体記憶装置及びその製造方法
JP2012119013A (ja) 2010-11-29 2012-06-21 Toshiba Corp 不揮発性半導体記憶装置
JP2012146350A (ja) 2011-01-07 2012-08-02 Toshiba Corp 不揮発性半導体記憶装置
US8441855B2 (en) * 2011-01-14 2013-05-14 Micron Technology, Inc. Strings of memory cells having string select gates, memory devices incorporating such strings, and methods of accessing and forming the same
JP2012151187A (ja) 2011-01-17 2012-08-09 Toshiba Corp 半導体記憶装置の製造方法
JP2012168999A (ja) 2011-02-10 2012-09-06 Toshiba Corp 不揮発性半導体記憶装置の動作方法
JP2012174872A (ja) 2011-02-21 2012-09-10 Toshiba Corp 半導体記憶装置
JP2012174892A (ja) * 2011-02-22 2012-09-10 Toshiba Corp 半導体記憶装置及びその製造方法
JP5431386B2 (ja) * 2011-02-22 2014-03-05 株式会社東芝 半導体記憶装置
KR101206506B1 (ko) * 2011-03-04 2012-11-29 에스케이하이닉스 주식회사 비휘발성 메모리 장치 및 그 제조 방법
KR101721117B1 (ko) 2011-03-15 2017-03-29 삼성전자 주식회사 반도체 소자의 제조 방법
JP5398766B2 (ja) 2011-03-16 2014-01-29 株式会社東芝 半導体装置及びその製造方法
JP2012203929A (ja) 2011-03-23 2012-10-22 Toshiba Corp 半導体記憶装置
JP2012204493A (ja) 2011-03-24 2012-10-22 Toshiba Corp 不揮発性半導体記憶装置
JP2012204430A (ja) * 2011-03-24 2012-10-22 Toshiba Corp 不揮発性半導体記憶装置及びその製造方法
JP2012204684A (ja) 2011-03-25 2012-10-22 Toshiba Corp 不揮発性半導体記憶装置
JP5411193B2 (ja) * 2011-03-25 2014-02-12 株式会社東芝 不揮発性半導体記憶装置の製造方法
JP5351201B2 (ja) * 2011-03-25 2013-11-27 株式会社東芝 不揮発性半導体記憶装置及びその製造方法
JP2012204592A (ja) * 2011-03-25 2012-10-22 Toshiba Corp 半導体装置の製造方法
KR101206157B1 (ko) 2011-04-26 2012-11-28 에스케이하이닉스 주식회사 3차원 구조의 비휘발성 메모리 소자 및 그 제조 방법
JP2012252740A (ja) 2011-06-02 2012-12-20 Toshiba Corp 不揮発性半導体記憶装置
JP5524134B2 (ja) 2011-06-14 2014-06-18 株式会社東芝 不揮発性半導体記憶装置
JP2013012553A (ja) 2011-06-28 2013-01-17 Toshiba Corp 半導体記憶装置
JP5593283B2 (ja) 2011-08-04 2014-09-17 株式会社東芝 半導体記憶装置及びその製造方法
KR101863367B1 (ko) * 2011-08-26 2018-06-01 에스케이하이닉스 주식회사 3차원 불휘발성 메모리 소자의 제조방법
JP5487170B2 (ja) 2011-09-02 2014-05-07 株式会社東芝 半導体記憶装置
JP5514172B2 (ja) 2011-09-02 2014-06-04 株式会社東芝 不揮発性半導体記憶装置およびその製造方法
JP2013058592A (ja) 2011-09-08 2013-03-28 Toshiba Corp 不揮発性半導体記憶装置
KR101865566B1 (ko) * 2011-09-08 2018-06-11 삼성전자주식회사 수직형 메모리 장치의 제조 방법
JP2013058683A (ja) 2011-09-09 2013-03-28 Toshiba Corp 半導体記憶装置の製造方法
JP2013065636A (ja) 2011-09-15 2013-04-11 Toshiba Corp 不揮発性半導体記憶装置およびその製造方法
JP2013065604A (ja) 2011-09-15 2013-04-11 Toshiba Corp 半導体装置およびその製造方法
JP2013069356A (ja) 2011-09-20 2013-04-18 Toshiba Corp 半導体記憶装置
JP5543950B2 (ja) 2011-09-22 2014-07-09 株式会社東芝 不揮発性半導体記憶装置の製造方法及び不揮発性半導体記憶装置
JP5559120B2 (ja) 2011-09-22 2014-07-23 株式会社東芝 不揮発性半導体記憶装置
JP2013088862A (ja) * 2011-10-13 2013-05-13 Elpida Memory Inc レイアウトデータ作成装置及び半導体装置
JP2013098470A (ja) * 2011-11-04 2013-05-20 Toshiba Corp 半導体記憶装置及びその製造方法
JP2013120786A (ja) 2011-12-06 2013-06-17 Toshiba Corp 半導体記憶装置
US8917557B2 (en) 2011-12-15 2014-12-23 Kabushiki Kaisha Toshiba Nonvolatile semiconductor memory device
JP5740296B2 (ja) 2011-12-16 2015-06-24 株式会社東芝 半導体記憶装置、半導体記憶装置の制御方法、制御プログラム
KR20130070153A (ko) * 2011-12-19 2013-06-27 에스케이하이닉스 주식회사 반도체 장치의 캐패시터, 레지스터, 메모리 시스템 및 이들의 제조 방법
KR20130095499A (ko) 2012-02-20 2013-08-28 에스케이하이닉스 주식회사 비휘발성 메모리 장치, 그 동작 방법 및 그 제조 방법
JP2013183086A (ja) 2012-03-02 2013-09-12 Toshiba Corp 半導体装置及びその製造方法
JP2013187338A (ja) 2012-03-07 2013-09-19 Toshiba Corp 半導体装置及びその製造方法
JP2013187294A (ja) 2012-03-07 2013-09-19 Toshiba Corp 半導体記憶装置
US8878278B2 (en) 2012-03-21 2014-11-04 Sandisk Technologies Inc. Compact three dimensional vertical NAND and method of making thereof
US8847302B2 (en) 2012-04-10 2014-09-30 Sandisk Technologies Inc. Vertical NAND device with low capacitance and silicided word lines
US8828884B2 (en) 2012-05-23 2014-09-09 Sandisk Technologies Inc. Multi-level contact to a 3D memory array and method of making
JP2014002810A (ja) 2012-06-18 2014-01-09 Toshiba Corp 不揮発性半導体記憶装置
JP2014011389A (ja) 2012-07-02 2014-01-20 Toshiba Corp 半導体装置の製造方法及び半導体装置
JP2014013634A (ja) 2012-07-03 2014-01-23 Toshiba Corp 不揮発性半導体記憶装置及びその動作方法
US8658499B2 (en) 2012-07-09 2014-02-25 Sandisk Technologies Inc. Three dimensional NAND device and method of charge trap layer separation and floating gate formation in the NAND device
KR101990904B1 (ko) 2012-07-17 2019-06-19 삼성전자주식회사 수직형 반도체 소자
JP2014027181A (ja) 2012-07-27 2014-02-06 Toshiba Corp 半導体装置及びその製造方法
JP2014038672A (ja) 2012-08-13 2014-02-27 Toshiba Corp 半導体装置の不良解析システムおよび半導体記憶装置
US8614126B1 (en) 2012-08-15 2013-12-24 Sandisk Technologies Inc. Method of making a three-dimensional memory array with etch stop
KR20140025054A (ko) * 2012-08-21 2014-03-04 에스케이하이닉스 주식회사 비휘발성 메모리 장치 및 그 제조 방법
JP2014045128A (ja) 2012-08-28 2014-03-13 Toshiba Corp 半導体記憶装置及びその製造方法
US8729523B2 (en) 2012-08-31 2014-05-20 Micron Technology, Inc. Three dimensional memory array architecture
US8841649B2 (en) 2012-08-31 2014-09-23 Micron Technology, Inc. Three dimensional memory array architecture
US8884356B2 (en) 2012-09-05 2014-11-11 Kabushiki Kaisha Toshiba Nonvolatile semiconductor memory device and method for manufacturing same
US8969945B2 (en) 2012-09-05 2015-03-03 Kabushiki Kaisha Toshiba Nonvolatile semiconductor memory device
US20140077285A1 (en) * 2012-09-19 2014-03-20 Kabushiki Kaisha Toshiba Non-volatile semiconductor memory device and method for manufacturing non-volatile semiconductor memory device
JP2014063552A (ja) 2012-09-21 2014-04-10 Toshiba Corp 半導体記憶装置
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
KR20140063147A (ko) * 2012-11-16 2014-05-27 에스케이하이닉스 주식회사 반도체 장치 및 그 제조 방법
KR20140063144A (ko) 2012-11-16 2014-05-27 에스케이하이닉스 주식회사 반도체 장치 및 그 제조 방법
KR102021801B1 (ko) 2012-12-10 2019-09-17 삼성전자주식회사 3차원 반도체 장치
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US8946023B2 (en) 2013-03-12 2015-02-03 Sandisk Technologies Inc. Method of making a vertical NAND device using sequential etching of multilayer stacks
US9230987B2 (en) 2014-02-20 2016-01-05 Sandisk Technologies Inc. Multilevel memory stack structure and methods of manufacturing the same
US9515080B2 (en) 2013-03-12 2016-12-06 Sandisk Technologies Llc Vertical NAND and method of making thereof using sequential stack etching and landing pad
US9449982B2 (en) 2013-03-12 2016-09-20 Sandisk Technologies Llc Method of making a vertical NAND device using a sacrificial layer with air gap and sequential etching of multilayer stacks
US9698153B2 (en) 2013-03-12 2017-07-04 Sandisk Technologies Llc Vertical NAND and method of making thereof using sequential stack etching and self-aligned landing pad
JP2014179142A (ja) 2013-03-14 2014-09-25 Toshiba Corp 半導体記憶装置
JP6005566B2 (ja) 2013-03-18 2016-10-12 株式会社東芝 情報処理システム、制御プログラムおよび情報処理装置
JP2014183224A (ja) 2013-03-19 2014-09-29 Toshiba Corp 半導体記憶装置及びその製造方法
JP2014183304A (ja) 2013-03-19 2014-09-29 Toshiba Corp 不揮発性半導体記憶装置およびその製造方法
JP2014186763A (ja) 2013-03-21 2014-10-02 Toshiba Corp 不揮発性半導体記憶装置
US9032264B2 (en) 2013-03-21 2015-05-12 Kabushiki Kaisha Toshiba Test method for nonvolatile memory
JP2014187246A (ja) 2013-03-25 2014-10-02 Toshiba Corp 半導体装置及びその製造方法
JP2014186787A (ja) 2013-03-25 2014-10-02 Toshiba Corp 不揮発性半導体記憶装置、メモリコントローラ、及びメモリシステム
JP2014187329A (ja) 2013-03-25 2014-10-02 Toshiba Corp 半導体記憶装置の製造方法及び半導体記憶装置
US9093480B2 (en) 2013-04-01 2015-07-28 Sandisk Technologies Inc. Spacer passivation for high aspect ratio etching of multilayer stacks for three dimensional NAND device
US9099496B2 (en) 2013-04-01 2015-08-04 Sandisk Technologies Inc. Method of forming an active area with floating gate negative offset profile in FG NAND memory
KR20140132102A (ko) * 2013-05-07 2014-11-17 에스케이하이닉스 주식회사 반도체 메모리 장치 및 이의 동작 방법
US9472291B2 (en) 2013-05-16 2016-10-18 SK Hynix Inc. Semiconductor memory device and method of operating the same
KR20140135402A (ko) * 2013-05-16 2014-11-26 에스케이하이닉스 주식회사 반도체 메모리 장치 및 그것의 동작 방법
JP2014229740A (ja) 2013-05-22 2014-12-08 株式会社東芝 半導体記憶装置及びその製造方法
US9437606B2 (en) 2013-07-02 2016-09-06 Sandisk Technologies Llc Method of making a three-dimensional memory array with etch stop
US9252151B2 (en) 2013-07-08 2016-02-02 Sandisk Technologies Inc. Three dimensional NAND device with birds beak containing floating gates and method of making thereof
JP2015028982A (ja) 2013-07-30 2015-02-12 株式会社東芝 不揮発性記憶装置およびその製造方法
JP2015028966A (ja) * 2013-07-30 2015-02-12 株式会社東芝 半導体記憶装置及びその製造方法
KR102066925B1 (ko) * 2013-08-30 2020-01-16 삼성전자주식회사 반도체 장치 및 그 제조 방법
JP5898657B2 (ja) 2013-09-02 2016-04-06 株式会社東芝 不揮発性半導体記憶装置
JP2015053094A (ja) 2013-09-06 2015-03-19 株式会社東芝 半導体記憶装置
JP2015056443A (ja) 2013-09-10 2015-03-23 株式会社東芝 不揮発性記憶装置の製造方法
JP2015056452A (ja) 2013-09-10 2015-03-23 株式会社東芝 半導体記憶装置及びその製造方法
US9230653B2 (en) 2013-09-10 2016-01-05 Kabushiki Kaisha Toshiba Semiconductor memory device
JP2015056444A (ja) 2013-09-10 2015-03-23 株式会社東芝 不揮発性記憶装置およびその製造方法
US9230980B2 (en) 2013-09-15 2016-01-05 Sandisk Technologies Inc. Single-semiconductor-layer channel in a memory opening for a three-dimensional non-volatile memory device
US8987089B1 (en) 2013-09-17 2015-03-24 Sandisk Technologies Inc. Methods of fabricating a three-dimensional non-volatile memory device
US9460931B2 (en) 2013-09-17 2016-10-04 Sandisk Technologies Llc High aspect ratio memory hole channel contact formation
JP2015079802A (ja) 2013-10-15 2015-04-23 株式会社東芝 不揮発性半導体記憶装置
JP6139370B2 (ja) 2013-10-17 2017-05-31 株式会社東芝 不揮発性半導体記憶装置
KR20150047823A (ko) * 2013-10-25 2015-05-06 삼성전자주식회사 수직형 메모리 장치 및 그 제조 방법
JP2015097245A (ja) 2013-11-15 2015-05-21 株式会社東芝 不揮発性半導体記憶装置、及びメモリシステム
KR102139944B1 (ko) * 2013-11-26 2020-08-03 삼성전자주식회사 3차원 반도체 메모리 장치
WO2015092879A1 (ja) 2013-12-18 2015-06-25 株式会社 東芝 半導体記憶装置
US9449983B2 (en) 2013-12-19 2016-09-20 Sandisk Technologies Llc Three dimensional NAND device with channel located on three sides of lower select gate and method of making thereof
CN104766863B (zh) * 2014-01-06 2017-09-15 旺宏电子股份有限公司 三维存储器及其制造方法
US9230905B2 (en) 2014-01-08 2016-01-05 Sandisk 3D Llc Trench multilevel contact to a 3D memory array and method of making thereof
KR102125018B1 (ko) * 2014-01-23 2020-07-07 에스케이하이닉스 주식회사 반도체 장치 및 그 제조방법
JP2015149413A (ja) 2014-02-06 2015-08-20 株式会社東芝 半導体記憶装置及びその製造方法
CN104915707B (zh) 2014-03-10 2018-04-24 东芝存储器株式会社 半导体存储装置
US9343507B2 (en) 2014-03-12 2016-05-17 Sandisk 3D Llc Dual channel vertical field effect transistor including an embedded electrode
JP2015176910A (ja) 2014-03-13 2015-10-05 株式会社東芝 半導体メモリ
JP2015176923A (ja) 2014-03-13 2015-10-05 株式会社東芝 不揮発性半導体記憶装置
JP2015176309A (ja) 2014-03-14 2015-10-05 株式会社東芝 半導体記憶装置
US9331088B2 (en) 2014-03-25 2016-05-03 Sandisk 3D Llc Transistor device with gate bottom isolation and method of making thereof
US9224747B2 (en) 2014-03-26 2015-12-29 Sandisk Technologies Inc. Vertical NAND device with shared word line steps
US9331094B2 (en) 2014-04-30 2016-05-03 Sandisk Technologies Inc. Method of selective filling of memory openings
KR20150134934A (ko) 2014-05-23 2015-12-02 에스케이하이닉스 주식회사 3차원 불휘발성 메모리 장치와, 이를 포함하는 반도체 시스템과, 그 제조방법
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9548313B2 (en) * 2014-05-30 2017-01-17 Sandisk Technologies Llc Method of making a monolithic three dimensional NAND string using a select gate etch stop layer
KR20150139255A (ko) 2014-06-03 2015-12-11 에스케이하이닉스 주식회사 반도체 장치 및 그 제조방법
US9166032B1 (en) * 2014-06-24 2015-10-20 Kabushiki Kaisha Toshiba Non-volatile memory device
US9379124B2 (en) 2014-06-25 2016-06-28 Sandisk Technologies Inc. Vertical floating gate NAND with selectively deposited ALD metal films
US9768270B2 (en) 2014-06-25 2017-09-19 Sandisk Technologies Llc Method of selectively depositing floating gate material in a memory device
US9236395B1 (en) 2014-06-25 2016-01-12 Kabushiki Kaisha Toshiba Semiconductor memory device and method for manufacturing same
US9455263B2 (en) 2014-06-27 2016-09-27 Sandisk Technologies Llc Three dimensional NAND device with channel contacting conductive source line and method of making thereof
US9305932B2 (en) 2014-06-30 2016-04-05 Sandisk Technologies Inc. Methods of making three dimensional NAND devices
US9397107B2 (en) 2014-06-30 2016-07-19 Sandisk Technologies Llc Methods of making three dimensional NAND devices
US9177966B1 (en) 2014-07-08 2015-11-03 Sandisk Technologies Inc. Three dimensional NAND devices with air gap or low-k core
KR102234266B1 (ko) 2014-07-23 2021-04-02 삼성전자주식회사 반도체 장치 및 그 제조 방법
US9570460B2 (en) 2014-07-29 2017-02-14 Sandisk Technologies Llc Spacer passivation for high-aspect ratio opening film removal and cleaning
US9136130B1 (en) 2014-08-11 2015-09-15 Sandisk Technologies Inc. Three dimensional NAND string with discrete charge trap segments
US9356031B2 (en) 2014-08-11 2016-05-31 Sandisk Technologies Inc. Three dimensional NAND string memory devices with voids enclosed between control gate electrodes
US9583539B2 (en) 2014-08-19 2017-02-28 Sandisk Technologies Llc Word line connection for memory device and method of making thereof
US9230983B1 (en) 2014-08-20 2016-01-05 Sandisk Technologies Inc. Metal word lines for three dimensional memory devices
US9576975B2 (en) 2014-08-26 2017-02-21 Sandisk Technologies Llc Monolithic three-dimensional NAND strings and methods of fabrication thereof
US9401309B2 (en) 2014-08-26 2016-07-26 Sandisk Technologies Llc Multiheight contact via structures for a multilevel interconnect structure
US9236392B1 (en) 2014-08-26 2016-01-12 Sandisk Technologies Inc. Multiheight electrically conductive via contacts for a multilevel interconnect structure
US9601502B2 (en) * 2014-08-26 2017-03-21 Sandisk Technologies Llc Multiheight contact via structures for a multilevel interconnect structure
US9230974B1 (en) 2014-08-26 2016-01-05 Sandisk Technologies Inc. Methods of selective removal of blocking dielectric in NAND memory strings
JP2016054017A (ja) 2014-09-04 2016-04-14 株式会社東芝 半導体記憶装置
US9773803B2 (en) * 2014-09-08 2017-09-26 Toshiba Memory Corporation Non-volatile memory device and method of manufacturing same
US9917096B2 (en) * 2014-09-10 2018-03-13 Toshiba Memory Corporation Semiconductor memory device and method for manufacturing same
JP6203152B2 (ja) 2014-09-12 2017-09-27 東芝メモリ株式会社 半導体記憶装置の製造方法
US9431419B2 (en) 2014-09-12 2016-08-30 Kabushiki Kaisha Toshiba Semiconductor memory device and method for manufacturing same
CN105405849A (zh) * 2014-09-12 2016-03-16 旺宏电子股份有限公司 半导体元件
US10892269B2 (en) 2014-09-12 2021-01-12 Toshiba Memory Corporation Semiconductor memory device having a bonded circuit chip including a solid state drive controller connected to a control circuit
WO2016038743A1 (ja) 2014-09-12 2016-03-17 株式会社 東芝 不揮発性半導体記憶装置
JP6250506B2 (ja) 2014-09-16 2017-12-20 東芝メモリ株式会社 集積回路装置及びその製造方法
US9613713B2 (en) 2014-09-16 2017-04-04 Kabushiki Kaisha Toshiba Semiconductor memory device
JP2016062622A (ja) 2014-09-16 2016-04-25 株式会社東芝 半導体記憶装置及びその駆動方法
JP2016062623A (ja) 2014-09-16 2016-04-25 株式会社東芝 半導体記憶装置
US20160086968A1 (en) * 2014-09-18 2016-03-24 Macronix International Co., Ltd. Semiconductor device
US9666590B2 (en) 2014-09-24 2017-05-30 Sandisk Technologies Llc High stack 3D memory and method of making
US9515085B2 (en) 2014-09-26 2016-12-06 Sandisk Technologies Llc Vertical memory device with bit line air gap
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9305934B1 (en) 2014-10-17 2016-04-05 Sandisk Technologies Inc. Vertical NAND device containing peripheral devices on epitaxial semiconductor pedestal
US9230979B1 (en) 2014-10-31 2016-01-05 Sandisk Technologies Inc. High dielectric constant etch stop layer for a memory structure
US9236396B1 (en) 2014-11-12 2016-01-12 Sandisk Technologies Inc. Three dimensional NAND device and method of making thereof
US9305849B1 (en) 2014-11-12 2016-04-05 Sandisk Technologies Inc. Method of making a three dimensional NAND device
US9698152B2 (en) 2014-11-13 2017-07-04 Sandisk Technologies Llc Three-dimensional memory structure with multi-component contact via structure and method of making thereof
US9698223B2 (en) 2014-11-25 2017-07-04 Sandisk Technologies Llc Memory device containing stress-tunable control gate electrodes
US9570455B2 (en) 2014-11-25 2017-02-14 Sandisk Technologies Llc Metal word lines for three dimensional memory devices
US9496419B2 (en) 2014-11-25 2016-11-15 Sandisk Technologies Llc Ruthenium nucleation layer for control gate electrodes in a memory structure
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9553100B2 (en) 2014-12-04 2017-01-24 Sandisk Techologies Llc Selective floating gate semiconductor material deposition in a three-dimensional memory structure
US9754956B2 (en) 2014-12-04 2017-09-05 Sandisk Technologies Llc Uniform thickness blocking dielectric portions in a three-dimensional memory structure
US9793288B2 (en) 2014-12-04 2017-10-17 Sandisk Technologies Llc Methods of fabricating memory device with spaced-apart semiconductor charge storage regions
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9984963B2 (en) 2015-02-04 2018-05-29 Sandisk Technologies Llc Cobalt-containing conductive layers for control gate electrodes in a memory structure
US9780182B2 (en) 2015-02-04 2017-10-03 Sandisk Technologies Llc Molybdenum-containing conductive layers for control gate electrodes in a memory structure
US10741572B2 (en) 2015-02-04 2020-08-11 Sandisk Technologies Llc Three-dimensional memory device having multilayer word lines containing selectively grown cobalt or ruthenium and method of making the same
US9419058B1 (en) 2015-02-05 2016-08-16 Sandisk Technologies Llc Memory device with comb-shaped electrode having a plurality of electrode fingers and method of making thereof
US9356034B1 (en) 2015-02-05 2016-05-31 Sandisk Technologies Inc. Multilevel interconnect structure and methods of manufacturing the same
US9484296B2 (en) 2015-02-12 2016-11-01 Sandisk Technologies Llc Self-aligned integrated line and via structure for a three-dimensional semiconductor device
US9583615B2 (en) 2015-02-17 2017-02-28 Sandisk Technologies Llc Vertical transistor and local interconnect structure
US9698202B2 (en) 2015-03-02 2017-07-04 Sandisk Technologies Llc Parallel bit line three-dimensional resistive random access memory
JP2016162475A (ja) 2015-03-04 2016-09-05 株式会社東芝 半導体記憶装置
US9870945B2 (en) 2015-03-10 2018-01-16 Sandisk Technologies Llc Crystalline layer stack for forming conductive layers in a three-dimensional memory structure
US9412461B1 (en) 2015-03-10 2016-08-09 Kabushiki Kaisha Toshiba Nonvolatile semiconductor memory device
US10289480B2 (en) 2015-03-12 2019-05-14 Toshiba Memory Corporation Memory system
US9576665B2 (en) 2015-03-12 2017-02-21 Kabushiki Kaisha Toshiba Semiconductor memory device and memory system
JP2016170837A (ja) 2015-03-12 2016-09-23 株式会社東芝 半導体記憶装置
US9748337B2 (en) * 2015-03-12 2017-08-29 Kabushiki Kaisha Toshiba Semiconductor memory device
US9397109B1 (en) 2015-03-13 2016-07-19 Kabushiki Kaisha Toshiba Semiconductor memory device and method for manufacturing same
US9646988B2 (en) 2015-03-13 2017-05-09 Kabushiki Kaisha Toshiba Semiconductor memory device and method for manufacturing same
US9608001B2 (en) 2015-03-13 2017-03-28 Kabushiki Kaisha Toshiba Semiconductor memory device
JP2016171280A (ja) 2015-03-16 2016-09-23 株式会社東芝 半導体記憶装置の製造方法
US9530788B2 (en) 2015-03-17 2016-12-27 Sandisk Technologies Llc Metallic etch stop layer in a three-dimensional memory structure
US9799671B2 (en) 2015-04-07 2017-10-24 Sandisk Technologies Llc Three-dimensional integration schemes for reducing fluorine-induced electrical shorts
US9601508B2 (en) 2015-04-27 2017-03-21 Sandisk Technologies Llc Blocking oxide in memory opening integration scheme for three-dimensional memory structure
US9397046B1 (en) 2015-04-29 2016-07-19 Sandisk Technologies Llc Fluorine-free word lines for three-dimensional memory devices
US9627403B2 (en) 2015-04-30 2017-04-18 Sandisk Technologies Llc Multilevel memory stack structure employing support pillar structures
US9666281B2 (en) 2015-05-08 2017-05-30 Sandisk Technologies Llc Three-dimensional P-I-N memory device and method reading thereof using hole current detection
US10074661B2 (en) 2015-05-08 2018-09-11 Sandisk Technologies Llc Three-dimensional junction memory device and method reading thereof using hole current detection
JP2016225613A (ja) 2015-05-26 2016-12-28 株式会社半導体エネルギー研究所 半導体装置及び半導体装置の駆動方法
US9571101B2 (en) 2015-05-27 2017-02-14 Kabushiki Kaisha Toshiba Semiconductor device
US9443861B1 (en) 2015-05-28 2016-09-13 Sandisk Technologies Llc Fluorine-blocking insulating spacer for backside contact structure of three-dimensional memory structures
US9859422B2 (en) 2015-05-28 2018-01-02 Sandisk Technologies Llc Field effect transistor with elevated active regions and methods of manufacturing the same
US9811493B2 (en) 2015-05-29 2017-11-07 Toshiba Memory Corporation Semiconductor device
CN105047614A (zh) * 2015-06-07 2015-11-11 上海华虹宏力半导体制造有限公司 一种半导体存储器的制造方法
JP6453718B2 (ja) 2015-06-12 2019-01-16 東芝メモリ株式会社 半導体記憶装置及びメモリシステム
US9589981B2 (en) 2015-06-15 2017-03-07 Sandisk Technologies Llc Passive devices for integration with three-dimensional memory devices
US9646981B2 (en) 2015-06-15 2017-05-09 Sandisk Technologies Llc Passive devices for integration with three-dimensional memory devices
US9419012B1 (en) 2015-06-19 2016-08-16 Sandisk Technologies Llc Three-dimensional memory structure employing air gap isolation
US9356043B1 (en) 2015-06-22 2016-05-31 Sandisk Technologies Inc. Three-dimensional memory devices containing memory stack structures with position-independent threshold voltage
US9613977B2 (en) 2015-06-24 2017-04-04 Sandisk Technologies Llc Differential etch of metal oxide blocking dielectric layer for three-dimensional memory devices
US10622368B2 (en) 2015-06-24 2020-04-14 Sandisk Technologies Llc Three-dimensional memory device with semicircular metal-semiconductor alloy floating gate electrodes and methods of making thereof
TWI582962B (zh) * 2015-07-06 2017-05-11 Toshiba Kk Semiconductor memory device and manufacturing method thereof
US9530785B1 (en) 2015-07-21 2016-12-27 Sandisk Technologies Llc Three-dimensional memory devices having a single layer channel and methods of making thereof
US10147737B2 (en) 2015-07-21 2018-12-04 Toshiba Memory Corporation Semiconductor memory device and method for manufacturing same
US9627399B2 (en) 2015-07-24 2017-04-18 Sandisk Technologies Llc Three-dimensional memory device with metal and silicide control gates
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
JP6453729B2 (ja) 2015-08-17 2019-01-16 東芝メモリ株式会社 半導体記憶装置及びメモリシステム
US9543318B1 (en) 2015-08-21 2017-01-10 Sandisk Technologies Llc Three dimensional memory device with epitaxial semiconductor pedestal for peripheral transistors
US9449987B1 (en) * 2015-08-21 2016-09-20 Sandisk Technologies Llc Three dimensional memory device with epitaxial semiconductor pedestal for peripheral transistors
US9853043B2 (en) 2015-08-25 2017-12-26 Sandisk Technologies Llc Method of making a multilevel memory stack structure using a cavity containing a sacrificial fill material
US9502471B1 (en) 2015-08-25 2016-11-22 Sandisk Technologies Llc Multi tier three-dimensional memory devices including vertically shared bit lines
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US9780104B2 (en) 2015-09-10 2017-10-03 Toshiba Memory Corporation Semiconductor memory device and method of manufacturing the same
JP6400547B2 (ja) 2015-09-14 2018-10-03 東芝メモリ株式会社 メモリデバイス
US9842853B2 (en) 2015-09-14 2017-12-12 Toshiba Memory Corporation Memory cell array with improved substrate current pathway
US9576966B1 (en) 2015-09-21 2017-02-21 Sandisk Technologies Llc Cobalt-containing conductive layers for control gate electrodes in a memory structure
US9646975B2 (en) 2015-09-21 2017-05-09 Sandisk Technologies Llc Lateral stack of cobalt and a cobalt-semiconductor alloy for control gate electrodes in a memory structure
US9806089B2 (en) 2015-09-21 2017-10-31 Sandisk Technologies Llc Method of making self-assembling floating gate electrodes for a three-dimensional memory device
US9842907B2 (en) 2015-09-29 2017-12-12 Sandisk Technologies Llc Memory device containing cobalt silicide control gate electrodes and method of making thereof
US9419013B1 (en) 2015-10-08 2016-08-16 Samsung Electronics Co., Ltd. Semiconductor device and method of manufacturing the same
US9620512B1 (en) 2015-10-28 2017-04-11 Sandisk Technologies Llc Field effect transistor with a multilevel gate electrode for integration with a multilevel memory device
US9659955B1 (en) 2015-10-28 2017-05-23 Sandisk Technologies Llc Crystalinity-dependent aluminum oxide etching for self-aligned blocking dielectric in a memory structure
JP6509711B2 (ja) 2015-10-29 2019-05-08 東芝メモリ株式会社 不揮発性半導体記憶装置及びメモリシステム
US9793139B2 (en) 2015-10-29 2017-10-17 Sandisk Technologies Llc Robust nucleation layers for enhanced fluorine protection and stress reduction in 3D NAND word lines
US9899399B2 (en) 2015-10-30 2018-02-20 Sandisk Technologies Llc 3D NAND device with five-folded memory stack structure configuration
KR102408648B1 (ko) 2015-11-05 2022-06-14 에스케이하이닉스 주식회사 3차원 비휘발성 메모리 장치
WO2017081756A1 (ja) 2015-11-10 2017-05-18 株式会社 東芝 半導体記憶装置
US20170148812A1 (en) * 2015-11-19 2017-05-25 Fu-Chang Hsu Methods and apparatus for a 3d array inside a substrate trench
US9799670B2 (en) 2015-11-20 2017-10-24 Sandisk Technologies Llc Three dimensional NAND device containing dielectric pillars for a buried source line and method of making thereof
US9831266B2 (en) 2015-11-20 2017-11-28 Sandisk Technologies Llc Three-dimensional NAND device containing support pedestal structures for a buried source line and method of making the same
US9917100B2 (en) 2015-11-20 2018-03-13 Sandisk Technologies Llc Three-dimensional NAND device containing support pedestal structures for a buried source line and method of making the same
US9548127B1 (en) 2015-12-28 2017-01-17 Kabushiki Kaisha Toshiba Memory system
US9780105B2 (en) * 2015-12-30 2017-10-03 Toshiba Memory Corporation Semiconductor memory device including a plurality of columnar structures and a plurality of electrode films
US9876028B2 (en) 2016-01-11 2018-01-23 Toshiba Memory Corporation Semiconductor memory device and method of manufacturing the same
WO2017122302A1 (ja) 2016-01-13 2017-07-20 東芝メモリ株式会社 半導体記憶装置
US9646880B1 (en) * 2016-01-14 2017-05-09 Sandisk Technologies Llc Monolithic three dimensional memory arrays formed using sacrificial polysilicon pillars
JP6495838B2 (ja) * 2016-01-27 2019-04-03 東芝メモリ株式会社 半導体記憶装置及びその製造方法
US9589839B1 (en) 2016-02-01 2017-03-07 Sandisk Technologies Llc Method of reducing control gate electrode curvature in three-dimensional memory devices
US9754820B2 (en) 2016-02-01 2017-09-05 Sandisk Technologies Llc Three-dimensional memory device containing an aluminum oxide etch stop layer for backside contact structure and method of making thereof
US9673213B1 (en) 2016-02-15 2017-06-06 Sandisk Technologies Llc Three dimensional memory device with peripheral devices under dummy dielectric layer stack and method of making thereof
JP6581012B2 (ja) 2016-02-17 2019-09-25 東芝メモリ株式会社 半導体記憶装置及びその製造方法
US9595535B1 (en) 2016-02-18 2017-03-14 Sandisk Technologies Llc Integration of word line switches with word line contact via structures
US9721663B1 (en) 2016-02-18 2017-08-01 Sandisk Technologies Llc Word line decoder circuitry under a three-dimensional memory array
JP2017157257A (ja) 2016-03-01 2017-09-07 東芝メモリ株式会社 半導体記憶装置及びメモリシステム
JP6545631B2 (ja) 2016-03-02 2019-07-17 東芝メモリ株式会社 不揮発性半導体記憶装置
JP6506197B2 (ja) 2016-03-11 2019-04-24 東芝メモリ株式会社 半導体記憶装置
US9985044B2 (en) 2016-03-11 2018-05-29 Toshiba Memory Corporation Semiconductor memory device and method for manufacturing the same
US9934847B2 (en) 2016-03-11 2018-04-03 Toshiba Memory Corporation Memory system storing 4-bit data in each memory cell and method of controlling thereof including soft bit information
JP6538597B2 (ja) 2016-03-14 2019-07-03 東芝メモリ株式会社 記憶装置
JP2017168156A (ja) 2016-03-14 2017-09-21 東芝メモリ株式会社 半導体記憶装置
JP2017168155A (ja) 2016-03-14 2017-09-21 東芝メモリ株式会社 半導体記憶装置
JP6433933B2 (ja) 2016-03-14 2018-12-05 東芝メモリ株式会社 半導体記憶装置及びメモリシステム
JP6441250B2 (ja) 2016-03-15 2018-12-19 東芝メモリ株式会社 半導体記憶装置
US9818754B2 (en) 2016-03-15 2017-11-14 Toshiba Memory Corporation Semiconductor memory device and method for manufacturing same
US9847345B2 (en) * 2016-03-18 2017-12-19 Toshiba Memory Corporation Semiconductor memory device and method of manufacturing the same
US9865612B2 (en) 2016-03-22 2018-01-09 Toshiba Memory Corporation Semiconductor memory device and method of manufacturing the same
US10224104B2 (en) 2016-03-23 2019-03-05 Sandisk Technologies Llc Three dimensional NAND memory device with common bit line for multiple NAND strings in each memory block
US10355015B2 (en) 2016-03-23 2019-07-16 Sandisk Technologies Llc Three-dimensional NAND memory device with common bit line for multiple NAND strings in each memory block
US9711530B1 (en) 2016-03-25 2017-07-18 Sandisk Technologies Llc Locally-trap-characteristic-enhanced charge trap layer for three-dimensional memory structures
US9812463B2 (en) 2016-03-25 2017-11-07 Sandisk Technologies Llc Three-dimensional memory device containing vertically isolated charge storage regions and method of making thereof
JP2017195275A (ja) 2016-04-20 2017-10-26 東芝メモリ株式会社 半導体記憶装置およびその製造方法
US9691786B1 (en) 2016-04-29 2017-06-27 Kabushiki Kaisha Toshiba Semiconductor memory device
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9728547B1 (en) 2016-05-19 2017-08-08 Sandisk Technologies Llc Three-dimensional memory device with aluminum-containing etch stop layer for backside contact structure and method of making thereof
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
JP2017208152A (ja) 2016-05-20 2017-11-24 東芝メモリ株式会社 半導体記憶装置及びメモリシステム
KR20170131121A (ko) * 2016-05-20 2017-11-29 삼성전자주식회사 반도체 소자
US9985046B2 (en) 2016-06-13 2018-05-29 Sandisk Technologies Llc Method of forming a staircase in a semiconductor device using a linear alignment control feature
JP2017224370A (ja) 2016-06-15 2017-12-21 東芝メモリ株式会社 半導体記憶装置及びメモリシステム
JP6659478B2 (ja) 2016-06-17 2020-03-04 キオクシア株式会社 半導体記憶装置
US10121794B2 (en) 2016-06-20 2018-11-06 Sandisk Technologies Llc Three-dimensional memory device having epitaxial germanium-containing vertical channel and method of making thereof
US10355139B2 (en) 2016-06-28 2019-07-16 Sandisk Technologies Llc Three-dimensional memory device with amorphous barrier layer and method of making thereof
US10361213B2 (en) 2016-06-28 2019-07-23 Sandisk Technologies Llc Three dimensional memory device containing multilayer wordline barrier films and method of making thereof
US9978768B2 (en) 2016-06-29 2018-05-22 Sandisk Technologies Llc Method of making three-dimensional semiconductor memory device having laterally undulating memory films
US9659866B1 (en) 2016-07-08 2017-05-23 Sandisk Technologies Llc Three-dimensional memory structures with low source line resistance
US10381372B2 (en) 2016-07-13 2019-08-13 Sandisk Technologies Llc Selective tungsten growth for word lines of a three-dimensional memory device
US10529620B2 (en) 2016-07-13 2020-01-07 Sandisk Technologies Llc Three-dimensional memory device containing word lines formed by selective tungsten growth on nucleation controlling surfaces and methods of manufacturing the same
WO2018011926A1 (ja) 2016-07-13 2018-01-18 東芝メモリ株式会社 記憶装置
US9748266B1 (en) 2016-07-20 2017-08-29 Sandisk Technologies Llc Three-dimensional memory device with select transistor having charge trapping gate dielectric layer and methods of making and operating thereof
US9953993B2 (en) * 2016-07-25 2018-04-24 Toshiba Memory Corporation Semiconductor memory device
US9824966B1 (en) 2016-08-12 2017-11-21 Sandisk Technologies Llc Three-dimensional memory device containing a lateral source contact and method of making the same
US9805805B1 (en) 2016-08-23 2017-10-31 Sandisk Technologies Llc Three-dimensional memory device with charge carrier injection wells for vertical channels and method of making and using thereof
JP2018041518A (ja) 2016-09-06 2018-03-15 東芝メモリ株式会社 メモリデバイス
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
JP2018045387A (ja) 2016-09-13 2018-03-22 東芝メモリ株式会社 メモリシステム
US10593398B2 (en) 2016-09-13 2020-03-17 Toshiba Memory Corporation Semiconductor storage device including a controller configured to execute a first write and a second write
US10310942B2 (en) 2016-09-20 2019-06-04 Toshiba Memory Corporation Memory system
US10297338B2 (en) 2016-09-20 2019-05-21 Toshiba Memory Corporation Memory system
CN115955842A (zh) 2016-09-21 2023-04-11 铠侠股份有限公司 半导体装置
CN109791792B (zh) 2016-09-23 2023-08-22 铠侠股份有限公司 存储装置
WO2018055734A1 (ja) 2016-09-23 2018-03-29 東芝メモリ株式会社 メモリデバイス
JP6674361B2 (ja) 2016-09-29 2020-04-01 キオクシア株式会社 メモリシステム
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10050054B2 (en) 2016-10-05 2018-08-14 Sandisk Technologies Llc Three-dimensional memory device having drain select level isolation structure and method of making thereof
US10622089B2 (en) 2016-10-18 2020-04-14 Toshiba Memory Corporation Storage system having a host that manages physical data locations of storage device
US10853233B2 (en) 2016-10-18 2020-12-01 Toshiba Memory Corporation Reconstruction of address mapping in a host of a storage system
US9881929B1 (en) 2016-10-27 2018-01-30 Sandisk Technologies Llc Multi-tier memory stack structure containing non-overlapping support pillar structures and method of making thereof
US9929174B1 (en) 2016-10-28 2018-03-27 Sandisk Technologies Llc Three-dimensional memory device having non-uniform spacing among memory stack structures and method of making thereof
US10008570B2 (en) 2016-11-03 2018-06-26 Sandisk Technologies Llc Bulb-shaped memory stack structures for direct source contact in three-dimensional memory device
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US9972640B1 (en) * 2016-11-17 2018-05-15 Sandisk Technologies Llc Three-dimensional memory device with self-aligned drain side select gate electrodes and method of making thereof
US9972641B1 (en) * 2016-11-17 2018-05-15 Sandisk Technologies Llc Three-dimensional memory device having a multilevel drain select gate electrode and method of making thereof
US10083982B2 (en) * 2016-11-17 2018-09-25 Sandisk Technologies Llc Three-dimensional memory device having select gate electrode that is thicker than word lines and method of making thereof
US9991277B1 (en) 2016-11-28 2018-06-05 Sandisk Technologies Llc Three-dimensional memory device with discrete self-aligned charge storage elements and method of making thereof
US9876031B1 (en) 2016-11-30 2018-01-23 Sandisk Technologies Llc Three-dimensional memory device having passive devices at a buried source line level and method of making thereof
US10056399B2 (en) 2016-12-22 2018-08-21 Sandisk Technologies Llc Three-dimensional memory devices containing inter-tier dummy memory cells and methods of making the same
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
JP6783666B2 (ja) 2017-01-05 2020-11-11 キオクシア株式会社 半導体記憶装置及びメモリシステム
US10032908B1 (en) 2017-01-06 2018-07-24 Sandisk Technologies Llc Multi-gate vertical field effect transistor with channel strips laterally confined by gate dielectric layers, and method of making thereof
JP2018125052A (ja) 2017-01-31 2018-08-09 東芝メモリ株式会社 半導体記憶装置
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10319739B2 (en) * 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
JP6856400B2 (ja) 2017-02-20 2021-04-07 キオクシア株式会社 半導体記憶装置及びメモリシステム
US10115735B2 (en) 2017-02-24 2018-10-30 Sandisk Technologies Llc Semiconductor device containing multilayer titanium nitride diffusion barrier and method of making thereof
JP6783682B2 (ja) 2017-02-27 2020-11-11 キオクシア株式会社 半導体記憶装置及びメモリシステム
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
JP2018156702A (ja) 2017-03-16 2018-10-04 東芝メモリ株式会社 半導体記憶装置及びメモリシステム
JP2018156717A (ja) 2017-03-21 2018-10-04 東芝メモリ株式会社 半導体記憶装置
JP2018160295A (ja) 2017-03-22 2018-10-11 東芝メモリ株式会社 半導体記憶装置
JP2018164151A (ja) 2017-03-24 2018-10-18 東芝メモリ株式会社 分周回路
JP2018163963A (ja) * 2017-03-24 2018-10-18 東芝メモリ株式会社 半導体装置及びその製造方法
JP2018163719A (ja) 2017-03-24 2018-10-18 東芝メモリ株式会社 半導体デバイス
JP2018163709A (ja) 2017-03-24 2018-10-18 東芝メモリ株式会社 メモリシステム
US9960180B1 (en) 2017-03-27 2018-05-01 Sandisk Technologies Llc Three-dimensional memory device with partially discrete charge storage regions and method of making thereof
JP2018163724A (ja) 2017-03-27 2018-10-18 東芝メモリ株式会社 メモリシステム
JP2018163723A (ja) 2017-03-27 2018-10-18 東芝メモリ株式会社 メモリデバイス及びメモリシステム
US20180331117A1 (en) 2017-05-12 2018-11-15 Sandisk Technologies Llc Multilevel memory stack structure with tapered inter-tier joint region and methods of making thereof
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
KR20240015740A (ko) 2017-06-02 2024-02-05 가부시키가이샤 한도오따이 에네루기 켄큐쇼 반도체 장치, 전자 부품, 및 전자 기기
WO2018224911A1 (ja) 2017-06-08 2018-12-13 株式会社半導体エネルギー研究所 半導体装置及び半導体装置の駆動方法
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10593693B2 (en) 2017-06-16 2020-03-17 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method for manufacturing semiconductor device
US10224340B2 (en) 2017-06-19 2019-03-05 Sandisk Technologies Llc Three-dimensional memory device having discrete direct source strap contacts and method of making thereof
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10438964B2 (en) 2017-06-26 2019-10-08 Sandisk Technologies Llc Three-dimensional memory device having direct source contact and metal oxide blocking dielectric and method of making thereof
KR20240037362A (ko) 2017-06-27 2024-03-21 가부시키가이샤 한도오따이 에네루기 켄큐쇼 반도체 장치, 반도체 웨이퍼, 기억 장치, 및 전자 기기
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10665604B2 (en) 2017-07-21 2020-05-26 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device, semiconductor wafer, memory device, and electronic device
JP2019029045A (ja) 2017-07-26 2019-02-21 東芝メモリ株式会社 半導体記憶装置
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
JP2019040655A (ja) 2017-08-28 2019-03-14 東芝メモリ株式会社 メモリシステム
US10461125B2 (en) 2017-08-29 2019-10-29 Micron Technology, Inc. Three dimensional memory arrays
CN107658309B (zh) * 2017-08-31 2019-01-01 长江存储科技有限责任公司 一种三维存储器阵列的多级接触及其制造方法
JP2019046530A (ja) 2017-09-07 2019-03-22 東芝メモリ株式会社 メモリシステム
JP2019053795A (ja) 2017-09-13 2019-04-04 東芝メモリ株式会社 メモリシステム
JP2019053796A (ja) 2017-09-14 2019-04-04 東芝メモリ株式会社 半導体記憶装置
JP2019053798A (ja) 2017-09-14 2019-04-04 東芝メモリ株式会社 半導体記憶装置
JP6875236B2 (ja) 2017-09-14 2021-05-19 キオクシア株式会社 半導体記憶装置
JP6818664B2 (ja) 2017-09-14 2021-01-20 キオクシア株式会社 半導体記憶装置
JP2019057345A (ja) 2017-09-20 2019-04-11 東芝メモリ株式会社 半導体記憶装置
JP2019057346A (ja) 2017-09-20 2019-04-11 東芝メモリ株式会社 メモリシステム
JP2019057074A (ja) 2017-09-20 2019-04-11 東芝メモリ株式会社 メモリシステム
JP2019057352A (ja) 2017-09-21 2019-04-11 東芝メモリ株式会社 メモリシステム
US10453798B2 (en) 2017-09-27 2019-10-22 Sandisk Technologies Llc Three-dimensional memory device with gated contact via structures and method of making thereof
US10115459B1 (en) 2017-09-29 2018-10-30 Sandisk Technologies Llc Multiple liner interconnects for three dimensional memory devices and method of making thereof
CN109860199B (zh) * 2017-11-30 2021-07-16 旺宏电子股份有限公司 存储器元件及其操作方法
CN107887395B (zh) * 2017-11-30 2018-12-14 长江存储科技有限责任公司 Nand存储器及其制备方法
KR102465936B1 (ko) 2017-11-30 2022-11-10 삼성전자주식회사 수직형 메모리 장치
US10229931B1 (en) 2017-12-05 2019-03-12 Sandisk Technologies Llc Three-dimensional memory device containing fluorine-free tungsten—word lines and methods of manufacturing the same
JP2019109952A (ja) 2017-12-19 2019-07-04 東芝メモリ株式会社 半導体記憶装置
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
JP2019114758A (ja) 2017-12-26 2019-07-11 東芝メモリ株式会社 半導体メモリ
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10373969B2 (en) 2018-01-09 2019-08-06 Sandisk Technologies Llc Three-dimensional memory device including partially surrounding select gates and fringe field assisted programming thereof
US10510738B2 (en) 2018-01-17 2019-12-17 Sandisk Technologies Llc Three-dimensional memory device having support-die-assisted source power distribution and method of making thereof
US10283493B1 (en) 2018-01-17 2019-05-07 Sandisk Technologies Llc Three-dimensional memory device containing bonded memory die and peripheral logic die and method of making thereof
US10256247B1 (en) 2018-02-08 2019-04-09 Sandisk Technologies Llc Three-dimensional memory device with silicided word lines, air gap layers and discrete charge storage elements, and method of making thereof
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
JP7051484B2 (ja) 2018-02-22 2022-04-11 キオクシア株式会社 半導体メモリ
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
JP2019160922A (ja) * 2018-03-09 2019-09-19 東芝メモリ株式会社 半導体装置
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
JP2019161059A (ja) 2018-03-14 2019-09-19 東芝メモリ株式会社 半導体記憶装置
US11217532B2 (en) 2018-03-14 2022-01-04 Sandisk Technologies Llc Three-dimensional memory device containing compositionally graded word line diffusion barrier layer for and methods of forming the same
JP2019160379A (ja) 2018-03-16 2019-09-19 東芝メモリ株式会社 半導体記憶装置及びメモリシステム
US10504961B2 (en) * 2018-03-16 2019-12-10 Micron Technology, Inc. Methods of forming integrated circuitry
JP2019164850A (ja) 2018-03-19 2019-09-26 東芝メモリ株式会社 メモリシステム
JP2019164858A (ja) 2018-03-19 2019-09-26 東芝メモリ株式会社 メモリシステム
JP2019164865A (ja) 2018-03-20 2019-09-26 東芝メモリ株式会社 メモリシステム
JP2019169568A (ja) 2018-03-22 2019-10-03 東芝メモリ株式会社 半導体装置
US10355017B1 (en) 2018-03-23 2019-07-16 Sandisk Technologies Llc CMOS devices containing asymmetric contact via structures and method of making the same
US10770459B2 (en) 2018-03-23 2020-09-08 Sandisk Technologies Llc CMOS devices containing asymmetric contact via structures
KR102614654B1 (ko) 2018-03-29 2023-12-19 삼성전자주식회사 3차원 반도체 메모리 장치
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10756186B2 (en) 2018-04-12 2020-08-25 Sandisk Technologies Llc Three-dimensional memory device including germanium-containing vertical channels and method of making the same
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10381322B1 (en) 2018-04-23 2019-08-13 Sandisk Technologies Llc Three-dimensional memory device containing self-aligned interlocking bonded structure and method of making the same
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
JP2019212350A (ja) 2018-06-01 2019-12-12 東芝メモリ株式会社 半導体メモリ
US10535673B2 (en) * 2018-06-04 2020-01-14 Macronix International Co., Ltd. High-density flash memory device and method of manufacturing the same
WO2019244373A1 (ja) 2018-06-19 2019-12-26 キオクシア株式会社 メモリデバイス
JP7074583B2 (ja) 2018-06-26 2022-05-24 キオクシア株式会社 半導体記憶装置
JP2020004470A (ja) 2018-06-29 2020-01-09 キオクシア株式会社 半導体記憶装置
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
JP2020009904A (ja) 2018-07-09 2020-01-16 キオクシア株式会社 半導体メモリ
JP2020013889A (ja) * 2018-07-18 2020-01-23 キオクシア株式会社 半導体記憶装置
JP2020017572A (ja) 2018-07-23 2020-01-30 キオクシア株式会社 半導体メモリ及び半導体メモリの製造方法
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10763273B2 (en) * 2018-08-23 2020-09-01 Macronix International Co., Ltd. Vertical GAA flash memory including two-transistor memory cells
JP2020035504A (ja) 2018-08-30 2020-03-05 キオクシア株式会社 メモリシステム
JP2020038738A (ja) 2018-09-03 2020-03-12 キオクシア株式会社 不揮発性メモリ及びメモリシステム
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
JP2020047322A (ja) 2018-09-14 2020-03-26 キオクシア株式会社 メモリシステム
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
JP7158965B2 (ja) 2018-09-14 2022-10-24 キオクシア株式会社 メモリシステム
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
JP2020047337A (ja) 2018-09-18 2020-03-26 キオクシア株式会社 メモリシステム
JP2020047806A (ja) 2018-09-20 2020-03-26 キオクシア株式会社 半導体記憶装置
JP2020047814A (ja) 2018-09-20 2020-03-26 キオクシア株式会社 半導体記憶装置
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
CN112655044B (zh) 2018-11-06 2023-12-19 铠侠股份有限公司 半导体存储装置
KR102629478B1 (ko) 2018-11-21 2024-01-26 에스케이하이닉스 주식회사 반도체 장치 및 그 제조방법
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
JP2020087495A (ja) 2018-11-29 2020-06-04 キオクシア株式会社 半導体メモリ
JP2020092168A (ja) * 2018-12-05 2020-06-11 キオクシア株式会社 半導体記憶装置
JP2020095766A (ja) 2018-12-11 2020-06-18 キオクシア株式会社 半導体記憶装置
KR102638740B1 (ko) 2018-12-12 2024-02-22 삼성전자주식회사 3차원 반도체 메모리 소자
JP2020098655A (ja) * 2018-12-17 2020-06-25 キオクシア株式会社 半導体記憶装置
JP7195913B2 (ja) 2018-12-19 2022-12-26 キオクシア株式会社 半導体記憶装置
JP2020102290A (ja) 2018-12-21 2020-07-02 キオクシア株式会社 半導体記憶装置
JP7159036B2 (ja) 2018-12-25 2022-10-24 キオクシア株式会社 メモリデバイス
JP2020102293A (ja) 2018-12-25 2020-07-02 キオクシア株式会社 半導体記憶装置
US11011239B2 (en) 2018-12-27 2021-05-18 Kioxia Corporation Semiconductor memory
JP2020107376A (ja) 2018-12-27 2020-07-09 キオクシア株式会社 メモリシステム
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
WO2020163005A1 (en) * 2019-02-05 2020-08-13 Sandisk Technologies Llc Three-dimensional memory device with laterally pegged dielectric cores or a carbon-doped source contact layer and methods for making the same
JP2020136644A (ja) 2019-02-26 2020-08-31 キオクシア株式会社 半導体記憶装置
US10879260B2 (en) 2019-02-28 2020-12-29 Sandisk Technologies Llc Bonded assembly of a support die and plural memory dies containing laterally shifted vertical interconnections and methods for making the same
JP2020150147A (ja) 2019-03-14 2020-09-17 キオクシア株式会社 半導体記憶装置
JP2020150234A (ja) 2019-03-15 2020-09-17 キオクシア株式会社 半導体記憶装置
JP2020150218A (ja) 2019-03-15 2020-09-17 キオクシア株式会社 半導体記憶装置
JP2020155664A (ja) * 2019-03-22 2020-09-24 キオクシア株式会社 半導体記憶装置
JP2020155185A (ja) 2019-03-22 2020-09-24 キオクシア株式会社 半導体記憶装置
CN110896672B (zh) * 2019-03-29 2021-05-25 长江存储科技有限责任公司 三维存储器件及其制造方法
KR20200126686A (ko) * 2019-04-30 2020-11-09 에스케이하이닉스 주식회사 반도체 장치의 제조 방법
KR102637645B1 (ko) 2019-05-17 2024-02-19 삼성전자주식회사 반도체 장치
US11043537B2 (en) 2019-06-13 2021-06-22 Western Digital Technologies, Inc. Three-dimensional phase change memory device including vertically constricted current paths and methods of manufacturing the same
US10964752B2 (en) * 2019-06-13 2021-03-30 Western Digital Technologies, Inc. Three-dimensional memory device including laterally constricted current paths and methods of manufacturing the same
JP2020205387A (ja) * 2019-06-19 2020-12-24 キオクシア株式会社 半導体記憶装置及びその製造方法
JP2020205003A (ja) 2019-06-19 2020-12-24 キオクシア株式会社 メモリシステム、メモリコントローラ、及び半導体記憶装置
KR20210002138A (ko) 2019-06-20 2021-01-07 삼성전자주식회사 확장부를 갖는 채널 구조체를 포함하는 3차원 플래시 메모리 소자
JP2021028950A (ja) 2019-08-09 2021-02-25 キオクシア株式会社 半導体記憶装置
JP2021040009A (ja) 2019-09-02 2021-03-11 キオクシア株式会社 半導体記憶装置及びその製造方法
JP2021039810A (ja) 2019-09-04 2021-03-11 キオクシア株式会社 メモリシステム
JP2021047941A (ja) 2019-09-17 2021-03-25 キオクシア株式会社 メモリシステム及びメモリシステムの制御方法
JP2021048167A (ja) 2019-09-17 2021-03-25 キオクシア株式会社 半導体記憶装置
JP2021048230A (ja) 2019-09-18 2021-03-25 キオクシア株式会社 半導体記憶装置
JP2021047953A (ja) 2019-09-19 2021-03-25 キオクシア株式会社 半導体記憶装置
KR20210082299A (ko) 2019-12-24 2021-07-05 삼성전자주식회사 반도체 장치
JP2021118252A (ja) * 2020-01-24 2021-08-10 キオクシア株式会社 半導体装置およびその製造方法
JP2021150409A (ja) * 2020-03-17 2021-09-27 キオクシア株式会社 半導体記憶装置
JP2021150408A (ja) * 2020-03-17 2021-09-27 キオクシア株式会社 半導体記憶装置
JP2021153080A (ja) 2020-03-24 2021-09-30 キオクシア株式会社 半導体記憶装置
JP2021190150A (ja) 2020-06-02 2021-12-13 キオクシア株式会社 メモリシステム及びメモリコントローラ
JP2022020957A (ja) 2020-07-21 2022-02-02 キオクシア株式会社 メモリシステム及び半導体記憶装置
JP2023526476A (ja) * 2020-07-31 2023-06-21 長江存儲科技有限責任公司 コンタクト構造体を形成するための方法およびその半導体デバイス
JP2022146585A (ja) 2021-03-22 2022-10-05 キオクシア株式会社 メモリシステム
CN113327927B (zh) * 2021-05-12 2023-08-08 长江存储科技有限责任公司 三维存储器的制作方法及三维存储器
US11848332B2 (en) * 2021-07-23 2023-12-19 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and manufacturing method thereof
CN117981488A (zh) * 2021-09-09 2024-05-03 铠侠股份有限公司 半导体存储装置

Family Cites Families (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US386889A (en) * 1888-07-31 mallen
US356791A (en) * 1887-02-01 Butter-worker
US385550A (en) * 1888-07-03 N peters
US356991A (en) * 1887-02-01 Machine for mixing soap and other substances
US386871A (en) * 1888-07-31 Switch for overhanging tracks
JP3229012B2 (ja) 1992-05-21 2001-11-12 株式会社東芝 半導体装置の製造方法
JP3651689B2 (ja) * 1993-05-28 2005-05-25 株式会社東芝 Nand型不揮発性半導体記憶装置及びその製造方法
JP3285438B2 (ja) * 1993-10-29 2002-05-27 三菱電機株式会社 半導体記憶装置
KR0165398B1 (ko) 1995-05-26 1998-12-15 윤종용 버티칼 트랜지스터의 제조방법
JPH1093083A (ja) * 1996-09-18 1998-04-10 Toshiba Corp 半導体装置の製造方法
FR2792632B1 (fr) * 1999-04-22 2004-02-13 Inst Francais Du Petrole Procede de production de paraxylene comprenant une etape d'adsorption, une etape d'isomerisation en phase liquide et une etape d'isomerisation en phase gazeuse avec une zeolithe de type eu0
JP2001102549A (ja) * 2000-08-28 2001-04-13 Toshiba Corp 半導体記憶装置
US8421143B2 (en) * 2000-09-26 2013-04-16 Kabushiki Kaisha Toshiba Nonvolatile semiconductor memory device having element isolating region of trench type
JP3963678B2 (ja) * 2001-06-23 2007-08-22 富士雄 舛岡 半導体記憶装置の製造方法
EP1271652A3 (en) * 2001-06-22 2004-05-06 Fujio Masuoka A semiconductor memory and its production process
US7378702B2 (en) * 2004-06-21 2008-05-27 Sang-Yun Lee Vertical memory device structures
JP2006128390A (ja) 2004-10-28 2006-05-18 Toshiba Corp 半導体装置及びその製造方法
FR2883283B1 (fr) * 2005-03-16 2007-05-18 Inst Francais Du Petrole Procede de production combinee de paraxylene et de benzene de productivite amelioree
JP2006310651A (ja) * 2005-04-28 2006-11-09 Toshiba Corp 半導体装置の製造方法
JP5016832B2 (ja) 2006-03-27 2012-09-05 株式会社東芝 不揮発性半導体記憶装置及びその製造方法
JP2007317874A (ja) * 2006-05-25 2007-12-06 Toshiba Corp 不揮発性半導体記憶装置
TWI466269B (zh) * 2006-07-14 2014-12-21 Semiconductor Energy Lab 非揮發性記憶體
JP4843413B2 (ja) 2006-08-28 2011-12-21 株式会社日立ハイテクノロジーズ 電子線検査装置及び電子線検査方法
US8779495B2 (en) * 2007-04-19 2014-07-15 Qimonda Ag Stacked SONOS memory
JP4468433B2 (ja) 2007-11-30 2010-05-26 株式会社東芝 不揮発性半導体記憶装置
JP5142692B2 (ja) * 2007-12-11 2013-02-13 株式会社東芝 不揮発性半導体記憶装置
JP2009212280A (ja) 2008-03-04 2009-09-17 Toshiba Corp 不揮発性半導体記憶装置の製造方法
US7732891B2 (en) 2008-06-03 2010-06-08 Kabushiki Kaisha Toshiba Semiconductor device
JP5288936B2 (ja) 2008-08-12 2013-09-11 株式会社東芝 不揮発性半導体記憶装置
JP5395460B2 (ja) * 2009-02-25 2014-01-22 株式会社東芝 不揮発性半導体記憶装置、及びその製造方法
JP2011040706A (ja) * 2009-07-15 2011-02-24 Toshiba Corp 不揮発性半導体記憶装置
JP2011054802A (ja) * 2009-09-02 2011-03-17 Toshiba Corp 不揮発性半導体記憶装置、及びその製造方法
DE102013102221B4 (de) 2013-03-06 2014-11-13 Schott Ag Kratzfester Glasgegenstand und Verfahren zur Herstellung kratzfester Oberflächen von Glasgegenständen

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101068343B1 (ko) * 2008-11-10 2011-09-28 가부시끼가이샤 도시바 비휘발성 반도체 메모리 장치 및 그 제조 방법
KR101149619B1 (ko) * 2010-11-19 2012-05-25 에스케이하이닉스 주식회사 3차원 구조의 비휘발성 메모리 소자 및 그 제조 방법
US8654579B2 (en) 2010-11-19 2014-02-18 Hynix Semiconductor Inc. Non-volatile memory device and method of manufacturing the same
US8440531B2 (en) 2011-04-29 2013-05-14 Samsung Electronics Co., Ltd. Methods of forming semiconductor memory devices having vertically stacked memory cells therein
US8872249B2 (en) 2011-12-29 2014-10-28 SK Hynix Inc. Nonvolatile memory device and method for fabricating the same
KR20140029703A (ko) * 2012-08-29 2014-03-11 에스케이하이닉스 주식회사 불휘발성 메모리 소자와 그 제조방법
US9130038B2 (en) 2012-08-31 2015-09-08 SK Hynix Inc. Three-dimensional semiconductor memory device, memory system including the same, method of manufacturing the same and method of operating the same
KR20170066377A (ko) * 2014-09-30 2017-06-14 샌디스크 테크놀로지스 엘엘씨 빗살 형상의 소스 전극을 갖는 3차원 메모리 디바이스 및 그 제조 방법
KR20160075077A (ko) * 2014-12-19 2016-06-29 삼성전자주식회사 반도체 소자 및 그 형성 방법
US10910398B2 (en) 2014-12-19 2021-02-02 Samsung Electronics Co., Ltd. Semiconductor devices and methods for forming the same

Also Published As

Publication number Publication date
US9741738B2 (en) 2017-08-22
TWI384615B (zh) 2013-02-01
US9985050B2 (en) 2018-05-29
US11844218B2 (en) 2023-12-12
WO2009075370A1 (en) 2009-06-18
US20230146470A1 (en) 2023-05-11
US20160240554A1 (en) 2016-08-18
US20180240814A1 (en) 2018-08-23
EP2201601B1 (en) 2013-11-20
US20220028892A1 (en) 2022-01-27
US11393840B2 (en) 2022-07-19
CN102037557A (zh) 2011-04-27
US20130126961A1 (en) 2013-05-23
US20190096908A1 (en) 2019-03-28
CN102037557B (zh) 2013-11-13
EP2201601A1 (en) 2010-06-30
US9035374B2 (en) 2015-05-19
EP2201601A4 (en) 2010-10-06
KR101239559B1 (ko) 2013-03-06
US8372720B2 (en) 2013-02-12
JP2009146954A (ja) 2009-07-02
US9356042B2 (en) 2016-05-31
US20170330895A1 (en) 2017-11-16
US8729624B2 (en) 2014-05-20
TW200947680A (en) 2009-11-16
US20200119037A1 (en) 2020-04-16
US20150200204A1 (en) 2015-07-16
US10163931B2 (en) 2018-12-25
US20140217493A1 (en) 2014-08-07
JP5142692B2 (ja) 2013-02-13
US20100207195A1 (en) 2010-08-19
US20220320138A1 (en) 2022-10-06
US11574926B2 (en) 2023-02-07

Similar Documents

Publication Publication Date Title
KR101239559B1 (ko) 불휘발성 반도체 기억 장치 및 이를 제조하는 방법
JP5279560B2 (ja) 不揮発性半導体記憶装置
KR101780274B1 (ko) 비휘발성 메모리 장치
US8728919B2 (en) Non-volatile semiconductor storage device and method of manufacturing the same
JP5364342B2 (ja) 不揮発性半導体記憶装置、及びその製造方法
TWI449133B (zh) 非揮發性半導體記憶裝置及其製造方法
TWI385792B (zh) 非揮發性半導體儲存裝置及其製造方法
WO2010004706A1 (en) Nonvolatile semiconductor memory device
JP5908389B2 (ja) 不揮発性半導体記憶装置

Legal Events

Date Code Title Description
A201 Request for examination
AMND Amendment
E601 Decision to refuse application
J201 Request for trial against refusal decision
AMND Amendment
B701 Decision to grant
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20160121

Year of fee payment: 4

LAPS Lapse due to unpaid annual fee