JP5288936B2 - 不揮発性半導体記憶装置 - Google Patents

不揮発性半導体記憶装置 Download PDF

Info

Publication number
JP5288936B2
JP5288936B2 JP2008207655A JP2008207655A JP5288936B2 JP 5288936 B2 JP5288936 B2 JP 5288936B2 JP 2008207655 A JP2008207655 A JP 2008207655A JP 2008207655 A JP2008207655 A JP 2008207655A JP 5288936 B2 JP5288936 B2 JP 5288936B2
Authority
JP
Japan
Prior art keywords
memory
layer
columnar
transistor
back gate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2008207655A
Other languages
English (en)
Other versions
JP2010045149A (ja
Inventor
嘉晃 福住
英明 青地
竜太 勝又
傑 鬼頭
大 木藤
啓安 田中
陽介 小森
恵 石月
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Toshiba Corp
Original Assignee
Toshiba Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Toshiba Corp filed Critical Toshiba Corp
Priority to JP2008207655A priority Critical patent/JP5288936B2/ja
Priority to US12/501,142 priority patent/US8008710B2/en
Priority to TW098124154A priority patent/TWI400792B/zh
Priority to KR1020090073728A priority patent/KR101031699B1/ko
Publication of JP2010045149A publication Critical patent/JP2010045149A/ja
Application granted granted Critical
Publication of JP5288936B2 publication Critical patent/JP5288936B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/20EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/792Field effect transistors with field effect produced by an insulated gate with charge trapping gate insulator, e.g. MNOS-memory transistors
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C16/00Erasable programmable read-only memories
    • G11C16/02Erasable programmable read-only memories electrically programmable
    • G11C16/04Erasable programmable read-only memories electrically programmable using variable threshold transistors, e.g. FAMOS
    • G11C16/0483Erasable programmable read-only memories electrically programmable using variable threshold transistors, e.g. FAMOS comprising cells having several storage transistors connected in series
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C5/00Details of stores covered by group G11C11/00
    • G11C5/02Disposition of storage elements, e.g. in the form of a matrix array
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C5/00Details of stores covered by group G11C11/00
    • G11C5/02Disposition of storage elements, e.g. in the form of a matrix array
    • G11C5/025Geometric lay-out considerations of storage- and peripheral-blocks in a semiconductor storage device
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C5/00Details of stores covered by group G11C11/00
    • G11C5/06Arrangements for interconnecting storage elements electrically, e.g. by wiring
    • G11C5/063Voltage and signal distribution in integrated semi-conductor memory access lines, e.g. word-line, bit-line, cross-over resistance, propagation delay
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C8/00Arrangements for selecting an address in a digital store
    • G11C8/14Word line organisation; Word line lay-out
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0684Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape, relative sizes or dispositions of the semiconductor regions or junctions between the regions
    • H01L29/0688Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape, relative sizes or dispositions of the semiconductor regions or junctions between the regions characterised by the particular shape of a junction between semiconductor regions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/4234Gate electrodes for transistors with charge trapping gate insulator
    • H01L29/42348Gate electrodes for transistors with charge trapping gate insulator with trapping site formed by at least two separated sites, e.g. multi-particles trapping site
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/792Field effect transistors with field effect produced by an insulated gate with charge trapping gate insulator, e.g. MNOS-memory transistors
    • H01L29/7926Vertical transistors, i.e. transistors having source and drain not in the same horizontal plane
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/10EEPROM devices comprising charge-trapping gate insulators characterised by the top-view layout
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/20EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B43/23EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B43/27EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Ceramic Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Semiconductor Memories (AREA)
  • Non-Volatile Memory (AREA)

Description

本発明は、電気的にデータの書き換えが可能な不揮発性半導体記憶装置に関する。
従来、シリコン基板上の2次元平面内に素子を集積して、LSIが形成されてきた。メモリの記憶容量を増加させるには、一素子の寸法を小さくする(微細化する)のが一般的だが、近年その微細化もコスト的、技術的に困難なものになってきた。微細化のためにはフォトリソグラフィの技術向上が必要であるが、リソグラフィ工程に要するコストは増加の一途を辿っている。また、仮に微細化が達成されたとしても、駆動電圧などがスケーリングされない限り、素子間の耐圧など物理的な限界点を迎える事が予想される。つまり、デバイスとしての動作が困難になる可能性が高い。
そこで、近年、メモリの集積度を高めるために、メモリセルを3次元的に配置した半導体記憶装置が多数提案されている(特許文献1乃至3参照)。
メモリセルを3次元的に配置した従来の半導体記憶装置の一つに、円柱型構造のトランジスタを用いた半導体記憶装置がある(特許文献1乃至3)。円柱型構造のトランジスタを用いた半導体記憶装置においては、ゲート電極となる多層の導電層、及びピラー状の柱状半導体が設けられる。柱状半導体は、トランジスタのチャネル(ボディ)部として機能する。柱状半導体の周りには、メモリゲート絶縁層が設けられている。これら導電層、柱状半導体、メモリゲート絶縁層を含む構成は、メモリストリングスと呼ばれる。
上記特許文献のようなピラー状の柱状半導体を有する3次元メモリの場合、例えばビット線は積層構造の上面に形成することができるが、ソース線は、積層構造の下面に形成する必要があり、そのコンタクトも積層構造の下面までトレンチを掘り込んで形成することが必要となる。ソース線は通常、低抵抗化のため不純物を高濃度にドープされる。このようなソース線と良好なコンタクト抵抗を保ちつつ、ソース線からの不純物の熱拡散を抑制するには、熱工程に応じた微妙な界面制御が必要となる。
このような観点から、メモリストリングスをU字型に形成し、ビット線、ソース線とも積層構造の表面に形成することを可能にした3次元型不揮発性半導体記憶装置も、例えば特許文献4により開示されている。
しかし、この特許文献4では、ワード線をメモリストリングス毎に分断して形成する必要があり、ワード線の配線抵抗がを低抵抗化することができないという問題があった。
特開2007−266143号公報 米国特許第5599724号公報 米国特許第5707885号公報 特開2007−317874号公報
本発明は、高い信頼性を有し且つ安価な不揮発性半導体記憶装置を提供する。
本発明の一態様に係る不揮発性半導体記憶装置は、電気的に書き換え可能な複数のメモリセルと選択トランジスタとが直列に接続された複数のメモリストリングスを有する不揮発性半導体記憶装置であって、前記メモリストリングスは、基板に対して垂直方向に延びる少なくとも3本の柱状部、及び前記少なくとも3本の柱状部の下端を連結させるように第1方向を長手方向として形成された連結部を有する半導体層と、前記柱状部の側面を取り囲むように形成された電荷蓄積層と、前記基板上に2次元的に配列された複数の前記メモリストリングスの前記柱状部の側面に、前記電荷蓄積層を介して共通に接続される板状電極を含み、前記板状電極を複数層に亘って積層して形成され前記メモリセルの制御電極として機能する複数の第1導電層と、前記第1方向に並ぶ前記複数の柱状部の周りにゲート絶縁膜を介して前記第1方向を長手方向として形成され前記選択トランジスタの制御電極として機能する第2導電層と、前記複数の柱状部のそれぞれに接続され前記第1方向と直交する第2方向を長手方向として形成されるビット線とを備え、1つの前記メモリストリングスを構成する前記少なくとも3本の柱状部のうち、少なくとも1つの柱状部に沿って形成される前記メモリセルは、常に消去状態に維持されることを特徴とする。
本発明は、高い信頼性を有し且つ安価な不揮発性半導体記憶装置を提供することが可能となる。
以下、図面を参照して、本発明に係る不揮発性半導体記憶装置の一の実施の形態について説明する。
[第1の実施の形態]
(第1の実施の形態に係る不揮発性半導体記憶装置100の構成)
図1は、本発明の第1の実施の形態に係る不揮発性半導体記憶装置100の概略図を示す。図1に示すように、第1の実施の形態に係る不揮発性半導体記憶装置100は、主として、メモリトランジスタ領域12、ワード線駆動回路13、選択ゲート線駆動回路15、センスアンプ16、及びバックゲートトランジスタ駆動回路18を有する。
メモリトランジスタ領域12は、データを記憶するメモリトランジスタを有する。メモリトランジスタは、後述するように直列接続されてメモリストリングスを構成する。また、メモリストリングスの両端には選択トランジスタが接続される。ワード線駆動回路13は、ワード線WLに印加する電圧を制御する。選択ゲート線駆動回路15は、選択ゲート線SGに印加する電圧を制御する。
センスアンプ16は、メモリトランジスタから読み出した電位を増幅する。バックゲートトランジスタ駆動回路18は、後述するバックゲート線BGに印加する電圧を制御する。なお、上記の他、第1の実施の形態に係る不揮発性半導体記憶装置100は、ビット線BLに印加する電圧を制御するビット線駆動回路を有する。(図示略)。
図2は、第1の実施の形態に係る不揮発性半導体記憶装置100のメモリトランジスタ領域12の一部の概略斜視図である。第1の実施の形態においては、メモリトランジスタ領域12は、複数個のメモリトランジスタMC、及び選択トランジスタSGを接続してなるメモリストリングスMSをm×n個(m、nは自然数)のマトリクス状に基板Ba上に配列されている。図2においては、m=3、n=2の一例を示している。
第1の実施の形態に係る不揮発性半導体記憶装置100において、メモリトランジスタ領域12には、複数のメモリストリングスMSが設けられている。詳しくは後述するが、メモリストリングスMSは、電気的に書き換え可能な複数のメモリトランジスタMCが直並列に(W字を形成するように)接続された構成を有する。図1及び図2に示すように、メモリストリングスMSを構成するメモリトランジスタMCは、半導体層を複数積層することによって基板Ba上に3次元的に形成・配列されている。
各メモリストリングスMSは、W字型半導体層SCmn、ワード線WL1〜WL4、選択ゲート線SGを有する。また、メモリストリングスMSは、バックゲート線BGを有する。
W字型半導体層SCmnは、ロウ方向からみてW字状(横倒しされたE字状、または櫛形状)に形成されている。W字状半導体層SCmnは、半導体基板Baに対して略垂直方向に延びる複数本(この例では3本)の柱状部CLmn、及び複数の柱状部CLmnの下端を連結させるように形成された連結部JPmnを有する。連結部JPmnは、図2に示すカラム方向を長手方向として形成される。1つのメモリストリングスMSを構成する3本の柱状部CLmnも、カラム方向に沿って並ぶように形成されている。
複数の柱状部CLmnの周囲には、図2では図示を省略するが、後述するようにメモリセルの一部を構成する電荷蓄積層がトンネル絶縁膜を介して形成され、さらに電荷蓄積層の周囲にはブロック絶縁膜が形成される。また、この複数の柱状部CLmnの周囲には、このトンネル絶縁膜、電荷蓄積層及びブロック絶縁膜を介してワード線WL1〜WL4が形成されている。
ワード線WL1〜4は、図2では図示を省略する層間絶縁膜を介して、基板Ba上に導電層膜を複数層積み上げることで形成されている。ワード線WL1〜4は、基板Ba上に格子上にm×n個2次元配置される複数のメモリストリングスMSに共通に接続される板状電極として形成されている。1列に並ぶメモリストリングス毎にストライプ状(短冊状)に、細長く形成されているのではなく、マトリクス状に配列されたメモリストリングスに共通に接続される板状形状を有していることにより、ワード線WL1〜4の配線抵抗は、ストライプ状に細く形成される場合に比べ小さくすることができる。
選択ゲート線SGは、複数の柱状部CLmnの先端側において、カラム方向に並ぶ複数の柱状部CLmnに共通に、カラム方向を長手方向としたストライプ形状を有するように接続されている。すなわち、ロウ方向に並ぶ複数のメモリストリングスMSは、それぞれ異なる選択ゲート線SG1、SG2、SG3に接続されている。
バックゲート線BGは、図示しないゲート絶縁膜を介して連結部JPmnと接している。連結部JPmnには、このバックゲート線BGをゲート電極としたバックゲートトランジスタBTが2個形成される。
また、ロウ方向に並ぶ柱状部CLmnに沿って、ロウ方向を長手方向としてビット線BLが形成されている。1つのメモリストリングスMSを構成する3つの柱状部CLmn1〜3には、それぞれ異なるビット線BL0〜2が接続されている。
図3に、1つのメモリストリングMSの等価回路図を示す。このメモリストリングスMSは、1つの柱状部CLmnあたり4つのメモリセルMCを形成され、3つの柱状部CLmn1〜3の合計で4×3=12個のメモリセルMCを備えている。左側の柱状部CLmn1には、メモリトランジスタMC1〜MC4が形成される。真ん中の柱状部CLmn2には、メモリトランジスタMC5〜MC8が形成される。右側の柱状部CLmn3には、メモリトランジスタMC9〜MC12が形成される。
メモリトランジスタMC1、MC5、及びMC9は、最も基板Ba寄りの最下層において、ワード線WL1に共通接続されている。メモリトランジスタMC2、MC6、及びMC10は、下から2番目の層において、ワード線WL2に共通接続されている。メモリトランジスタMC3、MC7、及びMC11は、下から3番目の層において、ワード線WL3に共通接続されている。メモリトランジスタMC4、MC8、及びMC12は、最も上層において、ワード線WL4に共通接続されている。
また、連結部JPmnには、3つの柱状部CLmn1−3の間の位置において2つのバックゲートトランジスタBT1、BT2が、バックゲート層BGをゲートとして形成されている。
この実施の形態において、3本の柱状部CLmnにのうちの少なくとも1本に沿って形成されるメモリトランジスタMCは、図示しない書き込み/消去制御回路により、常に消去状態(データ”1”を保持した状態)に保たれている(ここで消去状態とは、メモリトランジスタMCの閾値電圧が低く(例えば負の値であり)、メモリトランジスタMCの制御ゲートに所定の読み出し電圧(たとえば接地電圧Vss=0V)が印加された場合に導通可能な状態を意味する)。すなわち、1つの柱状部CLmn沿って形成される4個1組のメモリトランジスタのうち、少なくとも1組が常に消去状態に維持される。具体的には、メモリトランジスタMC1〜MC4の組、メモリトランジスタMC5〜8の組、及びモリトランジスタMC9〜12の組のうち、少なくとも1組(4個のメモリトランジスタ)が消去状態に保たれる。このような処置が行われる理由は、上述のような板状構造のワード線WLを採用した場合においても書き込み、読み出し動作を可能とするためであるが、詳しくは後述する。以下では、一例として、真ん中の柱状部CLmn2に接続されたメモリトランジスタMC5〜8が、常に消去状態に維持されるものとして説明を行う。
また、複数の柱状部CLmnの上端には、選択トランジスタST1〜ST3がそれぞれ形成されている。選択トランジスタST1〜ST3は、1つの選択ゲート線SGに共通接続され、同時に導通する。このようなメモリストリングスMSが、ワード線WL1〜4を共通接続されて、基板Ba上に2次元的にマトリクス配置されている。なお、柱状部CLmnは、円柱状であっても、角柱状であってもよい。また、柱状部CLmnは、段々形状を有する柱状であってもよい。また、ワード線WL1〜4のカラム方向の端部は、図1に示すように、コンタクトのために階段状に形成されている。
第1の実施の形態に係る不揮発性半導体記憶装置100のメモリトランジスタ領域12の具体的な形状を、図4〜図7を参照して説明する。図4は、メモリトランジスタ領域12の平面図、図5は図4のA−A´断面図、図6はその一部拡大図、図7は図4のB−B´断面図である。
図4に示すように、メモリセルトランジスタ領域12には、ビット線BLがロウ方向を長手方向として、また配線幅2F、配線ピッチ3F(Fは最小解像幅を示す)で形成されている。また、選択ゲート線CGが、カラム方向を長手方向として、同様に配線幅2F、配線ピッチ3Fで形成されている。このビット線BL、選択ゲート線CGの交点において、両者の交差位置の中心付近を貫通するように、前述の柱状部CLmn1〜3が形成されている。
図5に示すように、メモリセトランジスタ領域12(メモリストリングスMS)は、半導体基板Baから積層方向に、順次、バックゲートトランジスタ層20、メモリトランジスタ層30、選択トランジスタ層40、及び配線層50を有する。
バックゲートトランジスタ層20は、上述したバックゲートトランジスタBGとして機能し、その表面にはW字型半導体層SCmnの連結部JPmnが形成される。メモリトランジスタ層30は、上述したメモリトランジスタMCとして機能する。選択トランジスタ層40は、上述した選択トランジスタSGとして機能する。配線層50は、ビット線BLが形成されている。
バックゲートトランジスタ層20は、半導体基板Baの上に順次積層されたバックゲート層間絶縁層21、及びバックゲート線BGとなるバックゲート導電層22を有する。これらバックゲート層間絶縁層21、及びバックゲート導電層22は、メモリトランジスタ領域12の端部までロウ方向及びカラム方向に広がって形成されている。
バックゲート導電層22は、連結部JPmnの下面及び側面を覆い且つ連結部JPmnの上面と同じ高さまで形成されている。
バックゲート層間絶縁層21は、酸化シリコン(SiO)にて構成されている。バックゲート導電層22は、ポリシリコン(p−Si)にて構成されている。
メモリトランジスタ層30は、バックゲート導電層22の上に、交互に積層された第1〜第5ワード線間絶縁層31a〜31e、及び第1〜第4ワード線導電層32a〜32dを有する。後者は前述したワード線WL1〜4となる導電層であり、前者はワード線WL1〜4間に堆積される層間絶縁膜である。また、メモリトランジスタ層30は、第4ワード線間絶縁層31eの上に堆積されたメモリ保護絶縁層34を有する。
第1〜第5ワード線間絶縁層31a〜31eは、酸化シリコン(SiO)にて構成されている。第1〜第4ワード線導電層32a〜32dは、ポリシリコン(p−Si)にて構成されている。メモリ保護絶縁層34は、窒化シリコン(SiN)にて構成されている。
第1〜第5ワード線間絶縁層31a〜31e、第1〜第4ワード線導電層32a〜32dは、図5では図示を省略するが、図1に示すように、カラム方向の端部にてコンタクトと接続するため階段状に加工されている。メモリ保護絶縁層34は、第1〜第5ワード線間絶縁層31a〜31e、第1〜第4ワード線導電層32a〜32dのロウ方向及びカラム方向の端部を覆うように形成されている。
この第1〜第5ワード線間絶縁層31a〜31e、第1〜第4ワード線導電層32a〜32dを貫通するように設けられた3本のメモリホール35aに前述の柱状部CLmn1〜3が形成され、さらにその下方のバックゲートトランジスタ層20の表面に形成されたバックゲートホール24に前述の連結部JPmnが形成され、W字型半導体層SCmnが形成されている。
このバックゲートホール24およびメモリホール35aの壁面にはONO膜62が形成されている。ONO膜62は、図6の拡大図に示すように、ワード線側から見て順にブロック絶縁層BI、電荷蓄積層EC、トンネル絶縁層TIを堆積させて構成されている。ブロック絶縁層BIは、酸化シリコン(SiO)にて構成されている。電荷蓄積層ECは、窒化シリコン(SiN)にて構成されデータ保持のための電荷を蓄積する。トンネル絶縁層TIは、酸化シリコン(SiO)にて構成されている。つまり、メモリゲート絶縁層62は、ONO層にて構成され、電荷を蓄積する電荷蓄積層として機能する。
バックゲートホール24およびメモリホール35aの内部には、このONO膜62を介して、導電膜63が形成される。導電膜63はその内部に中空を有しており、この中空を埋めるように内部絶縁層64が形成されている。W字型半導体層SCmnは、この導電膜63と内部絶縁層64とから形成されている。
選択トランジスタ層40は、メモリ保護絶縁層34の上に堆積された選択ゲート線導電層41、層間絶縁層44を有する。選択ゲート線導電層41は、前述した選択ゲート線SGとして機能する。選択ゲート線導電層41、層間絶縁層44は、カラム方向を長手方向として延びるように且つロウ方向に所定間隔Fを設けて繰り返しライン状に形成されている。選択ゲート線導電層41は、ポリシリコン(p−Si)にて構成されている。層間絶縁層44は、酸化シリコン(SiO)にて構成されている。
また、選択トランジスタ層40は、層間絶縁層44及び選択ゲート線導電層41を貫通するように形成された選択トランジスタ側ホール45を有する。この選択トランジスタ側ホール45は、メモリホール35aに整合する位置に形成されている。
上記構成において、選択トランジスタ側ホール45に面する側壁には、ゲート絶縁層65が形成されている。このゲート絶縁層65を介してホール45を埋めるように導電膜67が形成されている。ゲート絶縁層65は、酸化シリコン(SiO)にて構成されていて、導電膜67はポリシリコンで形成されている。ゲート絶縁層65は選択トランジスタSGのゲート絶縁膜として機能する。導電膜67は選択トランジスタSGのチャネル部として機能し、また、柱状部CLmnの一部を構成する。
配線層50は、選択トランジスタ絶縁層44上に順次積層された第1配線絶縁層51、第2配線絶縁層52、コンタクト層53、及びビット線導電層55を有する。第1〜第2配線絶縁層51〜52は、酸化シリコン(SiO)にて構成されている。
また、コンタクト層53は、第1配線絶縁層51を堀込むように形成されたトレンチに埋め込まれている。また、ビット線導電層55は、第2配線絶縁層52を掘り込むように形成されたトレンチに埋め込まれている。ビット線導電層55は、例えばタンタル(Ta)−窒化タンタル(TaN)−銅(Cu)にて構成されている。ビット線導電層55は、図5及び図7に示すように、ロウ方向を長手方向として、1つの柱状部CLmn毎に1本設けられている。
なお、柱状部CLmnは、図7に示すように、ロウ方向においては連結部JPmnによって接続されておらず、それぞれ独立したメモリストリングMSに属している。
(第1の実施の形態に係る不揮発性半導体記憶装置100の製造方法)
次に、図8〜図14を参照して、第1の実施の形態に係る不揮発性半導体記憶装置100の製造方法を説明する。メモリトランジスタ領域12と、図示しない周辺回路領域は同時に形成されるが、ここでは説明の簡単のため、本実施の形態の特徴に関連するメモリトランジスタ領域12の製造工程のみを説明する。
先ず、図8に示すように、半導体基板Ba上に酸化シリコン(SiO)及びポリシリコン(p−Si)を堆積させた後、リソグラフィ法やRIE(Reactive Ion Etching)法、イオン注入法を用いて、メモリトランジスタ領域12にて、バックゲート層間絶縁層21、バックゲート導電層22を形成する。
次に、図9に示すように、メモリトランジスタ領域12において、バックゲート導電層22を堀込み、バックゲートホール24を形成する。バックゲートホール24は、ロウ方向に短手方向、カラム方向に長手方向を有し、1つのメモリストリングスMS毎の島状の開口部を有するように形成される。バックゲートホール24は、ロウ方向及びカラム方向に所定間隔毎に形成する。
次に、バックゲートホール24内を埋めるように、犠牲膜としての窒化シリコン(SiN)を堆積させる。続いて、化学機械研磨法(CMP:Chemical Mechanical Polishing)、又はRIE法でバックゲート導電層22の上部の窒化シリコン(SiN)を除去し、バックゲートホール24内に犠牲層91を形成する。
なお、図9に示すように、バックゲートホール24は、バックゲート導電層22を貫通しない深さまで形成しているが、バックゲート導電層22を貫通するように形成してもよい。
次に、図10に示すように、バックゲート導電層22、及び犠牲層91上に、交互に酸化シリコン(SiO)、ポリシリコン(p−Si)を積層させ、第1〜第5ワード線間絶縁層31a’〜31e ’、第1〜第4ポリシリコン導電層32a’〜32d’を形成し、続いて、第1〜第4ワード線間絶縁層31a’〜31e’、第1〜第4ポリシリコン層32a’〜32dを貫通するようにメモリホール35aを形成する。
メモリホール35aは、バックゲートホール24のカラム方向の両端付近、及び中央付近に整合する位置に形成する。すなわち、3本のメモリホール35aと、バックゲートホール25とでW字形状が形成されるようにする。
次に、図11に示すように、犠牲層91を除去する。例えば、犠牲層91の除去は、熱燐酸溶液中で行う。続いて、希フッ酸処理により、露出したバックゲート導電層22の表面、及び露出した第1〜第4ポリシリコン層32a´〜32d´の表面を清浄化し、自然酸化膜を除去する。
続いて、図12に示すように、バックゲートホール24、メモリホール35aに面する側壁を覆うように、メモリゲート絶縁層62を形成する。具体的には、酸化シリコン(SiO)、窒化シリコン(SiN)、酸化シリコン(SiO)を順次堆積させ、ONO膜としてのメモリゲート絶縁層62を形成する。
次に、図13に示すように、メモリホール35a、及びバックゲートホール24からなるW字状の空洞内に、アモルファスシリコン(a−Si)を堆積させ、アモルファスシリコン層93を形成する。アモルファスシリコン層93は、中空93aを有するように形成する。換言すると、アモルファスシリコン層93は、バックゲートホール24内、およびメモリホール35a内を完全に埋めないように形成する。
続いて、図14に示すように、中空93aに面するアモルファスシリコン層93の側壁を熱酸化させ、酸化シリコン(SiO)を形成する。また、残存したアモルファスシリコン層93を結晶化させ、ポリシリコン(p−Si)を形成し、W字状の導電膜63を形成する。
また、W字型半導体層63の中空93aに形成された酸化シリコン(SiO)上に、さらにCVD(Chemical Vapor Deposition)法にて酸化シリコン(SiO)を堆積し、中空93a内を埋めるように内部絶縁層64を形成する。
さらに、CMP処理により、ワード線間絶縁層31e´上に堆積されたメモリゲート絶縁層62、導電層63、及び内部絶縁層64を除去する。
この後、例えば出願人が先に出願した出願に係る特開2007−266143号公報等に開示されているのと同様の方法により、選択トランジスタ層40、配線層50、周辺回路領域、コンタクト領域を生成して、図1に示すような不揮発性半導体記憶装置100が製造される。
(第1の実施の形態に係る不揮発性半導体記憶装置100の動作)
次に、再び図1〜3を参照して、第1の実施の形態に係る不揮発性半導体記憶装置100の動作を説明する。メモリトランジスタMCにおける「書き込み動作」、、「消去動作」、及び「読み出し動作」について説明する。なお、以下では、図3に示すメモリトランジスタMC2を書き込み、読み出しの対象とする場合を例として説明する。
(書込み動作)
最初に、メモリストリングスMS中の、例えばメモリトランジスタMC2への書き込み動作を、図15を参照して説明する。まず、初期動作として、全てのビット線BL0〜2の電圧を接地電位VSSとし、バックゲート線BGの電圧を接地電位Vssとして、バックゲートトランジスタBT1、BT2を非導通状態に維持しておく。選択ゲートSGの電圧も接地電圧Vssとされ、選択トランジスタST1〜3も非導通状態にされている。
続いて、メモリトランジスタMC2が配置されるメモリストリングスMSに接続される選択ゲートSGに所定の電圧Vgを印加して、選択トランジスタST1〜ST3を導通状態にする。なお、読み出し対象とされない非選択のメモリストリングスMSに接続される選択ゲートSGには電圧Vgは印加されず、非選択のメモリストリングスMSのボディ電位(チャネル電位:柱状部CLmnの電位)はフローティング状態に維持される。
続いて、書込みを行うメモリトランジスタMC2に接続されるビット線BL1は接地電位Vssのままとする一方、残りのビット線BL0、BL2を所定のビット線電圧Vに上げる。これにより、書込みを行わないメモリセルMC5〜12のボディ電位はビット線BLから切り離され、フローティング状態となり、書き込みが防止される。以上の動作により、書込みを行うメモリトランジスタMC2が配置される柱状部CLmn1のボディ電位のみがVSSに固定される。
この状態から、各ワード線WL1〜4の電圧を、書き込みが行われずにメモリトランジスタMCを導通させる程度の電圧Vpass(8V程度)まで上昇させた後、さらに書込みを行うメモリトランジスタMC2が接続されたワード線WL2の電圧を書き込み電圧Vpgm(20V以上)まで上昇することで、所望のメモリトランジスタMC2にデータ書込みが行われる。
以上のように、書込み動作時は、バックゲートトランジスタBT1、BT2を非導通状態(OFF)として、柱状部CLmn1に沿ったメモリトランジスタMC1〜4と、柱状部CLmn2に沿ったメモリトランジスタMC9〜12とを互いに分離して、個別に書き込み制御を行うことができる。すなわち、一方に書き込み動作のためのビット線電圧の印加が行われている場合であっても、他方にはその電圧は印加されず、影響を受けない。
なお、以上の例では、柱状部CLmn1に沿ったメモリトランジスタMC2に書き込み動作を行う例を示したが、柱状部CLmn3に沿ったメモリトランジスタに書き込みを行う場合にも、同様な動作で書き込みを行うことができる。この場合には、ビット線BL2の方を接地電圧Vssとし、ビット線BL1の方を所定の電圧Vにすればよい。
(消去動作)
次に、メモリストリングスMS中のメモリトランジスタの消去動作を説明する。
まず、一旦全てのビット線BL0〜2、選択ゲート線SG、ワード線WL1〜4、バックゲート線BGを接地電圧Vssに落とした後、ビット線BL0−2の電圧を消去電圧Veraseに向けて電位上昇を開始する。これに追随して選択ゲート線SGの電位を接地電圧Vssから所定の電圧Vに上げる。これにより、メモリトランジスタMCの拡散層端で強電界によるホール(正孔)が発生し、ボディ電位が上昇する。ここで、選択ゲート線SGの電位を接地電圧Vssから所定の電圧Vに上げるのは、選択トランジスタSTのゲート絶縁層65が絶縁破壊耐圧に達しないようにするためである。ボディ電位は最終的に消去電圧Verase近傍まで上昇する。このボディ電位と、ワード線WLの電圧Vssにより生じる電界により、メモリストリングMSを含むブロック中のメモリトランジスタ全体のデータが消去される。
なお、1つのメモリストリングMSに接続される3本のビット線BL0−2のうち、一部のみに消去電圧Veraseを印加して消去動作を行い、他のビット線はセンスアンプ回路から切り離してフローティング状態にしておくことにより、消去動作を実施することも可能である。この場合も、バックゲートトランジスタBT1、BT2は非導通状態のままでよいが、バックゲートトランジスタBT1、2を通じて発生したホールが、メモリストリングスMSのチャネル部(ボディ)に注入されることが必要である。
(読み出し動作)
続いて、メモリストリングスMS中の、例えばメモリトランジスタMC2の読み出し動作を、図16を参照して説明する。
まず、全てのビット線BL0−2を接地電圧Vssとし、バックゲート線BGの電圧を所定の電圧VBGとしてバックゲートトランジスタBT1、BT2を導通状態(ON)にする。
その後、選択ゲート線SGの電圧を接地電圧Vssとして選択トランジスタST1〜3をOFFとした後、読み出し対象であるメモリトランジスタMC2に接続されているビット線BL1以外のビット線BL0、BL2に暫定的に電圧Vdreadにする。
続いて、読み出し対象であるメモリトランジスタMC2の制御ゲートに接続されるワード線WL2の電圧を接地電圧Vssとし、その他のワード線WL1、3、4の電圧は読み出し電圧Vreadにされる。読み出し電圧Vreadは、データ書き込み後のメモリトランジスタMCの閾値電圧よりも大きい値を有する。これにより、読み出し対象のメモリトランジスタMC2は、データが”1”の場合は導通し、データが”0”であれば非導通となる。一方、読み出し対象でないメモリトランジスタMCは、保持データが”0”、”1”のいずれであるかに拘わらず導通状態とされる。
上述したように、柱状部CLmn2に沿ったメモリセルMC5〜8は常に消去状態とされており、従って、ビット線BL0、BL2に電圧Vdreadが印加され、ビット線BL1に接地電圧Vssが印加され、さらにワード線WL1〜4に上記の電圧が印加されることにより、少なくともこのメモリトランジスタMC5〜8は常に導通する。これにより、選択トランジスタST2、メモリトランジスタMC5〜8及びバックゲートトランジスタBT1を介してメモリセルMC1〜MC4にもデータ読み出しのための電圧が供給され得る(バックゲート線BGを電圧Vdread近くまで上昇させることができる)。この状態において、ビット線BL1の電位をセンスアンプで検知することにより、メモリセルMC2のデータを読み出すことができる。
なお、メモリセルMC9〜12においては、ビット線BL2に電圧Vdreadが印加され、チャネル電位が電圧Vdread近傍にまで引き上げられるが、ビット線BL0も略同電位となるために、ビット線BL0とBL2との間で貫通電流が流れることはなく、読み出し動作に与える影響は最小限に抑えられる。
(第1の実施の形態に係る不揮発性半導体記憶装置100の効果)
次に、第1の実施の形態に係る不揮発性半導体記憶装置100の効果について説明する。第1の実施の形態に係る不揮発性半導体記憶装置100は、上記積層構造に示したように高集積化可能である。また、不揮発性半導体記憶装置100は、上記製造工程にて説明したように、メモリトランジスタMCとなる各層、選択トランジスタ層STとなる各層を、ワード線WLの積層数に関係なく所定のリソグラフィ工程数で製造することができる。すなわち、安価に不揮発性半導体記憶装置100を製造することが可能である。
また、第1の実施の形態に係る不揮発性半導体記憶装置100は、W字型半導体層SCmnの連結部JPmnに接するバックゲート線BGを有する。そして、このバックゲート線BGは、連結部JPmnにチャネルを形成するバックゲートトランジスタBGとして機能する。したがって、ノン・ドープに近い状態のW字型半導体層SCmnにて、良好な導通特性を有するメモリストリングスMSを構成することができる。
また、本実施の形態では、W字状に形成されたメモリストリングスMSを採用しているため、メモリトランジスタ層30の下層部においてコンタクトを形成することが不要となる。このため、製造工程の簡略化を図ることができるとともに、メモリトランジスタ層の設計の自由度が増し、より信頼性の高い不揮発性半導体記憶装置を実現することができる。また、この実施の形態では、ソース線配線が不要であるので、その分配線層の数が少なく、製造コストを低減することができる。ソース線があると、複数のビット線のビット線から同時に多数のセルを読み出す場合において、ソース線配線は同時読み出しセル数分の電流を流せる必要があり、ビット線より特に低抵抗配線が必要である。この点、本実施の形態では、1つのメモリストリングスMSに接続される3本のビット線BL0〜2のうちの1本をソース線のように用いることとしているので、各ビット線BL0〜2の抵抗は同じでよく、同一配線層に形成することができる。この意味においても、製造コストの低減、信頼性の向上が図られている。
[第2の実施の形態]
図17は、本発明の第2のの実施の形態に係る不揮発性半導体記憶装置100の、メモリトランジスタ領域12の概略図を示す。図18はその平面図である。なお、第1の実施の形態と同一の構成要素に関しては同一の符号を付し、その説明は省略する。
この実施の形態は、選択ゲート線SG1−8を有しているが、このうち奇数番目の選択ゲート線SG1、SG3、SG5、SG7は下層に形成され、偶数番目の選択ゲート線SG2、SG4、SG6、SG8は、この奇数番目の選択ゲート線の間の位置であって、奇数番目の選択ゲート線よりも上層の位置に形成されている。
上記のような2層交互型選択ゲート線構造を取ることで、1本の柱状部CLmnあたりの面積を4Fとすることが可能となる。
なお、1つのメモリストリングスMSに含まれる柱状部CLmnの数は3本に限られず、複数であればよい。このとき、1つのメモリストリングスMS中に含まれる柱状部CLmnの数と、メモリトランジスタが形成される柱状部の実効面積との関係は、次のようになる。
柱状部CLmnが2本→8F
柱状部CLmnが3本→6F
柱状部CLmnが4本→5F
柱状部CLmnが9本→4.5F
すなわち、1つのメモリストリングスMS中に含まれる柱状部CLmnの数を増すほど、柱状部CLmnの実効面積を低減でき、メモリの高密度化に寄与することができる。
[その他]
以上、本発明の実施の形態を説明したが、本発明はこれらに限定されるものではなく、発明の趣旨を逸脱しない範囲内において、様々な変更、追加、削除、置換等が可能である。たとえば、上記の実施の形態では、1つのメモリストリングスを形成する3つの柱状部CLmnを、いずれもポリシリコンを材料として形成すると説明したが、例えば、データが常に消去状態に維持されるメモリトランジスタMC5〜8に沿った柱状部CLmn2については、ポリシリコンの代わりに、例えば表面がコバルト等の金属と反応させてシリサイド化されたシリコン層又は金属膜(アルミニウム等)などの金属元素を含む化合物を用いることも可能である。あるいは、柱状部CLmn2のみ、高濃度の不純物(リン等)を注入して低抵抗化してもよい。この対策により、電圧降下が小さくなり、より安定した読み出し動作を保証できる.
また、上記の実施の形態では、1つのメモリストリングスMS中の少なくとも1列のメモリトランジスタMCは、常に消去状態に維持されるのが好ましいと説明したが、これに限らず、このような常に消去状態に維持されるメモリトランジスタMCを設けないこととしてもよい。この場合、選択ワード線WLの電位を接地電位Vssよりも高く設定する必要があり、読み出し電流量が最悪の場合第1の実施の形態の場合の半分程度に減少する可能性があるが、十分な感度のセンスアンプとノイズ対策が施されれば読み出し可能である。この場合、より有効なセルアレイ利用が可能となり、1つのメモリストリングスMSに含まれる柱状部の数に関わらず、4Fの柱状部の面積を実現でき、半導体メモリ装置の高密度化に寄与するものである。
本発明の第1の実施の形態に係る不揮発性半導体記憶装置100の概略図を示す。 第1の実施の形態に係る不揮発性半導体記憶装置100のメモリトランジスタ領域12の一部の概略斜視図である。 1つのメモリストリングMSの等価回路図を示す。 メモリトランジスタ領域12の平面図である。 図4のA−A´断面図である。 図5の一部拡大断面図である。 図4のB−B´断面図である。 第1の実施の形態に係る不揮発性半導体記憶装置100の製造方法を説明する工程図である。 第1の実施の形態に係る不揮発性半導体記憶装置100の製造方法を説明する工程図である。 第1の実施の形態に係る不揮発性半導体記憶装置100の製造方法を説明する工程図である。 第1の実施の形態に係る不揮発性半導体記憶装置100の製造方法を説明する工程図である。 第1の実施の形態に係る不揮発性半導体記憶装置100の製造方法を説明する工程図である。 第1の実施の形態に係る不揮発性半導体記憶装置100の製造方法を説明する工程図である。 第1の実施の形態に係る不揮発性半導体記憶装置100の製造方法を説明する工程図である。 第1の実施の形態にに係る不揮発性半導体記憶装置100の書き込み動作を説明する等価回路図である。 第1の実施の形態にに係る不揮発性半導体記憶装置100の読み出し動作を説明する等価回路図である。 本発明の第2のの実施の形態に係る不揮発性半導体記憶装置100の、メモリトランジスタ領域12の概略図を示す。 本発明の第2のの実施の形態に係る不揮発性半導体記憶装置100の、メモリトランジスタ領域12の平面図を示す。
符号の説明
100…不揮発性半導体記憶装置、12…メモリトランジスタ領域、13…ワード線駆動回路、15…選択ゲート線駆動回路、16…センスアンプ、18…バックゲートトランジスタ駆動回路、20…バックゲートトランジスタ層、30…メモリトランジスタ層、40…選択トランジスタ層、Ba…半導体基板、CLmn…W字型半導体層、MC…メモリトランジスタ、SG…選択トランジスタ、BG…バックゲートトランジスタ。

Claims (3)

  1. 電気的に書き換え可能な複数のメモリセルと選択トランジスタとが直列に接続された複数のメモリストリングスを有する不揮発性半導体記憶装置であって、
    前記メモリストリングスは、
    基板に対して垂直方向に延びる少なくとも3本の柱状部、及び前記少なくとも3本の柱状部の下端を連結させるように第1方向を長手方向として形成された連結部を有する半導体層と、
    前記柱状部の側面を取り囲むように形成された電荷蓄積層と、
    前記基板上に2次元的に配列された複数の前記メモリストリングスの前記柱状部の側面に、前記電荷蓄積層を介して共通に接続される板状電極を含み、前記板状電極を複数層に亘って積層して形成され前記メモリセルの制御電極として機能する複数の第1導電層と、
    前記第1方向に並ぶ前記複数の柱状部の周りにゲート絶縁膜を介して前記第1方向を長手方向として形成され前記選択トランジスタの制御電極として機能する第2導電層と、
    前記複数の柱状部のそれぞれに接続され前記第1方向と直交する第2方向を長手方向として形成されるビット線と
    を備え、
    1つの前記メモリストリングスを構成する前記少なくとも3本の柱状部のうち、少なくとも1つの柱状部に沿って形成される前記メモリセルは、常に消去状態に維持される
    ことを特徴とする不揮発性半導体記憶装置。
  2. 前記連結部に絶縁膜を介して接するように形成され前記連結部に形成されるバックゲートトランジスタの制御電極として機能するバックゲート層を更に備えたことを特徴とする請求項1に記載の不揮発性半導体記憶装置。
  3. 1つの前記メモリストリングスを構成する前記複数の柱状部の少なくとも1つは金属元素を含む化合物であることを特徴とする請求項1記載の不揮発性半導体記憶装置。
JP2008207655A 2008-08-12 2008-08-12 不揮発性半導体記憶装置 Expired - Fee Related JP5288936B2 (ja)

Priority Applications (4)

Application Number Priority Date Filing Date Title
JP2008207655A JP5288936B2 (ja) 2008-08-12 2008-08-12 不揮発性半導体記憶装置
US12/501,142 US8008710B2 (en) 2008-08-12 2009-07-10 Non-volatile semiconductor storage device
TW098124154A TWI400792B (zh) 2008-08-12 2009-07-16 非揮發性半導體儲存裝置
KR1020090073728A KR101031699B1 (ko) 2008-08-12 2009-08-11 비휘발성 반도체 저장 장치

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2008207655A JP5288936B2 (ja) 2008-08-12 2008-08-12 不揮発性半導体記憶装置

Publications (2)

Publication Number Publication Date
JP2010045149A JP2010045149A (ja) 2010-02-25
JP5288936B2 true JP5288936B2 (ja) 2013-09-11

Family

ID=41680700

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2008207655A Expired - Fee Related JP5288936B2 (ja) 2008-08-12 2008-08-12 不揮発性半導体記憶装置

Country Status (4)

Country Link
US (1) US8008710B2 (ja)
JP (1) JP5288936B2 (ja)
KR (1) KR101031699B1 (ja)
TW (1) TWI400792B (ja)

Families Citing this family (215)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5142692B2 (ja) * 2007-12-11 2013-02-13 株式会社東芝 不揮発性半導体記憶装置
KR101539699B1 (ko) 2009-03-19 2015-07-27 삼성전자주식회사 3차원 구조의 비휘발성 메모리 소자 및 그 제조방법
KR101543331B1 (ko) 2009-07-06 2015-08-10 삼성전자주식회사 메탈 소스 라인을 갖는 수직 구조의 비휘발성 메모리 소자의 제조방법
JP2011040706A (ja) * 2009-07-15 2011-02-24 Toshiba Corp 不揮発性半導体記憶装置
JP5380190B2 (ja) * 2009-07-21 2014-01-08 株式会社東芝 不揮発性半導体記憶装置及びその製造方法
KR101164954B1 (ko) 2009-09-14 2012-07-12 에스케이하이닉스 주식회사 3차원 구조를 갖는 비휘발성 메모리 소자 및 그 제조 방법
JP2011061159A (ja) 2009-09-14 2011-03-24 Toshiba Corp 不揮発性半導体記憶装置
KR20110042619A (ko) * 2009-10-19 2011-04-27 삼성전자주식회사 3차원 반도체 장치 및 그 제조 방법
KR101559958B1 (ko) 2009-12-18 2015-10-13 삼성전자주식회사 3차원 반도체 장치의 제조 방법 및 이에 따라 제조된 3차원 반도체 장치
KR101658479B1 (ko) * 2010-02-09 2016-09-21 삼성전자주식회사 불휘발성 메모리 장치, 그것의 동작 방법, 그리고 그것을 포함하는 메모리 시스템
US9324440B2 (en) 2010-02-09 2016-04-26 Samsung Electronics Co., Ltd. Nonvolatile memory devices, operating methods thereof and memory systems including the same
KR101691092B1 (ko) 2010-08-26 2016-12-30 삼성전자주식회사 불휘발성 메모리 장치, 그것의 동작 방법, 그리고 그것을 포함하는 메모리 시스템
US9378831B2 (en) 2010-02-09 2016-06-28 Samsung Electronics Co., Ltd. Nonvolatile memory devices, operating methods thereof and memory systems including the same
KR101691088B1 (ko) * 2010-02-17 2016-12-29 삼성전자주식회사 불휘발성 메모리 장치, 그것의 동작 방법, 그리고 그것을 포함하는 메모리 시스템
JP5788183B2 (ja) 2010-02-17 2015-09-30 三星電子株式会社Samsung Electronics Co.,Ltd. 不揮発性メモリ装置、それの動作方法、そしてそれを含むメモリシステム
US8923060B2 (en) 2010-02-17 2014-12-30 Samsung Electronics Co., Ltd. Nonvolatile memory devices and operating methods thereof
US8908431B2 (en) 2010-02-17 2014-12-09 Samsung Electronics Co., Ltd. Control method of nonvolatile memory device
JP2011170956A (ja) 2010-02-18 2011-09-01 Samsung Electronics Co Ltd 不揮発性メモリ装置およびそのプログラム方法と、それを含むメモリシステム
US8792282B2 (en) 2010-03-04 2014-07-29 Samsung Electronics Co., Ltd. Nonvolatile memory devices, memory systems and computing systems
US8553466B2 (en) 2010-03-04 2013-10-08 Samsung Electronics Co., Ltd. Non-volatile memory device, erasing method thereof, and memory system including the same
JP2011198806A (ja) * 2010-03-17 2011-10-06 Toshiba Corp 半導体記憶装置及びその製造方法
KR101083637B1 (ko) 2010-05-31 2011-11-16 주식회사 하이닉스반도체 비휘발성 메모리 장치 및 그 제조 방법
KR101137929B1 (ko) 2010-05-31 2012-05-09 에스케이하이닉스 주식회사 비휘발성 메모리 장치 및 그 제조 방법
JP2012009512A (ja) 2010-06-22 2012-01-12 Toshiba Corp 不揮発性半導体記憶装置及びその製造方法
US10128261B2 (en) 2010-06-30 2018-11-13 Sandisk Technologies Llc Cobalt-containing conductive layers for control gate electrodes in a memory structure
US8187936B2 (en) 2010-06-30 2012-05-29 SanDisk Technologies, Inc. Ultrahigh density vertical NAND memory device and method of making thereof
EP2589070B1 (en) * 2010-06-30 2019-11-27 SanDisk Technologies LLC Ultrahigh density vertical nand memory device and method of making thereof
US8193054B2 (en) 2010-06-30 2012-06-05 SanDisk Technologies, Inc. Ultrahigh density vertical NAND memory device and method of making thereof
US8928061B2 (en) 2010-06-30 2015-01-06 SanDisk Technologies, Inc. Three dimensional NAND device with silicide containing floating gates
US9159739B2 (en) 2010-06-30 2015-10-13 Sandisk Technologies Inc. Floating gate ultrahigh density vertical NAND flash memory
US8349681B2 (en) 2010-06-30 2013-01-08 Sandisk Technologies Inc. Ultrahigh density monolithic, three dimensional vertical NAND memory device
US9397093B2 (en) 2013-02-08 2016-07-19 Sandisk Technologies Inc. Three dimensional NAND device with semiconductor, metal or silicide floating gates and method of making thereof
US8198672B2 (en) 2010-06-30 2012-06-12 SanDisk Technologies, Inc. Ultrahigh density vertical NAND memory device
KR101688604B1 (ko) * 2010-07-05 2016-12-23 삼성전자주식회사 3차원 반도체 장치 및 그 제조 방법
KR101113766B1 (ko) 2010-12-31 2012-02-29 주식회사 하이닉스반도체 비휘발성메모리장치 및 그 제조 방법
JP2012204430A (ja) 2011-03-24 2012-10-22 Toshiba Corp 不揮発性半導体記憶装置及びその製造方法
JP5524134B2 (ja) 2011-06-14 2014-06-18 株式会社東芝 不揮発性半導体記憶装置
JP2013012553A (ja) 2011-06-28 2013-01-17 Toshiba Corp 半導体記憶装置
JP5543950B2 (ja) * 2011-09-22 2014-07-09 株式会社東芝 不揮発性半導体記憶装置の製造方法及び不揮発性半導体記憶装置
KR20130070153A (ko) * 2011-12-19 2013-06-27 에스케이하이닉스 주식회사 반도체 장치의 캐패시터, 레지스터, 메모리 시스템 및 이들의 제조 방법
US8878278B2 (en) 2012-03-21 2014-11-04 Sandisk Technologies Inc. Compact three dimensional vertical NAND and method of making thereof
US8847302B2 (en) 2012-04-10 2014-09-30 Sandisk Technologies Inc. Vertical NAND device with low capacitance and silicided word lines
KR101957897B1 (ko) * 2012-04-26 2019-03-13 에스케이하이닉스 주식회사 가변 저항 메모리 장치 및 그 제조 방법
US8828884B2 (en) 2012-05-23 2014-09-09 Sandisk Technologies Inc. Multi-level contact to a 3D memory array and method of making
KR20130136249A (ko) 2012-06-04 2013-12-12 에스케이하이닉스 주식회사 반도체 장치 및 그 제조 방법
USRE49831E1 (en) 2012-06-04 2024-02-06 SK Hynix Inc. 3D semiconductor memory device
US10367001B2 (en) 2012-06-04 2019-07-30 SK Hynix Inc. 3D semiconductor memory device
US8609536B1 (en) * 2012-07-06 2013-12-17 Micron Technology, Inc. Stair step formation using at least two masks
US8658499B2 (en) 2012-07-09 2014-02-25 Sandisk Technologies Inc. Three dimensional NAND device and method of charge trap layer separation and floating gate formation in the NAND device
KR102003526B1 (ko) 2012-07-31 2019-07-25 삼성전자주식회사 반도체 메모리 소자 및 그 제조방법
US8614126B1 (en) 2012-08-15 2013-12-24 Sandisk Technologies Inc. Method of making a three-dimensional memory array with etch stop
US8902670B2 (en) 2012-08-31 2014-12-02 Kabushiki Kaisha Toshiba Semiconductor memory device
KR102002802B1 (ko) 2012-09-05 2019-07-23 삼성전자주식회사 반도체 장치
US9129861B2 (en) 2012-10-05 2015-09-08 Samsung Electronics Co., Ltd. Memory device
US9287167B2 (en) 2012-10-05 2016-03-15 Samsung Electronics Co., Ltd. Vertical type memory device
KR102031187B1 (ko) 2012-10-05 2019-10-14 삼성전자주식회사 수직형 메모리 장치
KR20140062636A (ko) 2012-11-14 2014-05-26 에스케이하이닉스 주식회사 반도체 장치 및 그 제조 방법
US9449982B2 (en) 2013-03-12 2016-09-20 Sandisk Technologies Llc Method of making a vertical NAND device using a sacrificial layer with air gap and sequential etching of multilayer stacks
US9698153B2 (en) 2013-03-12 2017-07-04 Sandisk Technologies Llc Vertical NAND and method of making thereof using sequential stack etching and self-aligned landing pad
US8946023B2 (en) 2013-03-12 2015-02-03 Sandisk Technologies Inc. Method of making a vertical NAND device using sequential etching of multilayer stacks
US9230987B2 (en) 2014-02-20 2016-01-05 Sandisk Technologies Inc. Multilevel memory stack structure and methods of manufacturing the same
US9515080B2 (en) 2013-03-12 2016-12-06 Sandisk Technologies Llc Vertical NAND and method of making thereof using sequential stack etching and landing pad
JP2014187191A (ja) * 2013-03-22 2014-10-02 Toshiba Corp 半導体記憶装置の製造方法及び半導体記憶装置
US9099496B2 (en) 2013-04-01 2015-08-04 Sandisk Technologies Inc. Method of forming an active area with floating gate negative offset profile in FG NAND memory
US9093480B2 (en) 2013-04-01 2015-07-28 Sandisk Technologies Inc. Spacer passivation for high aspect ratio etching of multilayer stacks for three dimensional NAND device
US9437606B2 (en) 2013-07-02 2016-09-06 Sandisk Technologies Llc Method of making a three-dimensional memory array with etch stop
US9252151B2 (en) 2013-07-08 2016-02-02 Sandisk Technologies Inc. Three dimensional NAND device with birds beak containing floating gates and method of making thereof
JP2015028990A (ja) 2013-07-30 2015-02-12 株式会社東芝 不揮発性記憶装置
US9230980B2 (en) 2013-09-15 2016-01-05 Sandisk Technologies Inc. Single-semiconductor-layer channel in a memory opening for a three-dimensional non-volatile memory device
KR102242022B1 (ko) 2013-09-16 2021-04-21 삼성전자주식회사 불휘발성 메모리 및 그것의 프로그램 방법
US9230973B2 (en) 2013-09-17 2016-01-05 Sandisk Technologies Inc. Methods of fabricating a three-dimensional non-volatile memory device
US9023719B2 (en) 2013-09-17 2015-05-05 Sandisk Technologies Inc. High aspect ratio memory hole channel contact formation
KR20150050878A (ko) 2013-11-01 2015-05-11 에스케이하이닉스 주식회사 메모리 스트링 및 이를 포함하는 반도체 장치
KR102161814B1 (ko) 2013-11-19 2020-10-06 삼성전자주식회사 수직형 메모리 장치 및 그 제조 방법
US9449983B2 (en) 2013-12-19 2016-09-20 Sandisk Technologies Llc Three dimensional NAND device with channel located on three sides of lower select gate and method of making thereof
CN104766863B (zh) * 2014-01-06 2017-09-15 旺宏电子股份有限公司 三维存储器及其制造方法
US9230905B2 (en) 2014-01-08 2016-01-05 Sandisk 3D Llc Trench multilevel contact to a 3D memory array and method of making thereof
US9343507B2 (en) 2014-03-12 2016-05-17 Sandisk 3D Llc Dual channel vertical field effect transistor including an embedded electrode
US9331088B2 (en) 2014-03-25 2016-05-03 Sandisk 3D Llc Transistor device with gate bottom isolation and method of making thereof
US9224747B2 (en) 2014-03-26 2015-12-29 Sandisk Technologies Inc. Vertical NAND device with shared word line steps
US9331094B2 (en) 2014-04-30 2016-05-03 Sandisk Technologies Inc. Method of selective filling of memory openings
US9552991B2 (en) 2014-04-30 2017-01-24 Sandisk Technologies Llc Trench vertical NAND and method of making thereof
US9244865B2 (en) * 2014-05-28 2016-01-26 SanDisk Technologies, Inc. Obtaining diagnostic information through host interfaces
US9548313B2 (en) * 2014-05-30 2017-01-17 Sandisk Technologies Llc Method of making a monolithic three dimensional NAND string using a select gate etch stop layer
US9553146B2 (en) 2014-06-05 2017-01-24 Sandisk Technologies Llc Three dimensional NAND device having a wavy charge storage layer
US9524779B2 (en) 2014-06-24 2016-12-20 Sandisk Technologies Llc Three dimensional vertical NAND device with floating gates
US9768270B2 (en) 2014-06-25 2017-09-19 Sandisk Technologies Llc Method of selectively depositing floating gate material in a memory device
US9379124B2 (en) 2014-06-25 2016-06-28 Sandisk Technologies Inc. Vertical floating gate NAND with selectively deposited ALD metal films
US9455263B2 (en) 2014-06-27 2016-09-27 Sandisk Technologies Llc Three dimensional NAND device with channel contacting conductive source line and method of making thereof
US9397107B2 (en) 2014-06-30 2016-07-19 Sandisk Technologies Llc Methods of making three dimensional NAND devices
US9305932B2 (en) 2014-06-30 2016-04-05 Sandisk Technologies Inc. Methods of making three dimensional NAND devices
US9177966B1 (en) 2014-07-08 2015-11-03 Sandisk Technologies Inc. Three dimensional NAND devices with air gap or low-k core
US9570460B2 (en) 2014-07-29 2017-02-14 Sandisk Technologies Llc Spacer passivation for high-aspect ratio opening film removal and cleaning
US9136130B1 (en) 2014-08-11 2015-09-15 Sandisk Technologies Inc. Three dimensional NAND string with discrete charge trap segments
US9356031B2 (en) 2014-08-11 2016-05-31 Sandisk Technologies Inc. Three dimensional NAND string memory devices with voids enclosed between control gate electrodes
US9583539B2 (en) 2014-08-19 2017-02-28 Sandisk Technologies Llc Word line connection for memory device and method of making thereof
US9230983B1 (en) 2014-08-20 2016-01-05 Sandisk Technologies Inc. Metal word lines for three dimensional memory devices
US9230974B1 (en) 2014-08-26 2016-01-05 Sandisk Technologies Inc. Methods of selective removal of blocking dielectric in NAND memory strings
US9236392B1 (en) 2014-08-26 2016-01-12 Sandisk Technologies Inc. Multiheight electrically conductive via contacts for a multilevel interconnect structure
US9576975B2 (en) 2014-08-26 2017-02-21 Sandisk Technologies Llc Monolithic three-dimensional NAND strings and methods of fabrication thereof
US9601502B2 (en) 2014-08-26 2017-03-21 Sandisk Technologies Llc Multiheight contact via structures for a multilevel interconnect structure
US9401309B2 (en) 2014-08-26 2016-07-26 Sandisk Technologies Llc Multiheight contact via structures for a multilevel interconnect structure
US9362298B2 (en) 2014-09-11 2016-06-07 Kabushiki Kaisha Toshiba Non-volatile semiconductor memory device and manufacturing method thereof
US9431419B2 (en) 2014-09-12 2016-08-30 Kabushiki Kaisha Toshiba Semiconductor memory device and method for manufacturing same
US9666590B2 (en) 2014-09-24 2017-05-30 Sandisk Technologies Llc High stack 3D memory and method of making
US9515085B2 (en) 2014-09-26 2016-12-06 Sandisk Technologies Llc Vertical memory device with bit line air gap
US9305934B1 (en) 2014-10-17 2016-04-05 Sandisk Technologies Inc. Vertical NAND device containing peripheral devices on epitaxial semiconductor pedestal
US9230979B1 (en) 2014-10-31 2016-01-05 Sandisk Technologies Inc. High dielectric constant etch stop layer for a memory structure
US9305849B1 (en) 2014-11-12 2016-04-05 Sandisk Technologies Inc. Method of making a three dimensional NAND device
US9236396B1 (en) 2014-11-12 2016-01-12 Sandisk Technologies Inc. Three dimensional NAND device and method of making thereof
US9698152B2 (en) 2014-11-13 2017-07-04 Sandisk Technologies Llc Three-dimensional memory structure with multi-component contact via structure and method of making thereof
US9698223B2 (en) 2014-11-25 2017-07-04 Sandisk Technologies Llc Memory device containing stress-tunable control gate electrodes
US9496419B2 (en) 2014-11-25 2016-11-15 Sandisk Technologies Llc Ruthenium nucleation layer for control gate electrodes in a memory structure
US9570455B2 (en) 2014-11-25 2017-02-14 Sandisk Technologies Llc Metal word lines for three dimensional memory devices
US9553100B2 (en) 2014-12-04 2017-01-24 Sandisk Techologies Llc Selective floating gate semiconductor material deposition in a three-dimensional memory structure
US9793288B2 (en) 2014-12-04 2017-10-17 Sandisk Technologies Llc Methods of fabricating memory device with spaced-apart semiconductor charge storage regions
US9754956B2 (en) 2014-12-04 2017-09-05 Sandisk Technologies Llc Uniform thickness blocking dielectric portions in a three-dimensional memory structure
US9780182B2 (en) 2015-02-04 2017-10-03 Sandisk Technologies Llc Molybdenum-containing conductive layers for control gate electrodes in a memory structure
US10741572B2 (en) 2015-02-04 2020-08-11 Sandisk Technologies Llc Three-dimensional memory device having multilayer word lines containing selectively grown cobalt or ruthenium and method of making the same
US9984963B2 (en) 2015-02-04 2018-05-29 Sandisk Technologies Llc Cobalt-containing conductive layers for control gate electrodes in a memory structure
US9356034B1 (en) 2015-02-05 2016-05-31 Sandisk Technologies Inc. Multilevel interconnect structure and methods of manufacturing the same
US9419058B1 (en) 2015-02-05 2016-08-16 Sandisk Technologies Llc Memory device with comb-shaped electrode having a plurality of electrode fingers and method of making thereof
US9484296B2 (en) 2015-02-12 2016-11-01 Sandisk Technologies Llc Self-aligned integrated line and via structure for a three-dimensional semiconductor device
US9583615B2 (en) 2015-02-17 2017-02-28 Sandisk Technologies Llc Vertical transistor and local interconnect structure
US9698202B2 (en) 2015-03-02 2017-07-04 Sandisk Technologies Llc Parallel bit line three-dimensional resistive random access memory
US9870945B2 (en) 2015-03-10 2018-01-16 Sandisk Technologies Llc Crystalline layer stack for forming conductive layers in a three-dimensional memory structure
US9530788B2 (en) 2015-03-17 2016-12-27 Sandisk Technologies Llc Metallic etch stop layer in a three-dimensional memory structure
CN106158846B (zh) * 2015-03-31 2019-08-20 旺宏电子股份有限公司 存储元件及其制造方法
US9799671B2 (en) 2015-04-07 2017-10-24 Sandisk Technologies Llc Three-dimensional integration schemes for reducing fluorine-induced electrical shorts
US9601508B2 (en) 2015-04-27 2017-03-21 Sandisk Technologies Llc Blocking oxide in memory opening integration scheme for three-dimensional memory structure
US9397046B1 (en) 2015-04-29 2016-07-19 Sandisk Technologies Llc Fluorine-free word lines for three-dimensional memory devices
US9627403B2 (en) 2015-04-30 2017-04-18 Sandisk Technologies Llc Multilevel memory stack structure employing support pillar structures
US10074661B2 (en) 2015-05-08 2018-09-11 Sandisk Technologies Llc Three-dimensional junction memory device and method reading thereof using hole current detection
US9666281B2 (en) 2015-05-08 2017-05-30 Sandisk Technologies Llc Three-dimensional P-I-N memory device and method reading thereof using hole current detection
KR20160138765A (ko) * 2015-05-26 2016-12-06 에스케이하이닉스 주식회사 슬리밍 구조물을 포함하는 반도체 메모리 장치
US9443861B1 (en) 2015-05-28 2016-09-13 Sandisk Technologies Llc Fluorine-blocking insulating spacer for backside contact structure of three-dimensional memory structures
US9859422B2 (en) 2015-05-28 2018-01-02 Sandisk Technologies Llc Field effect transistor with elevated active regions and methods of manufacturing the same
US9589981B2 (en) 2015-06-15 2017-03-07 Sandisk Technologies Llc Passive devices for integration with three-dimensional memory devices
US9646981B2 (en) 2015-06-15 2017-05-09 Sandisk Technologies Llc Passive devices for integration with three-dimensional memory devices
US9419012B1 (en) 2015-06-19 2016-08-16 Sandisk Technologies Llc Three-dimensional memory structure employing air gap isolation
US9356043B1 (en) 2015-06-22 2016-05-31 Sandisk Technologies Inc. Three-dimensional memory devices containing memory stack structures with position-independent threshold voltage
US9613977B2 (en) 2015-06-24 2017-04-04 Sandisk Technologies Llc Differential etch of metal oxide blocking dielectric layer for three-dimensional memory devices
US10622368B2 (en) 2015-06-24 2020-04-14 Sandisk Technologies Llc Three-dimensional memory device with semicircular metal-semiconductor alloy floating gate electrodes and methods of making thereof
US9530785B1 (en) 2015-07-21 2016-12-27 Sandisk Technologies Llc Three-dimensional memory devices having a single layer channel and methods of making thereof
US9627399B2 (en) 2015-07-24 2017-04-18 Sandisk Technologies Llc Three-dimensional memory device with metal and silicide control gates
US9543318B1 (en) 2015-08-21 2017-01-10 Sandisk Technologies Llc Three dimensional memory device with epitaxial semiconductor pedestal for peripheral transistors
US9449987B1 (en) 2015-08-21 2016-09-20 Sandisk Technologies Llc Three dimensional memory device with epitaxial semiconductor pedestal for peripheral transistors
US9502471B1 (en) 2015-08-25 2016-11-22 Sandisk Technologies Llc Multi tier three-dimensional memory devices including vertically shared bit lines
US9853043B2 (en) 2015-08-25 2017-12-26 Sandisk Technologies Llc Method of making a multilevel memory stack structure using a cavity containing a sacrificial fill material
KR20170027571A (ko) * 2015-09-02 2017-03-10 에스케이하이닉스 주식회사 반도체 장치 및 그 제조방법
US9646975B2 (en) 2015-09-21 2017-05-09 Sandisk Technologies Llc Lateral stack of cobalt and a cobalt-semiconductor alloy for control gate electrodes in a memory structure
US9576966B1 (en) 2015-09-21 2017-02-21 Sandisk Technologies Llc Cobalt-containing conductive layers for control gate electrodes in a memory structure
US9806089B2 (en) 2015-09-21 2017-10-31 Sandisk Technologies Llc Method of making self-assembling floating gate electrodes for a three-dimensional memory device
US9842907B2 (en) 2015-09-29 2017-12-12 Sandisk Technologies Llc Memory device containing cobalt silicide control gate electrodes and method of making thereof
US9659955B1 (en) 2015-10-28 2017-05-23 Sandisk Technologies Llc Crystalinity-dependent aluminum oxide etching for self-aligned blocking dielectric in a memory structure
US9620512B1 (en) 2015-10-28 2017-04-11 Sandisk Technologies Llc Field effect transistor with a multilevel gate electrode for integration with a multilevel memory device
US9793139B2 (en) 2015-10-29 2017-10-17 Sandisk Technologies Llc Robust nucleation layers for enhanced fluorine protection and stress reduction in 3D NAND word lines
US9899399B2 (en) 2015-10-30 2018-02-20 Sandisk Technologies Llc 3D NAND device with five-folded memory stack structure configuration
US9917100B2 (en) 2015-11-20 2018-03-13 Sandisk Technologies Llc Three-dimensional NAND device containing support pedestal structures for a buried source line and method of making the same
CN108140643B (zh) * 2015-11-20 2022-03-15 桑迪士克科技有限责任公司 用于埋入源极线的包含支撑基座结构的三维nand设备及制造其的方法
US9831266B2 (en) 2015-11-20 2017-11-28 Sandisk Technologies Llc Three-dimensional NAND device containing support pedestal structures for a buried source line and method of making the same
US9799670B2 (en) * 2015-11-20 2017-10-24 Sandisk Technologies Llc Three dimensional NAND device containing dielectric pillars for a buried source line and method of making thereof
US9754820B2 (en) 2016-02-01 2017-09-05 Sandisk Technologies Llc Three-dimensional memory device containing an aluminum oxide etch stop layer for backside contact structure and method of making thereof
US9589839B1 (en) 2016-02-01 2017-03-07 Sandisk Technologies Llc Method of reducing control gate electrode curvature in three-dimensional memory devices
US9673213B1 (en) 2016-02-15 2017-06-06 Sandisk Technologies Llc Three dimensional memory device with peripheral devices under dummy dielectric layer stack and method of making thereof
US9595535B1 (en) 2016-02-18 2017-03-14 Sandisk Technologies Llc Integration of word line switches with word line contact via structures
US9721663B1 (en) 2016-02-18 2017-08-01 Sandisk Technologies Llc Word line decoder circuitry under a three-dimensional memory array
JP6515046B2 (ja) 2016-03-10 2019-05-15 東芝メモリ株式会社 半導体記憶装置
US10355015B2 (en) 2016-03-23 2019-07-16 Sandisk Technologies Llc Three-dimensional NAND memory device with common bit line for multiple NAND strings in each memory block
US10224104B2 (en) 2016-03-23 2019-03-05 Sandisk Technologies Llc Three dimensional NAND memory device with common bit line for multiple NAND strings in each memory block
US9711530B1 (en) 2016-03-25 2017-07-18 Sandisk Technologies Llc Locally-trap-characteristic-enhanced charge trap layer for three-dimensional memory structures
US9812463B2 (en) 2016-03-25 2017-11-07 Sandisk Technologies Llc Three-dimensional memory device containing vertically isolated charge storage regions and method of making thereof
US9728547B1 (en) 2016-05-19 2017-08-08 Sandisk Technologies Llc Three-dimensional memory device with aluminum-containing etch stop layer for backside contact structure and method of making thereof
US9985046B2 (en) 2016-06-13 2018-05-29 Sandisk Technologies Llc Method of forming a staircase in a semiconductor device using a linear alignment control feature
US10121794B2 (en) 2016-06-20 2018-11-06 Sandisk Technologies Llc Three-dimensional memory device having epitaxial germanium-containing vertical channel and method of making thereof
US10355139B2 (en) 2016-06-28 2019-07-16 Sandisk Technologies Llc Three-dimensional memory device with amorphous barrier layer and method of making thereof
US10361213B2 (en) 2016-06-28 2019-07-23 Sandisk Technologies Llc Three dimensional memory device containing multilayer wordline barrier films and method of making thereof
US9978768B2 (en) 2016-06-29 2018-05-22 Sandisk Technologies Llc Method of making three-dimensional semiconductor memory device having laterally undulating memory films
US9659866B1 (en) 2016-07-08 2017-05-23 Sandisk Technologies Llc Three-dimensional memory structures with low source line resistance
US10529620B2 (en) 2016-07-13 2020-01-07 Sandisk Technologies Llc Three-dimensional memory device containing word lines formed by selective tungsten growth on nucleation controlling surfaces and methods of manufacturing the same
US10381372B2 (en) 2016-07-13 2019-08-13 Sandisk Technologies Llc Selective tungsten growth for word lines of a three-dimensional memory device
US9748266B1 (en) 2016-07-20 2017-08-29 Sandisk Technologies Llc Three-dimensional memory device with select transistor having charge trapping gate dielectric layer and methods of making and operating thereof
US9824966B1 (en) 2016-08-12 2017-11-21 Sandisk Technologies Llc Three-dimensional memory device containing a lateral source contact and method of making the same
US9805805B1 (en) 2016-08-23 2017-10-31 Sandisk Technologies Llc Three-dimensional memory device with charge carrier injection wells for vertical channels and method of making and using thereof
US9857989B1 (en) * 2016-10-01 2018-01-02 Intel Corporation Solid state memory component
US10050054B2 (en) 2016-10-05 2018-08-14 Sandisk Technologies Llc Three-dimensional memory device having drain select level isolation structure and method of making thereof
US9881929B1 (en) 2016-10-27 2018-01-30 Sandisk Technologies Llc Multi-tier memory stack structure containing non-overlapping support pillar structures and method of making thereof
US9929174B1 (en) 2016-10-28 2018-03-27 Sandisk Technologies Llc Three-dimensional memory device having non-uniform spacing among memory stack structures and method of making thereof
US10020363B2 (en) 2016-11-03 2018-07-10 Sandisk Technologies Llc Bulb-shaped memory stack structures for direct source contact in three-dimensional memory device
US9972640B1 (en) * 2016-11-17 2018-05-15 Sandisk Technologies Llc Three-dimensional memory device with self-aligned drain side select gate electrodes and method of making thereof
US9991277B1 (en) 2016-11-28 2018-06-05 Sandisk Technologies Llc Three-dimensional memory device with discrete self-aligned charge storage elements and method of making thereof
US9876031B1 (en) 2016-11-30 2018-01-23 Sandisk Technologies Llc Three-dimensional memory device having passive devices at a buried source line level and method of making thereof
US10056399B2 (en) 2016-12-22 2018-08-21 Sandisk Technologies Llc Three-dimensional memory devices containing inter-tier dummy memory cells and methods of making the same
US10032908B1 (en) 2017-01-06 2018-07-24 Sandisk Technologies Llc Multi-gate vertical field effect transistor with channel strips laterally confined by gate dielectric layers, and method of making thereof
US10115735B2 (en) 2017-02-24 2018-10-30 Sandisk Technologies Llc Semiconductor device containing multilayer titanium nitride diffusion barrier and method of making thereof
US9960180B1 (en) 2017-03-27 2018-05-01 Sandisk Technologies Llc Three-dimensional memory device with partially discrete charge storage regions and method of making thereof
US20180331117A1 (en) 2017-05-12 2018-11-15 Sandisk Technologies Llc Multilevel memory stack structure with tapered inter-tier joint region and methods of making thereof
US10224340B2 (en) 2017-06-19 2019-03-05 Sandisk Technologies Llc Three-dimensional memory device having discrete direct source strap contacts and method of making thereof
US10438964B2 (en) 2017-06-26 2019-10-08 Sandisk Technologies Llc Three-dimensional memory device having direct source contact and metal oxide blocking dielectric and method of making thereof
CN109326604A (zh) * 2017-08-01 2019-02-12 华邦电子股份有限公司 三维存储器及其操作方法
US10453798B2 (en) 2017-09-27 2019-10-22 Sandisk Technologies Llc Three-dimensional memory device with gated contact via structures and method of making thereof
US10115459B1 (en) 2017-09-29 2018-10-30 Sandisk Technologies Llc Multiple liner interconnects for three dimensional memory devices and method of making thereof
US10229931B1 (en) 2017-12-05 2019-03-12 Sandisk Technologies Llc Three-dimensional memory device containing fluorine-free tungsten—word lines and methods of manufacturing the same
US10373969B2 (en) 2018-01-09 2019-08-06 Sandisk Technologies Llc Three-dimensional memory device including partially surrounding select gates and fringe field assisted programming thereof
US10283493B1 (en) 2018-01-17 2019-05-07 Sandisk Technologies Llc Three-dimensional memory device containing bonded memory die and peripheral logic die and method of making thereof
US10510738B2 (en) 2018-01-17 2019-12-17 Sandisk Technologies Llc Three-dimensional memory device having support-die-assisted source power distribution and method of making thereof
US10256247B1 (en) 2018-02-08 2019-04-09 Sandisk Technologies Llc Three-dimensional memory device with silicided word lines, air gap layers and discrete charge storage elements, and method of making thereof
US10615123B2 (en) 2018-03-14 2020-04-07 Sandisk Technologies Llc Three-dimensional memory device containing compositionally graded word line diffusion barrier layer for and methods of forming the same
US10355017B1 (en) 2018-03-23 2019-07-16 Sandisk Technologies Llc CMOS devices containing asymmetric contact via structures and method of making the same
US10770459B2 (en) 2018-03-23 2020-09-08 Sandisk Technologies Llc CMOS devices containing asymmetric contact via structures
US10756186B2 (en) 2018-04-12 2020-08-25 Sandisk Technologies Llc Three-dimensional memory device including germanium-containing vertical channels and method of making the same
KR102639721B1 (ko) * 2018-04-13 2024-02-26 삼성전자주식회사 3차원 반도체 메모리 장치
US10381322B1 (en) 2018-04-23 2019-08-13 Sandisk Technologies Llc Three-dimensional memory device containing self-aligned interlocking bonded structure and method of making the same
US10879260B2 (en) 2019-02-28 2020-12-29 Sandisk Technologies Llc Bonded assembly of a support die and plural memory dies containing laterally shifted vertical interconnections and methods for making the same
JP2020155450A (ja) * 2019-03-18 2020-09-24 キオクシア株式会社 半導体記憶装置

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3651689B2 (ja) * 1993-05-28 2005-05-25 株式会社東芝 Nand型不揮発性半導体記憶装置及びその製造方法
JPH1093083A (ja) * 1996-09-18 1998-04-10 Toshiba Corp 半導体装置の製造方法
JP3963678B2 (ja) * 2001-06-23 2007-08-22 富士雄 舛岡 半導体記憶装置の製造方法
JP2005093808A (ja) * 2003-09-18 2005-04-07 Fujio Masuoka メモリセルユニット、それを備えてなる不揮発性半導体記憶装置及びメモリセルアレイの駆動方法
JP5016832B2 (ja) 2006-03-27 2012-09-05 株式会社東芝 不揮発性半導体記憶装置及びその製造方法
JP2007317874A (ja) * 2006-05-25 2007-12-06 Toshiba Corp 不揮発性半導体記憶装置
JP4468433B2 (ja) 2007-11-30 2010-05-26 株式会社東芝 不揮発性半導体記憶装置
JP5142692B2 (ja) * 2007-12-11 2013-02-13 株式会社東芝 不揮発性半導体記憶装置
US7910973B2 (en) * 2008-03-17 2011-03-22 Kabushiki Kaisha Toshiba Semiconductor storage device
US7910979B2 (en) * 2008-07-08 2011-03-22 Kabushiki Kaisha Toshiba Nonvolatile semiconductor memory device
JP5112201B2 (ja) 2008-07-11 2013-01-09 株式会社東芝 不揮発性半導体記憶装置

Also Published As

Publication number Publication date
JP2010045149A (ja) 2010-02-25
US20100038703A1 (en) 2010-02-18
KR101031699B1 (ko) 2011-04-29
TWI400792B (zh) 2013-07-01
TW201025574A (en) 2010-07-01
KR20100020435A (ko) 2010-02-22
US8008710B2 (en) 2011-08-30

Similar Documents

Publication Publication Date Title
JP5288936B2 (ja) 不揮発性半導体記憶装置
JP5142692B2 (ja) 不揮発性半導体記憶装置
US10020319B2 (en) Semiconductor memory device having pillars on a peripheral region and method of manufacturing the same
JP5279560B2 (ja) 不揮発性半導体記憶装置
JP4822841B2 (ja) 半導体記憶装置及びその製造方法
JP6581012B2 (ja) 半導体記憶装置及びその製造方法
JP5112201B2 (ja) 不揮発性半導体記憶装置
JP5317664B2 (ja) 不揮発性半導体記憶装置の製造方法
JP4649487B2 (ja) 不揮発性半導体記憶装置及びその製造方法
JP4768557B2 (ja) 不揮発性半導体記憶装置及びその製造方法
US8199573B2 (en) Nonvolatile semiconductor memory device
US20210066344A1 (en) Vertical non-volatile memory devices and methods of programming the same
CN110416220B (zh) 半导体存储装置
JP2011061159A (ja) 不揮発性半導体記憶装置
JP2010114369A (ja) 不揮発性半導体記憶装置
CN112117278B (zh) 半导体存储装置及其制造方法
KR20130076372A (ko) 비휘발성 메모리 장치, 그 동작 방법 및 그 제조 방법
JP2013197537A (ja) 不揮発性半導体記憶装置およびその製造方法
JP5908389B2 (ja) 不揮発性半導体記憶装置
TWI792309B (zh) 半導體記憶裝置及其製造方法
KR102497881B1 (ko) 집적도를 향상시킨 3차원 플래시 메모리 및 그 동작 방법
CN116530229A (zh) 提高集成度的三维快闪存储器及其工作方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20100915

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20121211

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20121213

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130208

RD01 Notification of change of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7421

Effective date: 20130221

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20130226

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130423

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20130514

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20130604

LAPS Cancellation because of no payment of annual fees