KR102102787B1 - 기판 처리 장치 및 블록커 플레이트 어셈블리 - Google Patents

기판 처리 장치 및 블록커 플레이트 어셈블리 Download PDF

Info

Publication number
KR102102787B1
KR102102787B1 KR1020130157325A KR20130157325A KR102102787B1 KR 102102787 B1 KR102102787 B1 KR 102102787B1 KR 1020130157325 A KR1020130157325 A KR 1020130157325A KR 20130157325 A KR20130157325 A KR 20130157325A KR 102102787 B1 KR102102787 B1 KR 102102787B1
Authority
KR
South Korea
Prior art keywords
space
distribution
process gas
chamber
plate assembly
Prior art date
Application number
KR1020130157325A
Other languages
English (en)
Other versions
KR20150070751A (ko
Inventor
이소영
이수호
이창윤
김익수
이주현
홍종원
Original Assignee
삼성전자주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 삼성전자주식회사 filed Critical 삼성전자주식회사
Priority to KR1020130157325A priority Critical patent/KR102102787B1/ko
Priority to US14/463,166 priority patent/US9362091B2/en
Publication of KR20150070751A publication Critical patent/KR20150070751A/ko
Application granted granted Critical
Publication of KR102102787B1 publication Critical patent/KR102102787B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45587Mechanical means for changing the gas flow
    • C23C16/45589Movable means, e.g. fans
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45587Mechanical means for changing the gas flow
    • C23C16/45591Fixed means, e.g. wings, baffles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32807Construction (includes replacing parts of the apparatus)

Abstract

본 발명은 기판 처리 장치 및 블록커 플레이트 어셈블리에 관한 것이다. 본 발명의 일 실시 예에 따른 기판 처리 장치는 내부 공간을 제공하는 챔버; 상기 내부 공간의 하부에 위치되는 서셉터; 상기 서셉터와 설정 거리 이격되게 상기 내부 공간의 상부에 위치되고, 분배홀들이 형성된 샤워 헤드; 및 상기 챔버의 상벽과 상기 샤워 헤드 사이에 형성되는 공간을 상부의 유입 공간과 하부의 분배 공간으로 구획하고 유입홀들이 형성된 바디와, 상기 바디의 상면에 링 형상으로 제공되는 구획 리브와, 상기 바디의 하면에 링 형상으로 제공되는 분배 유닛을 갖는 블록커 플레이트 어셈블리를 포함한다.

Description

기판 처리 장치 및 블록커 플레이트 어셈블리{Substrate treating apparatus and blocker plate assembly}
본 발명은 기판 처리 장치 및 블록커 플레이트 어셈블리에 관한 것이다.
기판의 처리에는 플라즈마가 이용될 수 있다. 예를 들어, 기판의 표면에서 박막을 증착시키는 증착 공정은 플라즈마강화 화학기상증착(Plasma Enhanced Chemical Vapor Deposition: PECVD)을 통해 수행될 수 있다. 이때, 플라즈마 상태로 여기된 공정 가스는 기판의 상면으로 공급된 후, 화학 반응을 통해 기판의 표면에 박막을 증착시킨다. 또한, 플라즈마 상태로 여기된 공정 가스를 기판의 상면으로 공급하여, 식각 공정을 수행 할 수도 있다.
기판의 상면으로 공급되는 공정 가스의 양은 영역별로 편차가 발생할 수 있다. 이와 같은 영역별 편차는 기판에 증착되는 박막의 두께 또는 기판의 식각 량의 영역별 편차를 야기할 수 있다.
본 발명은 기판의 위쪽으로 공급되는 공정 가스의 양을 조절할 수 있는 기판 처리 장치 및 블록커 플레이트 어셈블리를 제공하기 위한 것이다.
본 발명의 일 측면에 따르면, 내부 공간을 제공하는 챔버; 상기 내부 공간의 하부에 위치되는 서셉터; 상기 서셉터와 설정 거리 이격되게 상기 내부 공간의 상부에 위치되고, 분배홀들이 형성된 샤워 헤드; 및 상기 챔버의 상벽과 상기 샤워 헤드 사이에 형성되는 공간을 상부의 유입 공간과 하부의 분배 공간으로 구획하고 유입홀들이 형성된 바디와, 상기 바디의 상면에 링 형상으로 제공되는 구획 리브와, 상기 바디의 하면에 링 형상으로 제공되는 분배 유닛을 갖는 블록커 플레이트 어셈블리플 포함하는 기판 처리 장치가 제공될 수 있다.
본 발명의 일 실시 예에 의하면, 기판의 위쪽으로 공급되는 공정 가스의 양을 조절할 수 있는 기판 처리 장치가 제공될 수 있다.
도 1은 본 발명의 일 실시 예에 따른 기판 처리 장치를 나타내는 도면이다.
도 2는 블록커 플레이트 어셈블리의 상부 사시도이다.
도 3은 블록커 플레이트 어셈블리의 하부 사시도이다.
도 4는 도 3의 A-A에 따른 단면도이다.
도 5는 제 1 모드 및 제 2 모드에서의 샤워 헤드 및 블록커 플레이트 어셈블리 부분의 확대 단면도이다.
도 6 및 도 7은 제 1 모드 또는 제 2 모드에 따라 증착 공정이 수행된 기판의 개략적 횡단면도이다.
도 8은 제 3 모드 및 제 4 모드에서의 샤워 헤드 및 분배 플레이트 어셈블리 부분의 확대 단면도이다.
도 9 및 도 10은 제 3 모드 또는 제 4 모드에 따라 증착 공정이 수행된 기판의 개략적 횡단면도이다.
도 11은 다른 실시 예에 따른 분배 유닛이 제공된 블록커 플레이트 어셈블리의 부분 종단면도이다.
도 12는 다른 실시 예에 따른 기판 처리 장치를 나타내는 도면이다.
이하, 본 발명의 실시 예를 첨부된 도면들을 참조하여 더욱 상세하게 설명한다. 본 발명의 실시 예는 여러 가지 형태로 변형할 수 있으며, 본 발명의 범위가 아래의 실시 예들로 한정되는 것으로 해석되어서는 안 된다. 본 실시 예는 당업계에서 평균적인 지식을 가진 자에게 본 발명을 더욱 완전하게 설명하기 위해 제공되는 것이다. 따라서 도면에서의 요소의 형상은 보다 명확한 설명을 강조하기 위해 과장되었다.
도 1은 본 발명의 일 실시 예에 따른 기판 처리 장치를 나타내는 도면이다.
도 1을 참조하면, 기판 처리 장치(10)는 챔버(100), 서셉터(200), 가스 공급 유닛(300), 샤워 헤드(400) 및 블록커 플레이트 어셈블리(500)를 포함한다. 기판 처리 장치(10)는 기판에 대하여 플라스마 상태의 공정 가스를 이용하여 공정 처리를 수행한다.
챔버(100)는 내부에 내부 공간이 형성된다. 내부 공간은 기판이 공정 처리되는 공간으로 제공된다. 챔버(100)의 일 측에는 개구(미도시)가 형성될 수 있다. 개구는 기판이 챔버(100) 내부로 출입할 수 있는 통로로 제공된다. 개구는 도어(미도시)에 의해 개폐될 수 있다. 챔버(100)의 일 측에는 배기홀(110)이 형성될 수 있다. 일 예로, 배기홀(110)을 챔버(100)의 하벽(121) 또는 측벽(122)에 형성될 수 있다. 배기홀(110)은 내부 공간에 있는 가스를 배기할 수 있다. 구체적으로, 배기홀(110)은 공정 과정에서 발생한 반응 부산물 또는 내부 공간에 잔류하는 공정 가스를 외부로 배출할 수 있다. 배기홀(110)을 통한 반응 부산물 및 공정 가스의 배출은 기판에 대한 공정 처리가 완료된 후 수행될 수 있다. 또한, 배기홀(110)을 통한 반응 부산물 및 공정 가스의 배출은 공정 처리 과정에 이루어져, 내부 공간의 압력을 설정 압력으로 유지할 수 도 있다. 그리고, 배기홀(110)은 기판에 대한 공정 처리가 수행되기 전 내부 공간의 가스를 배출하여, 내부 공간의 압력이 설정 압력이 되도록 조절할 수도 있다.
서셉터(200)는 내부 공간의 하부에 위치되어 기판을 지지한다. 예를 들어, 서셉터(200)의 하부는 지지축(210)과 연결되어, 서셉터(200)는 챔버(100)의 하벽(121)에서 위쪽으로 설정 거리 이격되게 위치될 수 있다. 또한, 서셉터(200)는 챔버(100) 하벽(121)의 상면에 위치되게 제공될 수 도 있다.
가스 공급 유닛(300)은 내부 공간으로 공정 가스를 공급한다. 가스 공급 유닛(300)은 저장 부재(310), 제 1 조절 부재(321) 및 제 2 조절 부재(322)를 포함한다.
저장 부재(310)는 공정 가스를 저장한다. 저장 부재(310)는 공급 라인(330)을 통해 챔버(100)에 연결된다. 공급 라인(330)은 제 1 라인(331) 및 제 2 라인(332)을 포함한다. 제 1 라인(331)의 일단은 챔버(100)의 상벽(123)에 형성된 제 1 공급홀(131)에 연결된다. 제 2 라인(332)의 일단은 챔버(100)의 상벽(123)에 형성된 제 2 공급홀(132)에 연결된다. 제 2 공급홀(132)은 제 1 공급홀(131)보다 챔버(100) 상벽(123)의 외측에 형성된다. 제 2 공급홀(132)은 복수개 형성될 수 있다. 복수개의 제 2 공급홀(132)들은 제 1 공급홀(131)의 둘레에 위치될 수 있다. 그리고, 제 2 라인(332)의 일단은 제 2 공급홀(132)들의 수와 동일하게 분지되어, 제 2 공급홀(132)들 각각에 연결된다. 제 1 라인(331)의 타단 및 제 2 라인(332)의 타단은 저장 부재(310)와 연통되게 제공된다. 일 예로, 제 1 라인(331)의 타단 및 제 2 라인(332)의 타단은 각각 저장 부재(310)와 직접 연결될 수 있다. 또한, 제 1 라인(331)의 타단은 저장 부재(310)와 직접 연결되고, 제 2 라인(332)의 타단은 제 1 라인(331)에서 분지되게 제공될 수 있다. 또한, 제 2 라인(332)의 타단은 저장 부재(310)와 직접 연결되고, 제 1 라인(331)의 타단은 제 2 라인(332)에서 분지되게 제공될 수 도 있다.
제 1 조절 부재(321)는 제 1 라인(331) 상에 제공된다. 제 1 조절 부재(321)는 제 1 라인(331)의 개폐할 수 있다. 또한, 제 1 조절 부재(321)는 제 1 라인(331)을 통해 제 1 공급홀(131)로 공급되는 공정 가스의 양을 조절할 수 있다.
제 2 조절 부재(322)는 제 2 라인(332) 상에 제공된다. 제 2 조절 부재(322)는 제 2 라인(332)의 개폐할 수 있다. 또한, 제 2 조절 부재(322)는 제 2 라인(332)을 통해 제 2 공급홀(132)로 공급되는 공정 가스의 양을 조절할 수 있다.
샤워 헤드(400)는 내부 공간으로 공급된 공정 가스가 균일하게 퍼진 후 서셉터(200)의 위쪽으로 공급되게 한다. 샤워 헤드(400)는 플레이트 형상으로 제공될 수 있다. 샤워 헤드(400)에는 공정 가스가 유동될 수 있는 분배홀(410)들이 형성된다. 샤워 헤드(400)는 내부 공간의 상부에 위치되도록 챔버(100)에 고정되어, 서셉터(200)와 설정 거리 이격되게 위치된다. 샤워 헤드(400)와 서셉터(200) 사이에는 공정 처리가 수행되는 공정 공간(141)이 형성될 수 있다. 일 예로, 샤워 헤드(400)의 외측 단부는 위쪽 방향으로 구부러 제공된 후, 챔버(100)의 상벽(123)에 연결될 수 있다. 또한, 샤워 헤드(400)는 챔버(100)의 측벽(122)에 연결 될 수 도 있다.
공정 공간(141)으로 공급된 공정 가스는 플라즈마 상태로 제공된다. 일 예로, 기판 처리 장치(10)는 용량 결합형 플라즈마(Capacitively Coupled Plasma, CCP) 방식으로 공정 가스를 플라즈마 상태로 여기할 수 있다. 즉, 샤워 헤드(400) 및 서셉터(200)에 공정 가스 여기를 위한 전원이 연결될 수 있다. 또한, 기판 처리 장치(10)는 유도 결합형 플라즈마(Inductively Coupled Plasma, ICP) 방식으로 공정 가스를 플라즈마 상태로 여기할 수 있다. 즉, 챔버(100)의 외측에는 공정 가스 여기를 위한 코일이 제공될 수 있다. 또한, 기판 처리 장치(10)는 리모트 타입으로 제공되어, 가스 공급 유닛(300)은 플라즈마 상태로 여기된 공정 가스를 챔버(100)로 공급할 수 있다.
도 2는 블록커 플레이트 어셈블리의 상부 사시도이다.
도 1 및 도 2를 참조하면, 블록커 플레이트 어셈블리(500)는 샤워 헤드(400) 위쪽의 내부 공간을 유입 공간(142) 및 분배 공간(143)으로 구획한다. 블록커 플레이트 어셈블리(500)는 샤워 헤드(400)를 보조하여, 공정 가스가 균일하게 퍼지게 할 수 있다. 또한, 블록커 플레이트 어셈블리(500)는 영역에 따라 공급되는 공정 가스의 양을 조절할 수 있다.
블록커 플레이트 어셈블리(500)는 바디(510), 구획 리브(520) 및 분배 유닛(530)을 포함한다.
바디(510)는 블록커 플레이트 어셈블리(500)의 골격을 형성한다. 바디(510)는 샤워 헤드(400)와 챔버(100)의 상벽(123) 사이에 형성되는 공간에 제공된다. 바디(510)는 플레이트 형상으로 제공될 수 있다. 바디(510)의 윤곽은 내부 공간의 횡단면 형상에 대응되게 제공될 수 있다. 예를 들어, 바디(510)는 원형 플레이트 형상으로 제공될 수 있다. 또한, 바디(510)는 다각형 모양의 플레이트로 제공될 수 도 있다. 바디(510)에는 공정 가스가 유동하는 유입홀(511)들이 형성된다.
바디(510)는 챔버(100)의 내면 또는 샤워 헤드(400)에 고정된다. 일 예로, 바디(510)의 외측은 위쪽으로 구부러 지게 형성되어, 챔버(100)의 상벽(123)에 고정될 수 있다. 또한, 바디(510)는 측면은 샤워 헤드(400)까지 연장되게 제공되어, 샤워 헤드(400)에서 위쪽 방향으로 구부러진 부분에 연결될 수 도 있다. 바디(510)와 챔버(100)의 내벽 사이에는 유입 공간(142)이 형성되고, 바디(510)와 샤워 헤드(400) 사이에는 분배 공간(143)이 형성된다.
구획 리브(520)는 바디(510)의 상면에 제공된다. 구획 리브(520)는 링 형상으로 제공된다. 일 예로, 구획 리브(520)는 바디(510)의 중심을 기준으로 동일 반경 상에 원형 링 형상으로 제공될 수 있다. 바디(510)의 상면에서 돌출된 구획 리브(520)의 높이는 바디(510)의 상면과 챔버(100)의 상벽(123)의 이격 거리에 대응되게 형성될 수 있다. 따라서, 블록커 플레이트 어셈블리(500)가 설치되면, 구획 리브(520)의 상단은 챔버(100)의 상벽(123)에 접할 수 있다. 유입 공간(142)은 구획 리브(520)에 의해 제 1 유입 공간(142a) 및 제 2 유입 공간(142b)으로 구획된다. 제 2 유입 공간(142b)은 제 1 유입 공간(142a)의 둘레에 형성된다. 그리고, 제 1 공급홀(131)은 제 1 유입 공간(142a)과 연결되고, 제 2 공급홀(132)은 제 2 유입 공간(142b)과 연결되게 위치된다.
도 3은 블록커 플레이트 어셈블리의 하부 사시도이다.
도 1 내지 도 3을 참조하면, 분배 유닛(530)은 바디(510)의 하면에 제공된다. 분배 유닛(530)은 링 형상으로 제공된다. 분배 유닛(530)은 바디(510)를 기준으로 구획 리브(520)에 대칭되는 위치에 제공될 수 있다. 구체적으로, 구획 리브(520)가 바디(510)의 중심에 대한 원주 상에 위치한 원형 링으로 제공될 때, 분배 유닛(530)은 바디(510)의 중심을 기준으로 구획 리브(520)와 동일 반경을 갖는 원주 상에 위치한 원형 링 형상으로 제공될 수 있다. 또한, 분배 유닛(530)이 바디(510)의 중심에 대해 갖는 반지름은 바디(510)의 반지름에 대해 3분의 1 이상일 수 있다.
분배 유닛(530)은 분배 공간(143)을 제 1 분배 공간(143a) 및 제 2 분배 공간(143b)으로 구획한다. 제 1 분배 공간(143a)은 제 1 유입 공간(142a)의 아래쪽에 위치되고, 제 2 분배 공간(143b)은 제 2 유입 공간(142b)의 아래쪽에 위치된다. 그리고, 샤워 헤드(400)에 형성될 분배홀(410)들 가운데 일부는 분배 유닛(530)의 아래쪽에 위치되도록 형성된다. 즉, 샤워 헤드(400)에는 분배홀(410)들 가운데 일부 분배홀(410)들이 제 1 분배 공간(143a)과 제 2 분배 공간(143b)의 경계를 이루는 선을 따라 위치되게 배열될 수 있다.
도 4는 도 3의 A-A에 따른 단면도이다.
도 1 내지 도 4를 참조하면, 분배 유닛(530)은 그 높이가 가변되게 제공된다. 분배 유닛(530)은 가이드 부(531)들 및 승강 부재(532)를 포함한다.
가이드 부(531)들은 바디(510)의 하면에 하방으로 연장되게 제공된다. 가이드 부(531)들은 승강 부재(532)의 이동을 가이드 한다. 가이드 부(531)들은 제 1 가이드 부(531a) 및 제 2 가이드 부(531b)를 포함한다. 제 1 가이드 부(531a) 및 제 2 가이드 부(531b)는 각각 서로 인접한 링 형상으로 제공된다. 제 1 가이드 부(531a)의 외측면은 제 2 가이드 부(531b)의 내측면과 설정 거리 이격되게 제공된다.
승강 부재(532)는 제 1 가이드 부(531a)와 제 2 가이드 부(531b) 사이에 형성되는 공간에 승강 가능하게 위치된다. 승강 부재(532)의 폭은 제 1 가이드 부(531a)와 제 2 가이드 부(531b)가 이격된 거리에 대응되게 제공될 수 있다. 기판 처리 장치(10)는 가스 공급 유닛(300)과 분배 유닛(530)의 동작에 따라서 제 1 모드 내지 제 4 모드로 동작할 수 있다.
도 5는 제 1 모드 및 제 2 모드에서의 샤워 헤드 및 블록커 플레이트 어셈블리 부분의 확대 단면도이다.
도 1 내지 도 5를 참조하면, 제 1 모드 및 제 2 모드일 때, 분배 유닛(530)은 제 1 분배 공간(143a)과 제 2 분배 공간(143b) 사이의 공정 가스의 유동이 최소화 되게 한다.
제 1 모드 및 제 2 모드에서, 승강 부재(532)는 하방으로 이동된 상태로 위치된다. 이때, 승강 부재(532)의 하단은 샤워 헤드(400)의 상면과 설정 거리 이격되게 위치될 수 있다. 이 때, 이격된 거리는 공정 가스의 유동이 원활히 이루어 지지 않을 정도로 설정된다. 또한, 승강 부재(532)의 하단은 샤워 헤드(400)의 상면과 접하게 위치되어, 제 1 분배 공간(143a)과 제 2 분배 공간(143b) 사이의 공정 가스 유동을 차단할 수 도 있다.
제 1 모드일 때, 가스 공급 유닛(300)은 제 2 공급홀(132)보다 제 1 공급홀(131)로 더 많은 양의 공정 가스를 공급한다. 제 1 공급홀(131)로 공급된 공정 가스는 제 1 유입 공간(142a) 및 제 1 분배 공간(143a)을 지나 기판의 중심 영역으로 공급된다. 제 2 공급홀(132)로 공급된 공정 가스는 제 2 유입 공간(142b) 및 제 2 분배 공간(143b)을 지나 기판의 가장자리 영역으로 공급된다.
도 6 및 도 7은 제 1 모드 또는 제 2 모드에 따라 증착 공정이 수행된 기판의 개략적 횡단면도이다.
기판(S1, S2)의 상면으로 공급되는 공정 가스의 양 또는 기판의 상면에서의 공정 가스의 잔류 시간 가운데 하나는 나머지 하나 보다 기판(S1, S2)에 증착된 박막(F1, F2)의 두께에 더 큰 영향을 줄 수 있다. 이와 같은 차이는 가스 공급 유닛(300)이 공급하는 공정 가스의 종류, 제 1 공급홀(131)과 제 2 공급홀(132)로 공급되는 공정 가스의 상대적 비율 등에 발생될 수 있다.
먼저, 공정 가스의 양이 박막(F1)의 두께에 큰 영향을 주는 경우, 기판(S1)에서 공급되는 공정 가스의 양이 상대적을 많은 부분이 적은 부분보다 박막(F1)이 두껍께 형성될 수 있다. 따라서, 제 1 모드일 때, 기판(S1)에 증박된 박막(F1)의 개략적 측단면 형상은 도 6과 같이 형성될 수 있다.
또한, 공정 가스의 잔류 시간이 박막(F2)의 두께에 큰 영향을 주는 경우, 기판(S2)에서 공정 가스의 유속이 상대적으로 느리게 형성되는 부분이 빠르게 형성되는 부분보다 박막(F2)이 두껍게 형성될 수 있다. 그리고, 기판(S2)의 상면에서의 공정 가스의 유속은 공급되는 공정가스의 양에 따라 영향을 받을 수 있다. 구체적으로, 제 1 모드에서 기판(S2)의 상면 부근에서의 공정 가스의 유속은 공급되는 공정가스이 양에 비례할 수 있다. 따라서, 기판(S2)의 중앙 부분에서의 유속은 기판의 가장 자리에서의 유속보다 빠르게 형성될 수 있다. 따라서, 제 1 모드일 때, 기판(S2)에 증착된 박막(F2)의 개략적 측단면 형상은 도 7과 같이 형성될 수 도 있다.
제 2 모드일 때, 가스 공급 유닛(300)은 제 1 공급홀(131)보다 제 2 공급홀(132)로 더 많은 양의 공정 가스를 공급한다. 따라서, 공정 가스는 기판(S1, S2)의 중앙 영역보다 기판(S1, S2)의 가장 자리 영역으로 더 많이 공급된다.
먼저, 공정 가스의 양이 박막(F2)의 두께에 큰 영향을 주는 경우, 제 2 모드에서 기판(S2)에 증착된 박막(F2)의 개략적 측단면 형상은 도 7과 같이 형성될 수 있다.
반면, 공정 가스의 잔류 시간이 박막의 두께에 큰 영향을 주는 경우, 제 2 모드에서 기판(S1)에 증착된 박막(F1)의 개략적 측단면 형상은 도 6과 같이 형성될 수 있다. 구체적으로, 기판(S1)의 가장 자리 영역은 중앙 영역보다 공급되는 공정 가스의 양에 의해 공정 가스의 유속이 상대적으로 빠르게 형성된다. 반면, 기판(S1)의 중앙 영역은 공급되는 공정 가스의 양이 상대적으로 적어 유속 역시 상대적으로 느리게 형성된다. 또한, 기판(S1)의 중앙 영역으로 공급된 공정 가스의 유동은 기판(S1)의 가장 자리 영역으로 공급된 공정 가스에 의해 방해를 받을 수 있다. 이에 의해, 기판(S1)의 중앙 영역은 공정 가스의 잔류시간이 더 증가될 수 있다.
도 8은 제 3 모드 및 제 4 모드에서의 샤워 헤드 및 분배 플레이트 어셈블리 부분의 확대 단면도이다.
도 1 내지 도 4, 도 8을 참조하면, 제 3 모드 및 제 4 모드일 때, 분배 유닛(530)은 제 1 분배 공간(143a)과 제 2 분배 공간(143b) 사이에 설정량의 공정 가스가 유동하게 한다.
제 3 모드 및 제 4 모드에서, 승강 부재(532)는 상방으로 이동된 상태로 위치된다. 이때, 승강 부재(532)는 가이드 부(531) 사이로 모두 수용되게 위치될 수 있다. 또한, 승강 부재(532)는 그 하단이 가이드 부(531) 밖으로 일부 노출되게 위치될 수 도 있다. 승강 부재(532)의 하단은 샤워 헤드(400)의 상면과 설정 거리 이격되어, 이 틈을 통해 공정 가스는 제 1 분배 공간(143a)과 제 2 분배 공간(143b) 사이를 유동할 수 있다.
도 9 및 도 10은 제 3 모드 또는 제 4 모드에 따라 증착 공정이 수행된 기판의 개략적 횡단면도이다.
제 3 모드일 때, 가스 공급 유닛(300)은 제 2 공급홀(132)보다 제 1 공급홀(131)로 더 많은 양의 공정 가스를 공급한다. 제 1 공급홀(131)로 공급된 공정 가스는 제 1 유입 공간(142a)을 지나 제 1 분배 공간(143a)으로 공급된다. 제 2 공급홀(132)로 공급된 공정 가스는 제 2 유입 공간(142b)을 지나 제 2 분배 공간(143b)으로 공급된다. 공정 가스 양의 차이에 의해 제 1 분배 공간(143a)의 압력은 제 2 분배 공간(143b)의 압력보다 높게 형성될 수 있다. 따라서, 제 1 분배 공간(143a)과 제 2 분배 공간(143b)이 인접한 부분에서는, 제 1 분배 공간(143a)에서 제 2 분배 공간(143b) 방향으로 공정 가스의 유동이 발생한다. 이와 같은 유동에 의해 제 1 분배 공간(143a)에서의 공정 가스의 밀도는 중앙 영역이 가장자리 영역보다 높게 형성될 수 있다. 또한, 제 2 분배 공간(143b)에서는 공정 가스가 가장 자리 영역으로 갈수로 압축되어 밀도가 높아지게 형성될 수 도 있다. 또한, 분배홀(410)들 가운데 일부 분배홀(410)들은 분배 유닛(530)의 아래쪽에 위치되도록 형성된다. 따라서, 공정 가스의 유동에 따른 제 1 분배 공간(143a)에서부터 제 2 분배 공간(143b)에 걸친 공정 가스 양의 변화가 공정 공간(141)으로 공급되는 공정 가스 양에 직접 반영될 수 있다. 따라서, 제 3 모드일 때, 샤워 헤드(400)에서 공정 공간(141)으로 공급되는 공정 가스 밀도의 분포는 W형상으로 형성될 수 있다.
공정 가스의 양이 박막의 두께에 큰 영향을 주는 경우, 제 3 모드에서 기판(S3)에 증박된 박막(F3)의 개략적 측단면 형상은 도 9와 같이 형성될 수 있다.
반면, 공정 가스의 잔류 시간이 박막(F4)의 두께에 큰 영향을 주는 경우, 제 3 모드에서 기판(S4)에 증착된 박막(F4)의 개략적 측단면 형상은 도 10과 같이 형성될 수 있다.
제 4 모드일 때, 가스 공급 유닛(300)은 제 1 공급홀(131)보다 제 2 공급홀(132)로 더 많은 양의 공정 가스를 공급한다. 제 1 공급홀(131)로 공급된 공정 가스는 제 1 유입 공간(142a)을 지나 제 1 분배 공간(143a)으로 공급된다. 제 2 공급홀(132)로 공급된 공정 가스는 제 2 유입 공간(142b)을 지나 제 2 분배 공간(143b)으로 공급된다. 공정 가스 양의 차이에 의해 제 2 분배 공간(143b)의 압력은 제 1 분배 공간(143a)의 압력보다 높게 형성될 수 있다. 따라서, 제 1 분배 공간(143a)과 제 2 분배 공간(143b)이 인접한 부분에서는, 제 2 분배 공간(143b)에서 제 1 분배 공간(143a) 방향으로 공정 가스의 유동이 발생한다. 제 2 분배 공간(143b)에서 제 1 분배 공간(143a)으로 갈수로, 동일한 폭을 공간의 체적은 감소된다. 따라서, 제 2 분배 공간(143b)에서 제 1 분배공간으로 유입되는 공정 가스는 체적의 감소에 따라 밀도가 높아진다. 공정 가스의 밀도는 유동하는 공정 가스의 양이 가장 많은 분배 유닛(530) 부위에서 가장 높게 형성될 수 있다. 또한, 분배홀(410)들 가운데 일부 분배홀(410)들은 분배 유닛(530)의 아래쪽에 위치되도록 형성된다. 따라서, 공정 가스의 유동에 따른 제 1 분배 공간(143a)에서부터 제 2 분배 공간(143b)에 걸친 공정 가스 양의 변화가 공정 공간(141)으로 공급되는 공정 가스 양에 직접 반영될 수 있다. 따라서, 제 4 모드일 때, 샤워 헤드(400)에서 공정 공간(141)으로 공급되는 공정 가스 밀도의 분포는 M 형상으로 형성될 수 있다.
공정 가스의 잔류 시간이 박막(F3)의 두께에 큰 영향을 주는 경우, 제 4 모드에서 기판(S3)에 증착된 박막(F3)의 개략적 측단면 형상은 도 9와 같이 형성될 수 있다.
반면, 공정 가스의 양이 박막(F4)의 두께에 큰 영향을 주는 경우, 제 4 모드에서 기판(S4)에 증박된 박막(F4)의 개략적 측단면 형상은 도 10과 같이 형성될 수 있다.
이상에서, 기판 처리 장치(10)는 기판에 대해 증착 공정을 수행하는 경우를 예로 들어, 기판 처리 장치(10)의 동작을 설명하였다. 그러나, 기판 처리 장치(10)는 기판에 대해 식각 공정 또는 에싱 공정을 수행 할 수 도 있다.
도 11은 다른 실시 예에 따른 분배 유닛이 제공된 블록커 플레이트 어셈블리의 부분 종단면도이다.
도 11을 참조하면, 분배 유닛(550)은 승강 부재(550)로 제공될 수 있다. 승강 부재(550)는 바디(540)의 하면에 위치되고, 상하로 승강 가능하게 제공된다. 승강 부재(550)는 링 형상으로 제공된다. 승강 부재(550)는 바디(540)를 기준으로 구획 리브(541)에 대칭되는 위치에 제공될 수 있다. 승강 부재(550)는 바디(540)의 하면에 링 형상의 홈으로 형성되는 수용부(542)에 승강 가능하게 위치될 수 있다. 수용부(542)는 바디(540) 및 구획 리브(541)에 걸쳐 형성될 수 있다. 이때, 승강 부재(550)의 폭은 구획 리브(541)의 폭보다 작게 형성된다. 또한, 수용부(542)는 바디(540)에만 형성 될 수 도 있다.
도 12는 다른 실시 예에 따른 기판 처리 장치를 나타내는 도면이다.
도 12를 참조하면, 기판 처리 장치(11)는 챔버(101), 서셉터(201), 가스 공급 유닛(301), 샤워 헤드(401) 및 블록커 플레이트 어셈블리(501)를 포함한다.
블록커 플레이트 어셈블리(501) 및 챔버(101)에서 블록커 플레이트 어셈블리(501)와 결합되는 부분을 제외한, 챔버(101), 서셉터(201) 및 가스 공급 유닛(301)의 구성은 도 1의 기판 처리 장치(10)와 동일하므로 이들에 대한 반복된 설명은 생략한다.
블록커 플레이트 어셈블리(501)는 바디(560), 구획 리브(570), 분배 유닛(580) 및 구동 유닛(590)을 포함한다.
바디(560), 구획 리브(570) 및 분배 유닛(580)은 구동 유닛(590)과 연결되는 부분의 제외하고 도 1 내지 도 1 내지 도 4의 블록커 플레이트 어셈블리(500)에 포함되는 바디(510), 구획 리브(520) 및 분배 유닛(530)과 동일하므로 이들에 대한 반복된 반복된 설명을 생략한다. 또한, 분배 유닛(580)은 도 11의 분배 유닛(550)과 같이 제공될 수 도 있다.
구동 유닛(590)은 연결 부재(591) 및 구동 부재(592)를 포함한다.
연결 부재(591)는 승강 부재(581)와 구동 부재(592)를 연결한다. 바디(560) 및 구획 리브(570)에는 상하 방향으로 승강홀(561)이 형성된다. 그리고, 챔버(101)의 상벽(123)에는 승강홀(561)과 정렬되는 위치에 연결홀(140)이 형성된다. 연결 부재(591)는 그 단면의 형상이 승강홀(561) 및 연결홀(140)에 대응되는 로드로 제공될 수 있다. 연결 부재(591)의 일단은 승강 부재(581)에 연결되고, 연결 부재(591)의 타단은 챔버(101)의 외측에서 구동 부재(592)에 연결된다.
구동 부재(592)는 연결 부재(591)가 그 길이 방향을 따라 이동하는 동력을 제공한다. 예를 들어, 구동 부재(592)는 실린더 방식으로 연결 부재(591)와 연결되어, 유압에 의해 연결 부재(591)를 이동 시킬 수 있다. 또한, 구동 부재(592)는 모터 및 모터의 동력을 연결 부재(591)에 전달하는 구조물로 제공될 수 있다. 또한, 구동 부재(592)는 작업자가 수동으로 연결 부재(591)의 이동 정도를 조절할 수 있도록 제공될 수 있다. 예를 들어, 구동 부재(592)는 볼트 및 너트 구조를 통해 연결 부재(591)의 이동 정도를 조절하는 구성으로 제공될 수 있다. 또한, 구동 부재(592)에는 연결 부재(591)의 이동 정도를 작업자가 확인 할 수 있는 눈금 등이 제공될 수 있다. 구동 부재(592)와 챔버(101) 사이에는 차폐 부재(593)가 제공될 수 있다. 차폐 부재(593)는 연결홀(140)이 외부와 차폐 되도록 한다. 차폐 부재(593)는 관 형상으로 제공되어, 그 내부 공간에 연결 부재(591)가 위치된다. 차폐 부재(593)의 일측은 챔버(101)의 외측에 연결되고, 차폐 부재(593)의 타측은 구동 부재(592)에 연결될 수 있다. 또한 차폐 부재(593)는 탄성을 가지거나, 그 길이가 가변 가능하게 제공될 수 있다. 따라서, 구동 부재(592)의 동작 과정에서, 구동 부재(592)가 움직이거나 구동 부재(592)의 진동으로 인해 파손되는 것이 방지될 수 있다.
이상의 상세한 설명은 본 발명을 예시하는 것이다. 또한 전술한 내용은 본 발명의 바람직한 실시 형태를 나타내어 설명하는 것이며, 본 발명은 다양한 다른 조합, 변경 및 환경에서 사용할 수 있다. 즉 본 명세서에 개시된 발명의 개념의 범위, 저술한 개시 내용과 균등한 범위 및/또는 당업계의 기술 또는 지식의 범위내에서 변경 또는 수정이 가능하다. 저술한 실시예는 본 발명의 기술적 사상을 구현하기 위한 최선의 상태를 설명하는 것이며, 본 발명의 구체적인 적용 분야 및 용도에서 요구되는 다양한 변경도 가능하다. 따라서 이상의 발명의 상세한 설명은 개시된 실시 상태로 본 발명을 제한하려는 의도가 아니다. 또한 첨부된 청구범위는 다른 실시 상태도 포함하는 것으로 해석되어야 한다.
100: 챔버 110: 배기홀
121: 하벽 122: 측벽
123: 상벽 200: 서셉터
300: 가스 공급 유닛 310: 저장 부재
400: 샤워 헤드 410: 분배홀
500: 블록커 플레이트 어셈블리 510: 바디
511: 유입홀 520: 구획 리브
530: 분배 유닛 531: 가이드 부
532: 승강 부재

Claims (10)

  1. 내부 공간을 제공하는 챔버;
    상기 내부 공간의 하부에 위치되는 서셉터;
    상기 서셉터와 설정 거리 이격되게 상기 내부 공간의 상부에 위치되고, 분배홀들이 형성된 샤워 헤드; 및
    상기 챔버의 상벽과 상기 샤워 헤드 사이에 형성되는 공간을 상부의 유입 공간과 하부의 분배 공간으로 구획하고 유입홀들이 형성된 바디와, 상기 바디의 상면에 링 형상으로 제공되는 구획 리브와, 상기 바디의 하면에 링 형상으로 제공되는 분배 유닛을 갖는 블록커 플레이트 어셈블리를 포함하되,
    상기 분배 유닛은 그 높이가 가변 가능하게 제공되며,
    상기 분배 유닛은:
    서로 설정 거리 이격되게 인접하게 위치되고, 상기 바디의 하면에서 하방으로 연장되게 형성되는 제1 가이드 부와 제2 가이드 부; 및
    상기 제1 가이드 부와 상기 제2 가이드 부 사이에 상하로 승강 가능하게 위치되는 승강 부재를 포함하는 기판 처리 장치.
  2. 삭제
  3. 삭제
  4. 제 1 항에 있어서,
    블록커 플레이트 어셈블리는,
    상기 승강 부재를 상하로 승강 시키는 구동 유닛을 더 포함하는 기판 처리 장치.
  5. 제 4 항에 있어서,
    상기 구동 유닛은,
    일단이 상기 승강 부재에 연결되는 연결 부재; 및
    상기 챔버의 외부에 위치되어 상기 연결 부재의 타단에 연결되고, 상기 연결 부재가 그 길이 방향을 따라 이동하는 동력을 제공하는 구동 부재를 포함하고,
    상기 바디와 상기 구획 리브에는 상기 연결 부재가 위치되는 승강홀이 형성되고,
    상기 챔버에는 상기 연결 부재가 위치되는 연결홀이 형성되는 기판 처리 장치.
  6. 제 1 항에 있어서,
    상기 바디의 하면에는 링 형상으로 홈으로 형성되는 수용부가 형성되고,
    상기 분배 유닛은 상하로 승강 가능하게 상기 수용부에 위치되는 승강 부재로 제공되는 기판 처리 장치.
  7. 제 1 항에 있어서,
    상기 분배홀들 가운데 일부는 상기 분배 유닛의 아래쪽에 위치되도록 형성되는 기판 처리 장치.
  8. 제 1 항에 있어서,
    상기 분배 유닛은 원형의 링 형상으로 제공되고,
    상기 분배 유닛이 상기 바디의 중심에 대해 갖는 반지름은 상기 바디의 반지름의 3분의 1 이상인 기판 처리 장치.
  9. 플레이트 형상으로 제공되고, 유입홀들이 형성된 바디;
    상기 바디의 상면에 링 형상으로 제공되는 구획 리브; 및
    상기 바디의 하면에 링 형상으로 제공되되, 그 높이가 가변 가능한 분배 유닛을 포함하며,
    상기 분배 유닛은:
    서로 설정 거리 이격되게 인접하게 위치되고, 상기 바디의 하면에서 하방으로 연장되게 형성되는 제1 가이드 부와 제2 가이드 부; 및
    상기 제1 가이드 부와 상기 제2 가이드 부 사이에 상하로 승강 가능하게 위치되는 승강 부재를 포함하는 블록커 플레이트 어셈블리.
  10. 삭제
KR1020130157325A 2013-12-17 2013-12-17 기판 처리 장치 및 블록커 플레이트 어셈블리 KR102102787B1 (ko)

Priority Applications (2)

Application Number Priority Date Filing Date Title
KR1020130157325A KR102102787B1 (ko) 2013-12-17 2013-12-17 기판 처리 장치 및 블록커 플레이트 어셈블리
US14/463,166 US9362091B2 (en) 2013-12-17 2014-08-19 Substrate treating apparatus and blocker plate assembly

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020130157325A KR102102787B1 (ko) 2013-12-17 2013-12-17 기판 처리 장치 및 블록커 플레이트 어셈블리

Publications (2)

Publication Number Publication Date
KR20150070751A KR20150070751A (ko) 2015-06-25
KR102102787B1 true KR102102787B1 (ko) 2020-04-22

Family

ID=53367871

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020130157325A KR102102787B1 (ko) 2013-12-17 2013-12-17 기판 처리 장치 및 블록커 플레이트 어셈블리

Country Status (2)

Country Link
US (1) US9362091B2 (ko)
KR (1) KR102102787B1 (ko)

Families Citing this family (315)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US10683571B2 (en) * 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) * 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
KR102493945B1 (ko) 2017-06-06 2023-01-30 어플라이드 머티어리얼스, 인코포레이티드 Teos 유동의 독립적 제어를 통한 증착 반경방향 및 에지 프로파일 튜닝가능성
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US20210159094A1 (en) * 2018-05-03 2021-05-27 Applied Materials, Inc. Universal adjustable blocker plate for flow distribution tuning
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10927461B2 (en) * 2018-08-31 2021-02-23 Applied Materials, Inc. Gas diffuser support structure for reduced particle generation
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146691A (zh) * 2020-02-13 2021-12-16 荷蘭商Asm Ip私人控股有限公司 氣體分配總成、噴淋板總成、及調整至反應室之氣體的傳導率之方法
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
CN114242551B (zh) * 2020-09-09 2023-12-08 中微半导体设备(上海)股份有限公司 进气组件及其等离子体处理装置
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3289806B2 (ja) 1994-09-19 2002-06-10 三菱電機株式会社 化学気相成長装置および化学気相成長方法
US6444037B1 (en) 1996-11-13 2002-09-03 Applied Materials, Inc. Chamber liner for high temperature processing chamber
JP3725325B2 (ja) 1998-03-18 2005-12-07 株式会社日立製作所 半導体製造方法ならびに半導体製造装置
JP2001053065A (ja) 1999-08-13 2001-02-23 Nec Kyushu Ltd プラズマ処理装置
US6537420B2 (en) * 1999-12-17 2003-03-25 Texas Instruments Incorporated Method and apparatus for restricting process fluid flow within a showerhead assembly
JP2003529926A (ja) * 2000-03-30 2003-10-07 東京エレクトロン株式会社 プラズマ処理システム内への調整可能なガス注入のための方法及び装置
JP2001351864A (ja) 2000-06-09 2001-12-21 Toshiba Ceramics Co Ltd 薄膜気相成長方法及び該方法に用いられる薄膜気相成長装置
US7622005B2 (en) 2004-05-26 2009-11-24 Applied Materials, Inc. Uniformity control for low flow process and chamber to chamber matching
KR100634451B1 (ko) 2005-01-10 2006-10-16 삼성전자주식회사 반도체 소자 제조 장치
KR100725613B1 (ko) 2005-10-27 2007-06-08 주식회사 래디언테크 배플 및 이를 구비한 플라즈마 처리 장치
JP5211450B2 (ja) * 2006-08-15 2013-06-12 東京エレクトロン株式会社 基板処理装置、基板処理方法及び記憶媒体
US20080302303A1 (en) 2007-06-07 2008-12-11 Applied Materials, Inc. Methods and apparatus for depositing a uniform silicon film with flow gradient designs
US9714465B2 (en) 2008-12-01 2017-07-25 Applied Materials, Inc. Gas distribution blocker apparatus
KR101110080B1 (ko) 2009-07-08 2012-03-13 주식회사 유진테크 확산판을 선택적으로 삽입설치하는 기판처리방법
KR20110055838A (ko) 2009-11-20 2011-05-26 삼성전자주식회사 플라즈마 처리장치
KR20120009596A (ko) 2010-07-19 2012-02-02 엘지디스플레이 주식회사 박막처리장치
KR101373746B1 (ko) 2010-08-17 2014-03-14 세메스 주식회사 플라즈마를 이용한 기판 처리 장치
JP2012126968A (ja) 2010-12-16 2012-07-05 Sharp Corp 気相成長装置および気相成長方法
US20130004681A1 (en) 2011-06-30 2013-01-03 Applied Materials, Inc. Mini blocker plate with standoff spacers

Also Published As

Publication number Publication date
US20150167705A1 (en) 2015-06-18
KR20150070751A (ko) 2015-06-25
US9362091B2 (en) 2016-06-07

Similar Documents

Publication Publication Date Title
KR102102787B1 (ko) 기판 처리 장치 및 블록커 플레이트 어셈블리
CN105409332B (zh) 电感耦合式等离子体(icp)反应器中的功率沉积控制
JP5567392B2 (ja) プラズマ処理装置
US10763138B2 (en) Adjustment plate and apparatus for treating substrate having the same
KR101552666B1 (ko) 기판 처리 장치 및 방법
US9761416B2 (en) Apparatus and methods for reducing particles in semiconductor process chambers
US10886097B2 (en) Plasma processing apparatus and plasma processing method
JP2014532987A5 (ko)
KR20100020320A (ko) 기판 처리 장치 및 기판 수평 조절 방법
KR101383291B1 (ko) 기판 처리 장치
KR101356664B1 (ko) 측방배기 방식 기판처리장치
KR102278074B1 (ko) 기판 처리 장치 및 기판 처리 방법
KR101430658B1 (ko) 원자층 증착장치
KR101983334B1 (ko) 박막 증착장치 및 박막 증착방법
KR101372040B1 (ko) Cvd 균일 흡출/펌핑 안내 구조
US10704145B2 (en) Reaction chamber for chemical vapor apparatus
KR101966800B1 (ko) 기판처리장치 및 방법
KR102291236B1 (ko) 기판 처리 장치
KR101430657B1 (ko) 원자층 증착장치
KR200452532Y1 (ko) 가스 분사 유닛
US9117633B2 (en) Plasma processing apparatus and processing gas supply structure thereof
KR102585773B1 (ko) 플라스마 처리 장치
CN217114307U (zh) 基板处理设备
KR102171514B1 (ko) 기판처리장치
KR102291400B1 (ko) 기판처리장치 및 방법

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant