CN109643630A - 用于等离子体处理腔室的等离子体屏 - Google Patents

用于等离子体处理腔室的等离子体屏 Download PDF

Info

Publication number
CN109643630A
CN109643630A CN201780052603.8A CN201780052603A CN109643630A CN 109643630 A CN109643630 A CN 109643630A CN 201780052603 A CN201780052603 A CN 201780052603A CN 109643630 A CN109643630 A CN 109643630A
Authority
CN
China
Prior art keywords
plasma
plasma screen
circular slab
screen
notch
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201780052603.8A
Other languages
English (en)
Inventor
M·T·尼科尔斯
I·尤瑟夫
J·A·奥马利三世
R·丁德萨
S·E·巴巴扬
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN109643630A publication Critical patent/CN109643630A/zh
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32807Construction (includes replacing parts of the apparatus)
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • H01J37/32495Means for protecting the vessel against plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • H01J37/32633Baffles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder

Abstract

本公开的实施例涉及使用在等离子体处理腔室中的等离子体屏,此等离子体屏具有改进的流导与均匀性。一个实施例提供一种等离子体屏。等离子体屏包含圆形板,圆形板具有中心开口与外径。形成穿过圆形板的多个切口。多个切口被布置于两个或更多个同心圆中。每一同心圆包含均等数量的切口。

Description

用于等离子体处理腔室的等离子体屏
背景
技术领域
本公开的实施例涉及用于处理半导体基板的设备与方法。更特定而言,本公开的实施例涉及等离子体处理腔室中的等离子体屏。
背景技术
诸如平面显示器与集成电路的电子装置,通常由一系列的工艺来制造,在这些工艺中,在基板上沉积层,并将所沉积的材料蚀刻成所需的图案。工艺通常包含物理气相沉积(PVD)、化学气相沉积(CVD)、等离子体增强化学气相沉积(PECVD)、以及其他等离子体处理。特定而言,等离子体处理包含供应处理气体混合物至真空腔室,并施加电性或电磁性电力(RF电力)以将处理气体激发到等离子体状态中。等离子体将气体混合物分解成离子物质,离子物质执行所需的沉积或蚀刻处理。
等离子体处理所遭遇到的一个问题为与处理期间在基板表面上建立均匀等离子体密度相关联的困难度,这将导致基板的中央区域与边缘区域之间的不均匀的处理以及不同基板之间的不均匀的处理。
本公开的实施例涉及使用在等离子体处理腔室中的等离子体屏,以改进基板内的处理均匀性以及不同基板之间的均匀性。
发明内容
本公开的实施例涉及使用在等离子体处理腔室中的等离子体屏,此等离子体屏具有改进的流导(flow conductance)与均匀性。
一个实施例提供一种等离子体屏。等离子体屏包含圆形板,圆形板具有中心开口与外径。形成穿过圆形板的多个切口(cut out)。多个切口被设置于两个或更多个同心圆中,且每一同心圆中的多个切口的总切口面积基本上相等。
另一实施例提供一种等离子体处理腔室。等离子体包含腔室主体、基板支座、与等离子体屏,腔室主体界定处理区域,基板支座具有面向处理区域的基板支座表面,等离子体屏设置在基板支座表面周围,其中等离子体屏包含圆形板,圆形板具有一中心开口以及形成穿过圆形板的多个切口,且圆形板跨基板支座的外侧区域与腔室主体的内侧表面之间的环形区域延伸。
另一实施例提供一种用于处理基板的方法。方法包含将基板放置在等离子体处理腔室中的基板支座上,以及使一或更多种处理气体流动穿过等离子体腔室中的流动路径,其中流动路径包含多个切口,多个切口在设置在基板周围的等离子体屏中,等离子体屏具有圆形板,圆形板跨基板支座与腔室主体之间的环形区域延伸。
附图说明
为了可以详细理解本公开的上述特征的方式,可参考实施例得到以上简要概括的本公开的更具体的描述,附图示出了其中一些实施例。然而应注意到,附图仅示出本公开的典型实施例,且因此不应被视为限制本公开的范围,因为本公开可允许其他等效的实施例。
图1A为根据本公开的一个实施例的等离子体处理腔室的示意性截面图。
图1B为图1A的等离子体处理腔室的示意性部分透视图,示出等离子体屏。
图1C为图1A的放大部分视图,示出等离子体屏对其他腔室部件之间的电性耦合机制。
图2A为根据本公开的一个实施例的等离子体屏的示意性俯视图。
图2B为图2A的等离子体屏的示意性剖面侧视图。
图2C为图2A的部分放大视图,示出图2A的等离子体屏中的一种切口配置。
图2D示意性示出另一种切口配置。
图2E示意性示出另一种切口配置。
图3A为根据本公开的另一实施例的等离子体屏的示意性部分视图俯视图。
图3B为图3A的等离子体屏的示意性部分剖面侧视图。
图3C为替代性配置的等离子体屏的示意部分俯视图。
图3D为图3C的等离子体屏的示意性部分剖面图。
图4A为根据本公开的另一实施例的等离子体屏的示意性俯视图。
图4B为图4A的等离子体屏的示意性剖面侧视图。
图4C为安装在等离子体处理腔室中的图4A的等离子体屏的示意性部分透视图。
图4D为图4C的放大部分视图,示出等离子体屏对其他腔室部件之间的电性耦合机制。
为了促进理解,已尽可能使用相同的附图标记指定附图中共有的相同元件。构想到,在一个实施例中揭示的元件可无需详细的复述即可被有益地利用至其他实施例中。
具体实施方式
本公开总体上涉及使用在等离子体处理腔室中的等离子体屏。根据本公开的等离子体屏改进了基板内(以及不同基板之间)的处理均匀性。
图1A为根据本公开的一个实施例的等离子体处理腔室100的示意性截面图。等离子体处理腔室100可以是等离子体蚀刻腔室、等离子体增强化学气相沉积腔室、物理气相沉积腔室、等离子体处置腔室、离子植入腔室、或其他适合的真空处理腔室。
等离子体处理腔室100可包含源模块102、处理模块104、流动模块106、以及排气模块108。源模块102、处理模块104与流动模块106共同地包围处理区域112。在操作期间,基板116被放置在基板支座组件118上,并暴露至处理环境(诸如产生在处理区域112中的等离子体)以处理基板116。可执行在等离子体处理腔室100中的示例性工艺,可包含蚀刻、化学气相沉积、物理气相沉积、植入、等离子体退火、等离子体处置、减弱、或其他等离子体工艺。通过从排气模块108经由流动模块106进行抽吸,在处理区域112中维持真空。处理区域112可围绕中心轴110基本上对称,以提供对称的电性、气体、以及热流,已建立均匀的处理条件。
在一个实施例中,如图1A示出,源模块102可以是电感耦合等离子体源。源模块102可包含外侧线圈组件120与内侧线圈组件122。外侧线圈组件120与内侧线圈组件122可被连接至射频(RF)电源124。可沿着中心轴110设置气体入口管126。可将气体入口管126连接至气体源132,以供应一个或更多个处理气体至处理区域112。
即使上文描述的是感应式等离子体源,但源模块102可根据处理要求而为任何适合的气体/等离子体源。例如,源模块102可以是电容耦合等离子体源、远程等离子体源、或微波等离子体源。
处理模块104耦合至源模块102。处理模块104可包含腔室主体140,腔室主体140围绕处理区域112。可由能抵抗处理环境的导电材料(诸如铝或不锈钢)制成腔室主体140。基板支座组件118被居中地设置在腔室主体140内,且被围绕中心轴110对称放置以在处理区域112中支撑基板116。
狭缝阀开口142形成为穿过腔室主体140,以允许基板116通过。可在腔室主体140之外设置狭缝阀144,以选择性开启与关闭狭缝阀开口142。
在一个实施例中,可在腔室主体140的上部内设置上侧衬里组件146,上侧衬里组件146遮蔽腔室主体140以不受处理环境的影响。可由导电的、与处理兼容的材料来建构上侧衬里组件146,诸如铝、不锈钢、和/或氧化钇(例如氧化钇涂层铝)。
将流动模块106附接至处理模块104。流动模块106在处理区域112与排气模块108之间提供流动路径。流动模块106也提供基板支座组件118与等离子体处理腔室100外部的大气环境之间的接口。
流动模块106包含外侧壁160、内侧壁162、连接在内侧壁162与外侧壁160之间的两个或更多对径向壁164、以及附接至内侧壁162与两个或更多对径向壁164的底壁166。外侧壁160可包含两个或更多个通孔171,通孔171形成在每对径向壁164之间。机壳154被密封地设置在内侧壁162以及两个或更多对径向壁164上。可在机壳154上设置基板支座组件118。
外侧壁160与内侧壁162可以是同心布置的圆柱壁。在组装时,外侧壁160与内侧壁162的中心轴与等离子体处理腔室100的中心轴110重合。内侧壁162、底壁166、径向壁164以及机壳154将外侧壁160的内侧容积分割成抽气通道114与大气容积168。抽气通道114连接处理模块104的处理区域112。
排气模块108包含对称流动阀180与真空泵182,真空泵182经由泵通口184附接至对称流动阀180。对称流动阀180连接至抽气通道114,以在等离子体处理腔室100中提供对称且均匀的流动。在操作期间,处理气体沿着流动路径186流动穿过处理腔室100。
沿着中心轴110放置基板支座组件118,以围绕中心轴110对称放置基板116。由机壳154支撑基板支座组件118。基板支座组件118可包含边缘环150,边缘环150设置在支座板174周围。在基板支座组件118周围设置基板支座衬里152,以遮蔽基板支座组件118以不受工艺化学过程的影响。
可在基板支座组件118周围设置等离子体屏170,以将等离子体局限于基板116上方。在一个实施例中,可设置等离子体屏170,以覆盖基板支座衬里152与上侧衬里组件146之间的环形容积113的入口。等离子体屏170包含多个切口172,切口172经配置以将气流从处理区域112引导至环形容积113。在一个实施例中,等离子体屏170可被附接至上侧衬里组件146,像是凸缘。
图1B为等离子体处理腔室100的示意性部分透视图,示出等离子体屏170。等离子体屏170可附接至基板支座组件118。等离子体屏170可以是圆形板,圆形板具有中心开口176与外径178。可在中心开口176周围形成多个螺丝孔177。可由多个螺丝192将等离子体屏170附接至基板支座衬里152。可使用其他的附接特征来代替螺丝孔177与螺丝192。外径178经尺寸设定为与上侧衬里组件146的内径194匹配。在一个实施例中,外径178稍微小于上侧衬里组件146的内径194,具有安装间隙以避免在安装期间表面损伤。在一个实施例中,外径178与内径194的间隙可以是约0.135英寸。
可由导电材料形成等离子体屏170,以促进等离子体处理腔室100中的RF返回路径。例如,可由诸如铝的金属形成等离子体屏170。在一个实施例中,等离子体屏170可具有与工艺化学过程兼容的保护涂层。例如,等离子体屏170可具有陶瓷涂层,诸如氧化钇涂层或氧化铝涂层。
在一个实施例中,可在等离子体屏170与基板支座衬里152之间设置导电垫片190,以确保整体中心开口176周围的连续电性连接。可由金属形成导电垫片190,诸如铝、铜、钢铁。图1C为图1A的放大部分视图,示出导电垫片190。在图1C中,在形成于基板支座衬里152中的沟槽196中设置导电垫片190。或者,可在形成于等离子体屏170中的沟槽198中形成导电垫片190。或者,基板支座衬里152与等离子体屏170两者可包含沟槽以容纳导电垫片190于其中。
可形成多个切口172穿过等离子体屏170,以允许流体流动穿过等离子体屏170。切口172的总面积提供穿过等离子体屏170的流动面积。取决于流动面积,等离子体屏170可影响处理腔室100中的流体流动的流导(fluidconductance)。在穿过等离子体屏170的流动面积等于或大于流动路径186中的最窄面积(通常为泵通口184的面积)时,等离子体屏170不会影响处理腔室100的流导。然而,在穿过等离子体屏170的流动面积小于流动路径186中的最窄面积时,等离子体屏170会阻碍沿着流动路径186的气体流动。在一个实施例中,多个切口172的形状和/或数量可被选定以获得穿过等离子体屏170的目标流动面积。
另一方面,等离子体屏170对于等离子体固持的效果取决于等离子体屏170的导电主体的总面积。导电主体的总面积越大,等离子体屏170就能越有效地保持等离子体。因此,提升穿过等离子体屏170的流动面积,可使得等离子体屏170的等离子体固持较不有效,而减少穿过等离子体屏170的流动面积可提升等离子体屏有效固持等离子体的能力。取决于处理要求,切口172的形状和/或数量可被选定以取得对于腔室流体流动与等离子体固持的所需效果。
此外,切口172可布置为各种图案,以取得目标流导分布。在一个实施例中,切口172可布置为提供均匀的流导。或者,切口172可布置为沿方位角和/或径向方向具有可变流导。可变流导可用于补偿处理腔室100中的不均匀性,以取得均匀的处理。
在图1B中,切口172为布置成列的细长孔。在一个实施例中,切口172的形状实质相同,且被均匀分布在每一列中。可使用其他形状和/或图案以取得对于流体流动的目标效果。
在操作期间,来自气体源132的一个或更多个处理气体通过入口导管126进入处理区域112。可施加RF电力至外侧与内侧线圈组件120、122,以点燃并维持等离子体于处理区域112中。设置在基板支座组件118上的基板116受到等离子体处理。可连续供应一个或更多个处理气体至处理区域112,且真空泵182通过对称流阀180与流动模块106操作,以在基板116上产生对称且均匀的气体流动。等离子体屏170中的切口172允许处理气体从处理区域112流至环形容积113,随后流至流动模块106中的抽气通道114,同时等离子体屏170的导电主体将等离子体限制于处理区域112中。
图2A为根据本公开的一个实施例的等离子体屏170的示意性俯视图。图2B为等离子体屏170的示意性剖面侧视图。等离子体屏170具有导电主体200。导电主体200可以是具有厚度208的圆形板。形成穿过导电主体200的中心开口176。在一个实施例中,导电主体200可具有围绕中心开口176的唇部206。可形成穿过唇部206的多个螺丝孔177。唇部206可具有厚度260。厚度260在厚度上大于导电主体200的厚度208。在一个实施例中,厚度260可以是厚度208的约1.5至约3.0倍。唇部206可具有足以容纳多个螺丝孔177的宽度266。
可由诸如铝的金属形成导电主体200。在一个实施例中,导电主体200可包含涂层。涂层可被形成在操作期间暴露至工艺化学过程的导电主体200的所有表面。例如,可在上表面250、下表面252、以及切口172的壁256上形成涂层。在一个实施例中,涂层可以是与工艺化学过程兼容的保护性涂层。在一个实施例中,涂层可以是陶瓷涂层,诸如氧化钇涂层或氧化铝涂层。
在图2B的实施例中,唇部206从导电主体200的下表面252延伸,使得唇部206的下表面264低于下表面252,从而形成肩部262。或者,唇部206可从导电主体200的上表面250延伸。例如,宽度266可位于5mm至约15mm之间。
图2C为等离子体屏170的部分放大视图,示出切口172的形状与配置。在一个实施例中,切口172可以是具有圆角末端202与宽度204的细长槽。在一个实施例中,多个切口172的形状可基本上相同。多个切口172可被布置于三个同心圆216、218、220中。即使在本文中描述了三个同心圆,但可使用更多或更少的同心圆。在每一同心圆216、218、220中,可分别由辐条210、212、214将多个切口172隔开。在一个实施例中,多个切口172可均匀分布在每一同心圆216、218、220中。
在一个实施例中,在每一同心圆216、218、220中的多个切口172的总切口面积基本上相等。例如,在每一同心圆216、218、220中的切口172的形状相同且数量均等。因此,辐条210、212、214具有不同的尺寸。辐条212厚于辐条210,且辐条214厚于辐条212。
如前述,切口172被形成为穿过导电主体200,以提供流导。等离子体屏170的流导率可表示为将切口172总面积除以泵通口184面积(或除以从处理区域112到真空泵182的最窄流动面积)。例如,在切口172总面积大于或等于泵通口184面积时,等离子体屏的流导率为100%。在切口172总面积为泵通口184面积的50%时,等离子体屏的流导率为50%。通过改变切口172的总面积,可改变等离子体屏170的流导率。可通过改变切口172的形状和/或数量,来改变切口172的总面积。
在图2C的配置中,切口172的尺寸与数量可被选定为获得100%的流导率,以使得等离子体屏170对于处理腔室中的流体流施加最小的额外阻碍。
图2D示意示出根据本公开的另一实施例的等离子体屏170'的部分放大俯视图。等离子体屏170'类似于等离子体屏170,但等离子体屏170'的切口172'具有不同的尺寸与数量。每一切口172'的宽度224窄于宽度204。等离子体屏170'中的切口172'多于等离子体屏170中的切口172。因此,等离子体屏170'的流导比等离子体屏170弱,而等离子体固持比等离子体屏170强。在一个实施例中,宽度224可以是宽度204的约40%,而切口172'的数量可以是切口172数量的两倍,使得等离子体屏170'的流导率为等离子体屏170流导率的82%。
图2E示意性示出根据本公开的另一实施例的等离子体屏170”的部分放大俯视图。等离子体屏170”类似于等离子体屏170、170',但等离子体屏170”的切口172”具有不同的尺寸与数量。每一切口172”的宽度234窄于宽度204、224。等离子体屏170”中的切口172”多于等离子体屏170、170'中的切口172、172'。因此,等离子体屏170”的流导比等离子体屏170、170'弱,而等离子体固持比等离子体屏170、170'强。在一个具体实施例,宽度234可以是宽度204的约16%以及宽度224的约40%,且切口172'的数量为切口172数量的三倍以及切口172'数量的1.5倍,使得等离子体屏170”的流导为等离子体屏170流导的82%以及等离子体屏170'流导的65%。
根据处理需求,可在等离子体处理腔室(诸如等离子体处理腔室100)中互换使用等离子体屏170、170'、170”。
即使前述等离子体屏具有细长切口,但可使用具有其他形状的切口,诸如圆形、椭圆形、三角形、矩形、或任何适合的形状。即使前述切口被设置于同心圆中,但也可使用其他图案以取得所需的效果。
图3A为根据本公开的另一实施例的等离子体屏300的示意部分视图俯视图。图3B为等离子体屏300的示意性部分剖面侧视图。等离子体屏300包含堆叠在一起的上板302与下板304。上板302可以是平板。下板304可具有在内径附近的唇部312。类似于等离子体屏170,上板302与下板304的每一者具有导电主体,导电主体具有形成穿过其中的多个切口306、308。切口306、308的形状可相同,并可设置为相同图案。在图3A、3B中,上板302中的切口306对准下板304中的切口308。与单独的上板302或下板304相比,由于厚度提升,堆叠的上板302与下板304改良了等离子体固持。
图3C为等离子体屏300的示意性部分俯视图,其中在切口306并未对准切口308时等离子体屏300位于替代性位置中。图3D为在图3C位置中的等离子体屏300的示意性部分剖面图。在图3C与图3D中,切口306、308被错开,使得下板304中的辐条310阻挡上板302中的每一切口306的部分,减少等离子体屏300的流动面积,从而减少流导。暴露的辐条310也提升等离子体固持的效果。
根据处理需求,可将等离子体屏300配置于图3A与图3B的位置中,或图3C与图3D的位置中。
图4A为根据本公开的另一实施例的等离子体屏400的示意性俯视图。图4B为等离子体屏400的示意性剖面侧视图。等离子体屏400类似于等离子体屏170,但等离子体屏400包含在等离子体屏400的外径406附近的外唇部402,而允许等离子体屏400导电耦合至腔室部件。如图4B图示,外唇部402可具有上表面430、下表面432、以及上表面430与下表面432之间的厚度434。厚度434可大于导电主体200的厚度208。在一个实施例中,厚度434可以是厚度208的1.5倍与3.0倍之间。
在一个实施例中,外唇部402的上表面430可低于导电主体的上表面430,而形成肩部438。肩部438可用于将等离子体屏400对准腔室。
在一个实施例中,沟槽404可被形成在等离子体屏400的上表面430上,接近外径406。沟槽404可接收导电垫片,以确保连续的导电耦合和/或形成密封。外唇部402可具有足以形成沟槽404的宽度436。例如,外唇部402的宽度436可以在约5mm与约15mm之间。
如图4B示,外唇部402从导电主体200下表面252下方延伸,形成肩部440。肩部440可用于将等离子体屏400对准等离子体腔室。
在图4B的实施例中,桥段444可连接在导电主体200与外唇部402之间。桥段444被界定在上表面430与下表面446之间。桥段444的厚度可类似于导电主体200的厚度208。桥段444可从导电主体200径向向外延伸穿过肩部442、438。桥段444可提升等离子体屏400的刚性且不增加重量。
图4C为安装在等离子体处理腔室420中的等离子体屏400的示意性部分透视图。等离子体处理腔室420可类似于等离子体处理腔室100,但由上衬里408与下衬里410替换等离子体处理腔室100中的上衬里组件146。如图4C所示,可由多个螺丝192在中心开口176附近将等离子体屏400附接至基板支座衬里152,并由多个螺丝192在外径406附近将等离子体屏400附接至上衬里408与下衬里410。
图4D为图4C的放大部分视图,示出外径406附近的连接。外唇部402可被放置在上衬里408与下衬里410之间。等离子体屏400的肩部438对准上衬里408的肩部450。等离子体屏400的肩部440对准下衬里410的肩部452。在一个实施例中,可将导电垫片412设置在等离子体屏400中的沟槽404中。类似的,在等离子体屏400与下衬里410之间有导电垫片414。
在图4C的配置中,等离子体屏400被附接至上衬里408与下衬里410,而其间没有任何间隙,因此提升了等离子体固持。此外,等离子体屏400与上衬里408、下衬里410之间的连接性耦合对等离子体处理腔室420中的等离子体提供了连续且对称的射频返回路径,因此进一步提升了处理均匀性。
或者,外唇部402的上表面430可从导电主体200上表面250突出或保持与导电主体200上表面250共平面,使得上表面430高于上表面250,而外唇部402的下表面432保持与导电主体200下表面252共平面或在导电主体200下表面252下方成台阶。
根据本公开的实施例的等离子体屏提升了处理均匀性。特定而言,根据本公开的等离子体屏,随着时间推移在处理区域中维持一致的等离子体均匀性,因此减少了随着时间推移的关键尺寸漂移(CD drift),减少了不同晶片之间的差异。等离子体屏也有效地在广泛范围中的腔室压力下工作。
尽管前述内容针对特定实施例,但可设计其他与进一步的实施例而不背离本公开的基本范围,且本公开的范围由所附权利要求书确定。

Claims (15)

1.一种等离子体屏,包含:
圆形板,所述圆形板具有中心开口与外径,其中多个切口被形成为穿过所述圆形板,所述多个切口被布置为两个或更多个同心圆,且每一同心圆中的所述多个切口的总切口面积基本上相等。
2.如权利要求1所述的等离子体屏,其中所述切口为细长槽。
3.如权利要求1所述的等离子体屏,其中由导电材料形成所述圆形板。
4.如权利要求3所述的等离子体屏,所述等离子体屏进一步包含形成在所述圆形板的一个或更多个外表面上的涂层。
5.如权利要求1所述的等离子体屏,所述等离子体屏进一步包含围绕所述中心开口的内唇部,其中所述内唇部具有一个或更多个耦合特征。
6.如权利要求1所述的等离子体屏,所述等离子体屏进一步包含形成在所述外径附近的外唇部。
7.如权利要求1所述的等离子体屏,所述等离子体屏进一步包含下圆形板,所述下圆形板抵靠所述圆形板堆叠,其中所述下圆形板包含多个下切口,所述多个下切口与所述圆形板中的所述切口匹配。
8.一种等离子体处理腔室,包含:
腔室主体,所述腔室主体界定处理区域;
基板支座,所述基板支座具有面向所述处理区域的基板支座表面;以及
等离子体屏,所述等离子体屏围绕所述基板支座表面而设置,其中所述等离子体屏包含圆形板,所述圆形板具有中心开口与形成为穿过所述圆形板的多个切口,且所述圆形板跨所述基板支座的外侧区域与所述腔室主体的内侧表面之间的环形区域延伸。
9.如权利要求8所述的等离子体处理腔室,其中所述多个切口被布置在两个或更多个同心圆中,且每一同心圆包含均等数量的切口。
10.如权利要求8所述的等离子体处理腔室,所述等离子体处理腔室进一步包含导电垫片,其中所述导电垫片设置为围绕所述中心开口,以形成所述圆形板与腔室部件之间的连续耦合。
11.如权利要求8所述的等离子体处理腔室,其中所述圆形板进一步包含外唇部,所述外唇部围绕一外径而形成,且所述外唇部附接至腔室部件。
12.如权利要求11所述的等离子体处理腔室,其中所述腔室部件为衬里,所述衬里围绕所述处理区域设置于所述腔室主体内。
13.如权利要求8所述的等离子体处理腔室,其中所述等离子体屏包含下板,所述下板与所述圆形板堆叠在一起,所述下板具有多个下切口,所述多个下切口与所述多个切口相同。
14.一种用于处理基板的方法,包含以下步骤:
将基板放置在等离子体处理腔室中的基板支座上;以及
使一个或更多个处理气体流动穿过所述等离子体腔室中的流动路径,其中所述流动路径包含多个切口,所述多个切口位于围绕所述基板设置的所述等离子体屏中,所述等离子体屏具有圆形板,所述圆形板跨所述基板支座与腔室主体之间的环形区域延伸。
15.如权利要求14所述的方法,所述方法进一步包含以下步骤:提供穿过所述等离子体屏的射频返回路径。
CN201780052603.8A 2016-08-26 2017-08-23 用于等离子体处理腔室的等离子体屏 Pending CN109643630A (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201662380151P 2016-08-26 2016-08-26
US62/380,151 2016-08-26
PCT/US2017/048170 WO2018039315A1 (en) 2016-08-26 2017-08-23 Plasma screen for plasma processing chamber

Publications (1)

Publication Number Publication Date
CN109643630A true CN109643630A (zh) 2019-04-16

Family

ID=61243197

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201780052603.8A Pending CN109643630A (zh) 2016-08-26 2017-08-23 用于等离子体处理腔室的等离子体屏

Country Status (6)

Country Link
US (1) US20180061618A1 (zh)
JP (1) JP6994502B2 (zh)
KR (1) KR102390323B1 (zh)
CN (1) CN109643630A (zh)
TW (1) TWI804472B (zh)
WO (1) WO2018039315A1 (zh)

Families Citing this family (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
CN208835019U (zh) * 2018-11-12 2019-05-07 江苏鲁汶仪器有限公司 一种反应腔内衬
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
CN116884826A (zh) * 2019-01-25 2023-10-13 玛特森技术公司 隔栅中的等离子体后气体注入
US20210066051A1 (en) * 2019-08-28 2021-03-04 Applied Materials, Inc. High conductance lower shield for process chamber
USD931241S1 (en) 2019-08-28 2021-09-21 Applied Materials, Inc. Lower shield for a substrate processing chamber
USD943539S1 (en) 2020-03-19 2022-02-15 Applied Materials, Inc. Confinement plate for a substrate processing chamber
USD979524S1 (en) 2020-03-19 2023-02-28 Applied Materials, Inc. Confinement liner for a substrate processing chamber
US11380524B2 (en) * 2020-03-19 2022-07-05 Applied Materials, Inc. Low resistance confinement liner for use in plasma chamber
US11499223B2 (en) 2020-12-10 2022-11-15 Applied Materials, Inc. Continuous liner for use in a processing chamber

Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040060658A1 (en) * 2002-09-30 2004-04-01 Tokyo Electron Limited Method and apparatus for an improved baffle plate in a plasma processing system
US20040103844A1 (en) * 2002-10-18 2004-06-03 Chung-Yen Chou [gas distributing system for delivering plasma gas to a wafer reaction chamber]
US20040129218A1 (en) * 2001-12-07 2004-07-08 Toshiki Takahashi Exhaust ring mechanism and plasma processing apparatus using the same
US20050103440A1 (en) * 2002-06-21 2005-05-19 Tokyo Electron Limited Magnetron plasma processing apparatus
WO2005067022A1 (ja) * 2003-12-26 2005-07-21 Tadahiro Ohmi シャワープレート、プラズマ処理装置、及び製品の製造方法
US20100065213A1 (en) * 2008-01-28 2010-03-18 Carducci James D Etching chamber having flow equalizer and lower liner
US20120000886A1 (en) * 2010-07-05 2012-01-05 Tokyo Electron Limited Substrate processing apparatus and substrate processing method
CN105051866A (zh) * 2013-03-15 2015-11-11 应用材料公司 用于旋转压板式ald腔室的等离子体源
US20160042982A1 (en) * 2014-08-08 2016-02-11 Taiwan Semiconductor Manufacturing Co., Ltd. Gas-flow control method for plasma apparatus
CN105789015A (zh) * 2014-12-26 2016-07-20 中微半导体设备(上海)有限公司 一种实现均匀排气的等离子体处理设备

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8398814B2 (en) * 2009-07-08 2013-03-19 Applied Materials, Inc. Tunable gas flow equalizer
US20110303146A1 (en) * 2009-12-28 2011-12-15 Osamu Nishijima Plasma doping apparatus
US9184028B2 (en) * 2010-08-04 2015-11-10 Lam Research Corporation Dual plasma volume processing apparatus for neutral/ion flux control
US9293353B2 (en) * 2011-04-28 2016-03-22 Lam Research Corporation Faraday shield having plasma density decoupling structure between TCP coil zones
TWI762170B (zh) * 2011-10-05 2022-04-21 美商應用材料股份有限公司 包括對稱電漿處理腔室的電漿處理設備與用於此設備的蓋組件
US9017526B2 (en) * 2013-07-08 2015-04-28 Lam Research Corporation Ion beam etching system
US10217614B2 (en) * 2015-01-12 2019-02-26 Lam Research Corporation Ceramic gas distribution plate with embedded electrode

Patent Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040129218A1 (en) * 2001-12-07 2004-07-08 Toshiki Takahashi Exhaust ring mechanism and plasma processing apparatus using the same
US20050103440A1 (en) * 2002-06-21 2005-05-19 Tokyo Electron Limited Magnetron plasma processing apparatus
US20040060658A1 (en) * 2002-09-30 2004-04-01 Tokyo Electron Limited Method and apparatus for an improved baffle plate in a plasma processing system
US20040103844A1 (en) * 2002-10-18 2004-06-03 Chung-Yen Chou [gas distributing system for delivering plasma gas to a wafer reaction chamber]
WO2005067022A1 (ja) * 2003-12-26 2005-07-21 Tadahiro Ohmi シャワープレート、プラズマ処理装置、及び製品の製造方法
US20100065213A1 (en) * 2008-01-28 2010-03-18 Carducci James D Etching chamber having flow equalizer and lower liner
US20120000886A1 (en) * 2010-07-05 2012-01-05 Tokyo Electron Limited Substrate processing apparatus and substrate processing method
CN105051866A (zh) * 2013-03-15 2015-11-11 应用材料公司 用于旋转压板式ald腔室的等离子体源
US20160042982A1 (en) * 2014-08-08 2016-02-11 Taiwan Semiconductor Manufacturing Co., Ltd. Gas-flow control method for plasma apparatus
CN105789015A (zh) * 2014-12-26 2016-07-20 中微半导体设备(上海)有限公司 一种实现均匀排气的等离子体处理设备

Also Published As

Publication number Publication date
US20180061618A1 (en) 2018-03-01
JP6994502B2 (ja) 2022-01-14
JP2019533274A (ja) 2019-11-14
KR102390323B1 (ko) 2022-04-22
KR20190036566A (ko) 2019-04-04
WO2018039315A1 (en) 2018-03-01
TW201820379A (zh) 2018-06-01
TWI804472B (zh) 2023-06-11

Similar Documents

Publication Publication Date Title
CN109643630A (zh) 用于等离子体处理腔室的等离子体屏
KR102470174B1 (ko) 리모트 플라즈마 막 증착을 인에이블하도록 다운스트림 챔버로 라디칼 및 전구체 가스를 전달하기 위한 열적 제어부와 통합된 샤워헤드
KR102478872B1 (ko) 직접 배출 토로이드형 플라즈마 소스
KR102468600B1 (ko) 직접 배출 토로이드형 플라즈마 소스를 구비하는 플라즈마 처리 시스템
KR102232748B1 (ko) 기판을 가공하기 위한 플라즈마 처리 장치 및 플라즈마 처리에 의해 처리된 기판의 면내 균일성을 제어하는 방법
CN107564793B (zh) 电感耦合式等离子体(icp)反应器中的功率沉积控制
JP5074741B2 (ja) 真空処理装置
KR101911562B1 (ko) 중성입자/이온 플럭스 제어를 위한 듀얼 플라즈마 볼륨 프로세싱 장치
KR101924488B1 (ko) 프로세스 공간이 한정된 pecvd 챔버
US20180142354A1 (en) Recursive pumping for symmetrical gas exhaust to control critical dimension uniformity in plasma reactors
US9287147B2 (en) Substrate support with advanced edge control provisions
JP7373022B2 (ja) 開放空間均圧化通路および側方閉じ込めを備えた平坦な基板縁部接触部
JP2013016443A (ja) アンテナ、誘電体窓、プラズマ処理装置及びプラズマ処理方法
US20110214812A1 (en) Gas distributing means and substrate processing apparatus including the same
JP6974169B2 (ja) 複数の加熱ゾーンを有する基板支持体
JP2021168392A (ja) 改良されたフロー均一性/ガスコンダクタンスを備えた可変処理容積に対処するための対称チャンバ本体設計アーキテクチャ
KR102459959B1 (ko) 플라즈마 시스템 및 필터 장치
KR101788918B1 (ko) 상부 유전체 수정판 및 슬롯 안테나 개념
TW201903891A (zh) 濺鍍裝置
JP2013020973A (ja) プラズマ処理装置
KR101777729B1 (ko) 플라즈마 처리 장치 및 그 처리 가스 공급 구조체
JP2016081863A (ja) プラズマ処理装置
KR20230016584A (ko) 기판 처리 장치 및 기판 처리 방법

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination