JP7373022B2 - 開放空間均圧化通路および側方閉じ込めを備えた平坦な基板縁部接触部 - Google Patents

開放空間均圧化通路および側方閉じ込めを備えた平坦な基板縁部接触部 Download PDF

Info

Publication number
JP7373022B2
JP7373022B2 JP2022093471A JP2022093471A JP7373022B2 JP 7373022 B2 JP7373022 B2 JP 7373022B2 JP 2022093471 A JP2022093471 A JP 2022093471A JP 2022093471 A JP2022093471 A JP 2022093471A JP 7373022 B2 JP7373022 B2 JP 7373022B2
Authority
JP
Japan
Prior art keywords
pedestal
substrate
ring
top surface
annular band
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2022093471A
Other languages
English (en)
Other versions
JP2022120080A (ja
Inventor
パトリック・ブレイリング
ラメッシュ・チャンドラセカーラン
カール・リーサー
ポール・コンコラ
アドリエン・ラボワ
クロエ・バルダッセローニ
シャンカー・スワミナタン
イシュタク・カリム
幸紀 崎山
エドムンド・ミンシャル
スン・ジェ・キム
アンドリュー・デュバル
フランク・パスクアーレ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of JP2022120080A publication Critical patent/JP2022120080A/ja
Application granted granted Critical
Publication of JP7373022B2 publication Critical patent/JP7373022B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68785Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the mechanical construction of the susceptor, stage or support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68735Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge profile or support profile
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4581Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber characterised by material of construction or surface finish of the means for supporting the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4585Devices at or outside the perimeter of the substrate support, e.g. clamping rings, shrouds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4586Elements in the interior of the support, e.g. electrodes, heating or cooling devices
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • C23C16/5096Flat-bed apparatus
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68757Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a coating or a hardness or a material
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05CAPPARATUS FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05C13/00Means for manipulating or holding work, e.g. for separate articles
    • B05C13/02Means for manipulating or holding work, e.g. for separate articles for particular articles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • H01J37/32642Focus rings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/6715Apparatus for applying a liquid, a resin, an ink or the like

Description

関連出願への相互参照
本願は、2016年10月28日出願の米国仮出願第62/414,072号の利益を主張する。上記の出願の開示全体が、参照によって本明細書に組み込まれる。
本開示は、基板処理システムに関し、特に、基板処理システムのためのペデスタルに関する。
本明細書で提供されている背景技術の記載は、本開示の背景を概略的に提示するためのものである。ここに名を挙げられている発明者の業績は、この背景技術に記載された範囲において、出願時に従来技術として通常見なされえない記載の態様と共に、明示的にも黙示的にも本開示に対する従来技術として認められない。
半導体ウエハなどの基板上に膜を蒸着、エッチング、または、処理するために、基板処理システムが利用されうる。基板処理システムは、通例、処理チャンバ、ガス分配装置、および、ペデスタルを備える。処理中、基板は、ペデスタルの上に配置される。様々なガス混合物が、膜を処理するために処理チャンバに導入されうる。基板加熱および/または高周波(RF)プラズマも、化学反応を活性化するために利用されうる。
典型的には、ペデスタルのキャリアリングが、基板の半径方向外側縁部に沿って狭いバンドで基板と接触する。通例、狭いバンドは、1.0~1.5mmの幅を有する。最小接触面積(MCA)ピンが、基板の中央領域を支持するために用いられる。基板の中央にあるMCAピンは、基板の外縁を支持する狭いバンドよりも基板の中心を高く持ち上げて、基板湾曲条件を作る。換言すると、MCAピンの上面が、狭いバンドによって規定される平面よりも高く持ち上げられる。基板縁部は、接線または線接触でキャリアリングと接触する。これは、搬送中および処理中に基板およびペデスタルの正確なアライメントを必要とする。必要な精度と「現場」での設定の制限とにより、ピンおよびキャリアリングは、通例、基板の裏面への蒸着を十分に阻止できない。基板の裏面縁部との接触量も限られているので、このアプローチは、中心を外れた基板の配置に関しても耐性が低い。
基板処理システムのためのペデスタルが、基板対向面を含むペデスタル本体を備える。基板対向面の上に配置された環状バンドが、基板の半径方向外側縁部を支持するように構成されている。空洞が、ペデスタル本体の基板対向面に規定され、環状バンドの半径方向内側に配置されている。空洞は、基板の底面とペデスタル本体の基板対向面との間に空間を形成する。複数のベントが、ペデスタル本体を貫通して空洞と流体連通することで、処理中に基板の両面における圧力を均一にする。
別の特徴において、バンドは、4mm~12mmの範囲の幅を有する。バンドは、5mm~9mmの範囲の幅を有する。バンドは、6mm~7mmの範囲の幅を有する。バンドは、2~32の範囲の表面粗さ(Ra)を有する。表面粗さ(Ra)は、2~24の範囲である。表面粗さ(Ra)は、2~16の範囲である。
別の特徴において、複数のベントは、ペデスタル本体の半径方向外側から半径方向内向きに伸びる第1ベント部分と、第1ベント部分の半径方向内側端部から空洞まで伸びる第2ベント部分と、を備える。
別の特徴において、複数のベントは、ペデスタル本体の底部側から空洞に向かって軸方向に伸びる第1ベント部分と、第1ベント部分を空洞に接続する複数の孔を含む第2ベント部分と、を備える。
別の特徴において、バンドは、導電材料の表面上に形成された誘電体コーティング、コーティングされていない導電材料、コーティングされていない金属、および、コーティングされていない誘電材料からなる群より選択された材料で形成される。
別の特徴において、リングが、基板およびバンドの半径方向外側に配置される。リングの上面は、基板の上面よりも上方に配置される。リングは、誘電材料で形成される。
別の特徴において、誘電材料は、アルミナ、窒化アルミニウム、サファイア、石英、および、酸化シリコンからなる群より選択される。リングが、基板の半径方向内側かつ下方に配置された半径方向内側表面と、基板の半径方向外側に配置された半径方向外側表面とを含む。リングの上面は、基板の上面と平行である。リングは、誘電材料で形成される。
別の特徴において、誘電材料は、アルミナ、窒化アルミニウム、サファイア、石英、および、酸化シリコンからなる群より選択される。ペデスタル本体は、その半径方向外側縁部の周りに環状ノッチを備える。リングが、環状ノッチ内に配置される。リングの底面は、基板の底面よりも下方にある。リングの上面は、基板の上面よりも下方にある。リングは、誘電材料で形成される。
別の特徴において、誘電材料は、アルミナ、窒化アルミニウム、サファイア、石英、および、酸化シリコンからなる群より選択される。
別の特徴において、複数のピンが、基板の中央を支持するために空洞内に配置される。ピンの上面は、処理中に環状バンドの上面よりも下方、平行、または、上方のいずれかにある。
別の特徴において、複数の突起が、基板の中央を支持する。突起の上面は、環状バンドの上面よりも下方、平行、または、上方のいずれかにある。基板の半径方向外側縁部に沿った基板の裏面は、処理中にバンドと平行である。
基板処理システムが、処理チャンバおよびペデスタルを備える。ペデスタルが、処理チャンバ内に配置されている。RF発生器が、処理チャンバ内に配置されている。
別の特徴において、複数の最小接触面積(MCA)ピンが、ペデスタル本体から伸びる。MCAピンの上面が、バンドよりも下方、平行、または、上方のいずれかになるように、コントローラが、処理中にMCAピンを伸ばすように構成されている。
別の特徴において、複数の突起が、空洞内でペデスタル本体から上向きに伸びている。
詳細な説明、特許請求の範囲、および、図面から、本開示を適用可能なさらなる領域が明らかになる。詳細な説明および具体的な例は、単に例示を目的としており、本開示の範囲を限定するものではない。
本開示は、詳細な説明および以下に説明する添付図面から、より十分に理解できる。
本開示に従って、ペデスタルを備えた基板処理システムの一例を示す機能ブロック図。
本開示に従って、ペデスタルの一例を示す側断面図。
本開示に従って、ペデスタルの一例を示す斜視図。
従来技術に従って、狭いバンドについての裏面蒸着の厚さを示すグラフ。 本開示に従って、より広いバンドについての裏面蒸着の厚さを示すグラフ。
本開示に従って、基板の下の空洞への半径方向のベントを備えたペデスタルの一例を示す斜視図。
本開示に従って、基板の下の空洞への軸方向のベントを備えたペデスタルの一例を示す斜視図。
本開示に従ったベント有りの場合および従来技術に従ったベント無しの場合の処理中の基板の位置変化のサンプルを示すグラフ。
本開示に従って、基板の上面の上方に配置された上面を備えたリングの一例を示す斜視図。
本開示に従って、基板の上面と平行に配置された上面を備えたリングの一例を示す斜視図。
本開示に従って、基板の上面の下方に配置された上面を備えたリングの一例を示す斜視図。
図面において、同様および/または同一の要素を特定するために、同じ符号を用いる場合がある。
本開示に従ったペデスタルは、処理中に基板の半径方向外側縁部を支持する上向きの環状バンドを備える。環状バンドは、上述の狭いバンドに比べてかなり広い幅を有する。基板の裏面に沿った基板の外径が、環状バンドと平行または接線接触するように維持される。
ペデスタルの上面が、基板の底面とペデスタルの上面との間に空洞を規定する。空洞は、環状バンドの半径方向内側に配置される。ベントが、処理チャンバの主要処理空間から基板の下の空洞の空間へとガスが流れることを可能にするために提供される。一部の例では、MCAピンが、基板の中央を支持するために用いられる。別の例では、複数の固定突起が、空洞内でペデスタルの上面から伸びて、基板の中央を支持する。
誘電材料のリングが、環状バンドの半径方向外側に配置されてよい。リングの半径方向内側部分は、基板の外径の半径方向内側に、外径に隣接して、または、外径の半径方向外側に配置されてよい。リングの上面は、基板の上面の上方に、上面と平行に、または、上面の下方に配置されてよい。
本開示に従ったペデスタルの環状バンドは、基板の裏面の縁部においてより幅広い接触面積を提供し、これは、基板位置公差を増大させる。ベントは、空洞内の空間と主要処理空間との間の均圧化を提供する。ベントは、ペデスタルの外径に向かって半径方向に方向付けられてもよいし、ペデスタルの底部から軸方向に方向付けられてもよい。ベントの数およびベント通路のサイズは、特定の処理で用いられる処理圧力およびガス流量に応じて様々である。
環状バンドの幅は、基板上に膜を蒸着するために用いられる処理温度および化学物質に応じて様々である。リングの幾何形状および位置は、蒸着される特定の膜のための処理変数を調整するために、基板直径およびペデスタルに対して様々である。リングの厚さ、体積、および、形状は、蒸着される特定の膜のための処理変数に応じて変更されてよい。
ここで、図1を参照すると、本明細書に記載の蒸着を実行するための基板処理システムの一例が示さている。基板処理システムの具体的な例が示されているが、他の基板処理システムが用いられてもよい。一部の例において、基板処理システムは、膜のプラズマ強化(PE:plasma enhanced)蒸着を実行する。一部の例において、基板処理システムは、プラズマ強化化学蒸着(PECVD)またはプラズマ強化原子層蒸着(PEALD)を実行するが、その他の処理が用いられてもよい。
基板処理システム10は、基板処理システム10の他の構成要素を収容すると共に(特定の基板処理に用いられる場合に)RFプラズマを閉じ込める処理チャンバ12を備える。基板処理システム10は、シャワーヘッド14およびペデスタルアセンブリ16を備える。基板18が、ペデスタルアセンブリ16上に配置される。シャワーヘッド14は、処理ガスを導入して分配する。
プラズマが用いられる場合、プラズマは、直接プラズマまたは遠隔プラズマでありうる。この例では、RF発生システム30が、RF電圧を生成して、シャワーヘッド14またはペデスタルアセンブリ16のいずれかに出力する(他方は、DC接地、AC接地されるか、または、浮遊する)。単に例として、RF発生システム30は、整合回路網34によってシャワーヘッド14またはペデスタルアセンブリ16に供給されるRF電圧を生成するRF電圧発生器32を備えてよい。あるいは、プラズマは、遠隔プラズマ源36によって供給されてもよい。
ガス供給システム40は、1または複数のガス源42-1、42-2、・・・、および、42-N(集合的に、ガス源42)を備えており、ここで、Nはゼロより大きい整数である。ガス源42は、1または複数のエッチングガス混合物、前駆体ガス混合物、洗浄ガス混合物、アッシングガス混合物などを処理チャンバ12へ供給する。気化した前駆体が用いられてもよい。ガス源42は、バルブ44-1、44-2、・・・、および、44-N(集合的に、バルブ44)ならびにマスフローコントローラ46-1、46-2、・・・、および、46-N(集合的に、マスフローコントローラ46)によってマニホルド48に接続されている。マニホルド48の出力は、処理チャンバ12に供給される。単に例として、マニホルド48の出力は、シャワーヘッド14に供給される。
ヒータ50が、ペデスタルアセンブリ16内に配置されたヒータコイル(図示せず)に接続されてよい。ヒータ50は、ペデスタルアセンブリ16および基板18の温度を制御するために用いられてよい。バルブ60およびポンプ62が、処理チャンバ12から反応物質を排出するために用いられてよい。コントローラ70が、基板処理システム10の構成要素を制御するために用いられてよい。単に例として、コントローラ70は、処理ガスの流量、処理パラメータ(温度、圧力、電力など)の監視、プラズマの点火および消火、反応物質の除去などを制御するために用いられてよい。
一部の例において、最小接触面積(MCA)ピン71が、処理中に基板18の中央領域を支持するために用いられる。用いられる場合、コントローラ70は、MCAピン71を作動させるために用いられてもよい。一部の例では、MCAピン71の上面が、環状バンドの上面の下方に、上面と平行に、または、上面の上方になる高さに配置されて、基板と環状バンドとの間に平行接触を提供する。一部の例において、MCAピン71は、サファイアで製造されるが、その他の材料が用いられてもよい。MCAピンは、基板(ウエハ)と環状リング(シールバンド)との間の接触力を変えるために、高さが環状バンドの上方、平行、または、下方になるように設定されうる。より高い接触力は、基板と環状リングとの間のギャップを減少させることによって基板への裏面蒸着の低減を保証しうる。MCAピンが環状リングの上方および下方でどれだけ離れているかに関しては両方に制限がある;両方の方向で、離れすぎると、基板とリングとの間の接触力が低減することにより、ギャップが増大する。
ここで、図2~図3を参照すると、ペデスタルアセンブリ16は、基板18を支持するペデスタル本体110を備える。空洞118が、基板18とペデスタル本体110の上面119との間に規定されてよい。一部の例において、空洞118は、円筒形状を有する。環状バンド122が、空洞118の半径方向外側に配置されている。一部の例において、環状バンド122は平面であり、半径方向内側縁部126および半径方向外側縁部128を備える。基板18の外径は、処理中に環状バンド122と平行または接線接触に維持される。リング132が、ペデスタル本体110の上で基板18の略半径方向外側に配置される。
一部の例において、環状バンド122は、2~32の表面粗さRを有する。一部の例において、環状バンド122は、2~24の表面粗さRを有する。一部の例において、環状バンド122は、2~16の表面粗さRを有する。一部の例において、環状バンド122は、2~8の表面粗さRを有する。
環状バンド122の半径方向の幅は、基板縁部が基板の配置に関わらず接触したままになることを保証するのに十分な幅に選択される。一部の例において、環状バンド122は、4mm~12mmの範囲の半径方向の幅を有する。一部の例において、環状バンド122は、5mm~9mmの範囲の半径方向の幅を有する。一部の例において、環状バンド122は、6mm~7mmの範囲の半径方向の幅を有する。
環状バンド122を形成する材料は、導電材料の表面上に誘電体コーティングを形成したもの、コーティングされていない導電材料または金属、もしくは、コーティングされていない誘電材料を含みうる。環状バンド122に用いられる材料は、膜を蒸着するために用いられる処理化学物質と化学的に相互作用しないように選択されてよい。さらなる選択基準は、インピーダンスおよびプラズマ相互作用を含む。一部の例において、環状バンド122に用いられる材料は、いくつかの処理化学物質との特異的相互作用を提供するように選択されてもよい。
ここで、図4~図5を参照すると、裏面蒸着への環状バンド122の幅の影響が示されている。図からわかるように、環状バンド122が上述のように狭い幅を有する場合、裏面蒸着は、図4に示すように生じる傾向がある。本明細書に開示するように、環状バンド122がより広い幅を有する場合、裏面蒸着は、図5に示すように低減または排除される。
ここで、図6~図7を参照すると、空洞118内の空間をベントして、主要処理空間との均圧化を提供するためのいくつかの異なる変形例が示されている。ベントは、基板18の両面の間の均圧化を提供して、環状バンド122上の基板18の移動を低減する。移動の低減は、蒸着均一性を改善すると共に裏面蒸着を防ぐ傾向がある。
例えば、図6において、ペデスタル本体110は、複数のベント150-1、150-2、150-3、・・・150-V(集合的に、ベント150)を備える(ここで、Vは、1以上の整数)。理解できる通り、ベントの数は、適切な動的均圧化を提供するために必要に応じて調整されてよい。ベント150は、主要処理空間と、基板18の下の空洞118内の空間との間の流体連通を提供する。この例において、ベント150は、半径方向に伸び、ペデスタル本体110の半径方向外側の面に配置された開口部154-1を備える第1ベント部分152-1を備える。第2ベント部分156-1が、第1ベント部分152-1の半径方向内側端部158-1から空洞118内のペデスタル本体110の上面まで軸方向に伸びる。第1ベント部分152-1および第2ベント部分156-1は、(空洞118内の)基板の下の空間と、処理が行われる主要処理空間との間の流体連通のための通路を提供する。換言すると、処理チャンバの主要空間が基板18の上面を含み、ベント150が基板18の下の空洞118の空間内の均圧化を提供するので、均圧化が起こり、基板の移動が防止される。
基板18の下の空洞118の高さは、所定の制限の範囲内で基板18を移動させるのに十分な大きさの基板18への上向きの圧力を発生することなしに、空洞118の内外へのガスの交換を可能にするように選択される。一部の例において、空洞118は、0.004インチ~0.010インチの範囲の深さを有する。一部の例において、空洞は、0.004インチ~0.008インチの範囲の深さを有する。複数のMCAピン161が、基板18の中央を支持して持ち上げるために用いられてよい。一部の例において、MCAピン161は、MCAピン161の上面が環状バンド122の上面と平行になる高さに移動される。一部の例において、MCAピン161は、MCAピン161の上面が環状バンド122の上面よりも高くなる高さに移動される。一部の例において、MCAピン161は、3個または6個のMCピンを含んでよいが、他の数のMCAピンが用いられてもよい。
図7に、ベント配置の別の例が示されている。複数のベント200-1および200-2(集合的にベント200)が、主要処理空間から基板18の下に配置された空洞118へ流体をベントするために、軸方向に配置されている。図からわかるように、2つのベントが示されているが、さらなるベントが、ペデスタル110の周囲に離間して放射配列で配置されてもよい。一部の例において、ベント200-1は、ペデスタル本体110の底面からペデスタル本体110の1または複数の層を通して空洞118内のペデスタルの上面へ(または、それに近いが離れた位置へ)伸びる第1ベント部分210-1を備える。第2ベント部分210-2が、任意選択的に、第1ベント部分210-1を空洞118へ接続する。一部の例において、第2ベント部分210-2の各々は、第1ベント部分210-1および空洞118とそれぞれ流体連通する両端を有する複数の離間した貫通孔220を備える。
一部の例において、第1ベント部分210-1は、0.2インチ~0.8インチの範囲の直径を有する。一部の例において、第1ベント部分210-1は、0.3インチ~0.5インチの範囲の直径を有する。一部の例において、複数の離間した貫通孔220は、第1ベント部分210-1よりもおよそ一桁小さい。一部の例において、複数の離間した貫通孔220は、0.01インチ~0.08インチの範囲の直径を有する。一部の例において、複数の離間した貫通孔220は、0.01インチ~0.03インチの範囲の直径を有する。
ここで、図8を参照すると、複数の基板がプロセスに送られ、処理された後に、取り出されている。基板は、まず(0,0)付近に供給され、処理後にロボットアームによってピックアップされる。理解できる通り、ロボットアームのピックアップ位置は、処理中に基板がどれだけ移動したかを示す。ペデスタル本体がベントされない場合、基板は、主要処理空間と空洞118内の空間との間の圧力差により、ペデスタル本体の上面で移動する傾向がある。対照的に、ベントが利用された場合、基板はあまり移動しない。基板がリングに対して移動するにつれて、局所的な蒸着の差が発生しうる。基板18の移動が低減されると、蒸着のばらつきが小さくなる。
ここで、図9~図11を参照すると、リング132の様々な配置例が示されている。リング132は、略環状の形状を有し、誘電材料で製造されてよい。一部の例において、誘電材料は、アルミナ、窒化アルミニウム、サファイア、石英、および、酸化シリコンから選択される。図9において、リング132-1は、基板の外径(OD)および環状バンド122の半径方向外側に配置されている。リング132-1は、ペデスタル本体110のノッチ315内に受け入れられる下側部分313を備える。リング132-1は、さらに、上側部分317を備える。一部の例において、リング132-1の上側部分317は、下側部分313と比べて半径方向の厚さが大きい。リング132-1は、環状バンド122の外側縁部128および基板18の半径方向外側縁部の半径方向外側に離間した半径方向内側表面300-1を備える。リング132-1の上面310-1は、基板18の上面の上方に、上面と平行に、または、上面の下方に配置されてよい。
図10において、リング132-2は、基板18の半径方向外側縁部の下に少なくとも一部が存在する第1環状ノッチ330を備える。第1環状ノッチ330は、基板18の外径の半径方向内側かつ環状バンド122の半径方向外側に配置されている。リング132-2は、さらに、基板18の半径方向外側縁部の半径方向内側に離間した半径方向最内表面334を備える。リング132-2の半径方向内側の上面332が、基板18の半径方向外側縁部を収容するための段差を提供する。リング132-2の上面310-2は、基板18の上面の下方に、上面の上方に、または、上面と平行に配置される。一部の例において、上面310-2は、基板18の上面を含む平面と平行な平面内にある。
図11において、ペデスタル本体110は、ペデスタル本体110の半径方向外側縁部の周りに配置された環状凹部340を備える。リング132-3は、環状の形状を有しており、環状凹部340の軸方向高さd1よりも大きい軸方向高さd2を有する下側部分342を備える。リング132-3の上面350は、基板18の上面と平行な平面内もしくは距離d3だけ基板18の上面の上方または下方にある平面内に配置される。
リング132は、基板18の縁部に隣接した位置のイオン化率および電子密度を変更する。リング132は、この領域における望ましくないプラズマ不連続の発生を低減する。また、リング132は、ペデスタル本体110上での基板18の移動を物理的に抑制する。リング132は、或るガス種、バンド、および/または、ベントを用いた場合に基板18の縁部で発生しうるプラズモイドを減少させる。基板18の外径でのリング132の近接は、基板の縁部付近の電子密度およびイオン化率を減少させうる。
上述の記載は、本質的に例示に過ぎず、本開示、応用例、または、利用法を限定する意図はない。本開示の広範な教示は、様々な形態で実施されうる。したがって、本開示には特定の例が含まれるが、図面、明細書、および、以下の特許請求の範囲を研究すれば他の変形例が明らかになるため、本開示の真の範囲は、それらの例には限定されない。方法に含まれる1または複数の工程が、本開示の原理を改変することなく、異なる順序で(または同時に)実行されてもよいことを理解されたい。さらに、実施形態の各々は、特定の特徴を有するものとして記載されているが、本開示の任意の実施形態に関して記載された特徴の内の任意の1または複数の特徴を、他の実施形態のいずれかに実装することができる、および/または、組み合わせが明確に記載されていないとしても、他の実施形態のいずれかの特徴と組み合わせることができる。換言すると、上述の実施形態は互いに排他的ではなく、1または複数の実施形態を互いに置き換えることは本開示の範囲内にある。
要素の間(例えば、モジュールの間、回路要素の間、半導体層の間)の空間的関係および機能的関係性が、「接続される」、「係合される」、「結合される」、「隣接する」、「近接する」、「の上部に」、「上方に」、「下方に」、および、「配置される」など、様々な用語を用いて記載されている。第1および第2要素の間の関係性を本開示で記載する時に、「直接」であると明確に記載されていない限り、その関係性は、他に介在する要素が第1および第2の要素の間に存在しない直接的な関係性でありうるが、1または複数の介在する要素が第1および第2の要素の間に(空間的または機能的に)存在する間接的な関係性でもありうる。本明細書で用いられているように、「A、B、および、Cの少なくとも1つ」という表現は、非排他的な論理和ORを用いて、論理(AまたはBまたはC)を意味すると解釈されるべきであり、「Aの少なくとも1つ、Bの少なくとも1つ、および、Cの少なくとも1つ」という意味であると解釈されるべきではない。
いくつかの実施例において、コントローラは、システムの一部であり、システムは、上述の例の一部であってよい。かかるシステムは、1または複数の処理ツール、1または複数のチャンバ、処理のための1または複数のプラットフォーム、および/または、特定の処理構成要素(基板ペデスタル、ガスフローシステムなど)など、半導体処理装置を備えうる。これらのシステムは、半導体基板または基板の処理前、処理中、および、処理後に、システムの動作を制御するための電子機器と一体化されてよい。電子機器は、「コントローラ」と呼ばれてもよく、システムの様々な構成要素または副部品を制御しうる。コントローラは、処理要件および/またはシステムのタイプに応じて、処理ガスの供給、温度設定(例えば、加熱および/または冷却)、圧力設定、真空設定、電力設定、高周波(RF)発生器設定、RF整合回路設定、周波数設定、流量設定、流体供給設定、位置および動作設定、ならびに、ツールおよび他の移動ツールおよび/または特定のシステムと接続または結合されたロードロックの内外への基板移動など、本明細書に開示の処理のいずれを制御するようプログラムされてもよい。
概して、コントローラは、命令を受信する、命令を発行する、動作を制御する、洗浄動作を可能にする、エンドポイント測定を可能にすることなどを行う様々な集積回路、ロジック、メモリ、および/または、ソフトウェアを有する電子機器として定義されてよい。集積回路は、プログラム命令を格納するファームウェアの形態のチップ、デジタル信号プロセッサ(DSP)、特定用途向け集積回路(ASIC)として定義されるチップ、および/または、プログラム命令(例えば、ソフトウェア)を実行する1または複数のマイクロプロセッサまたはマイクロコントローラを含みうる。プログラム命令は、様々な個々の設定(またはプログラムファイル)の形態でコントローラに伝えられて、半導体基板に対するまたは半導体基板のための特定の処理を実行するための動作パラメータ、もしくは、システムへの動作パラメータを定義する。動作パラメータは、いくつかの実施形態において、基板の1または複数の層、材料、金属、酸化物、シリコン、二酸化シリコン、表面、回路、および/または、ダイの加工中に1または複数の処理工程を達成するために処理エンジニアによって定義されるレシピの一部であってよい。
コントローラは、いくつかの実施例において、システムと一体化されるか、システムに接続されるか、その他の方法でシステムとネットワーク化されるか、もしくは、それらの組み合わせでシステムに結合されたコンピュータの一部であってもよいし、かかるコンピュータに接続されてもよい。例えば、コントローラは、「クラウド」内にあってもよいし、基板処理のリモートアクセスを可能にできるファブホストコンピュータシステムの全部または一部であってもよい。コンピュータは、現在の処理のパラメータを変更する、現在の処理に従って処理工程を設定する、または、新たな処理を開始するために、システムへのリモートアクセスを可能にして、製造動作の現在の進捗を監視する、過去の製造動作の履歴を調べる、もしくは、複数の製造動作からの傾向または性能指標を調べうる。いくつかの例では、リモートコンピュータ(例えば、サーバ)が、ネットワーク(ローカルネットワークまたはインターネットを含みうる)を介してシステムに処理レシピを提供してよい。リモートコンピュータは、パラメータおよび/または設定の入力またはプログラミングを可能にするユーザインターフェースを備えてよく、パラメータおよび/または設定は、リモートコンピュータからシステムに通信される。いくつかの例において、コントローラは、データの形式で命令を受信し、命令は、1または複数の動作中に実行される処理工程の各々のためのパラメータを指定する。パラメータは、実行される処理のタイプならびにコントローラがインターフェース接続するまたは制御するよう構成されたツールのタイプに固有であってよいことを理解されたい。したがって、上述のように、コントローラは、ネットワーク化されて共通の目的(本明細書に記載の処理および制御など)に向けて動作する1または複数の別個のコントローラを備えることなどによって分散されてよい。かかる目的のための分散コントローラの一例は、チャンバでの処理を制御するために協働するリモートに配置された(プラットフォームレベルにある、または、リモートコンピュータの一部として配置されるなど)1または複数の集積回路と通信するチャンバ上の1または複数の集積回路である。
限定はしないが、システムの例は、プラズマエッチングチャンバまたはモジュール、蒸着チャンバまたはモジュール、スピンリンスチャンバまたはモジュール、金属メッキチャンバまたはモジュール、洗浄チャンバまたはモジュール、ベベルエッジエッチングチャンバまたはモジュール、物理蒸着(PVD)チャンバまたはモジュール、化学蒸着(CVD)チャンバまたはモジュール、原子層蒸着(ALD)チャンバまたはモジュール、原子層エッチング(ALE)チャンバまたはモジュール、イオン注入チャンバまたはモジュール、トラックチャンバまたはモジュール、ならびに、半導体基板の加工および/または製造に関連するかまたは利用されうる任意のその他の半導体処理システムを含みうる。
上述のように、ツールによって実行される1または複数の処理工程に応じて、コントローラは、他のツール回路またはモジュール、他のツール構成要素、クラスタツール、他のツールインターフェース、隣接するツール、近くのツール、工場の至る所に配置されるツール、メインコンピュータ、別のコントローラ、もしくは、半導体製造工場内のツール位置および/またはロードポートに向かってまたはそこから基板のコンテナを運ぶ材料輸送に用いられるツール、の内の1または複数と通信してもよい。
本発明は、以下の適用例としても実現可能である。
<適用例1>
基板処理システムのためのペデスタルであって、
基板対向面を含むペデスタル本体と、
前記基板対向面の上に配置され、前記基板の半径方向外側縁部を支持するように構成された環状バンドと、
前記ペデスタル本体の前記基板対向面に規定され、前記環状バンドの半径方向内側に配置された空洞であって、前記空洞は、前記基板の底面と前記ペデスタル本体の前記基板対向面との間に空間を形成する、空洞と、
前記ペデスタル本体を貫通して前記空洞と流体連通することで、処理中に前記基板の両面における圧力を均一にする複数のベントと、
を備える、ペデスタル。
<適用例2>
適用例1に記載のペデスタルであって、前記バンドは、4mm~12mmの範囲の幅を有する、ペデスタル。
<適用例3>
適用例1に記載のペデスタルであって、前記バンドは、5mm~9mmの範囲の幅を有する、ペデスタル。
<適用例4>
適用例1に記載のペデスタルであって、前記バンドは、6mm~7mmの範囲の幅を有する、ペデスタル。
<適用例5>
適用例1に記載のペデスタルであって、前記バンドは、2~32の範囲の表面粗さ(Ra)を有する、ペデスタル。
<適用例6>
適用例5に記載のペデスタルであって、前記表面粗さ(Ra)は、2~24の範囲である、ペデスタル。
<適用例7>
適用例5に記載のペデスタルであって、前記表面粗さ(Ra)は、2~16の範囲である、ペデスタル。
<適用例8>
適用例1に記載のペデスタルであって、前記複数のベントは、
前記ペデスタル本体の半径方向外側から半径方向内向きに伸びる第1ベント部分と、
前記第1ベント部分の半径方向内側端部から前記空洞まで伸びる第2ベント部分と、
を備える、ペデスタル。
<適用例9>
適用例1に記載のペデスタルであって、前記複数のベントは、
前記ペデスタル本体の底部側から前記空洞に向かって軸方向に伸びる第1ベント部分と、
前記第1ベント部分を前記空洞に接続する複数の孔を含む第2ベント部分と、
を備える、ペデスタル。
<適用例10>
適用例1に記載のペデスタルであって、前記バンドは、導電材料の表面上に形成された誘電体コーティング、コーティングされていない導電材料、コーティングされていない金属、および、コーティングされていない誘電材料からなる群より選択された材料で形成される、ペデスタル。
<適用例11>
適用例1に記載のペデスタルであって、さらに、
前記基板および前記バンドの半径方向外側に配置されたリングを備え、
前記リングの上面は、前記基板の上面よりも上方に配置され、
前記リングは、誘電材料で形成されている、ペデスタル。
<適用例12>
適用例11に記載のペデスタルであって、前記誘電材料は、アルミナ、窒化アルミニウム、サファイア、石英、および、酸化シリコーンからなる群より選択される、ペデスタル。
<適用例13>
適用例1に記載のペデスタルであって、さらに、
前記基板の半径方向内側かつ下方に配置された半径方向内側表面と、前記基板の半径方向外側に配置された半径方向外側表面とを含むリングを備え、
前記リングの上面は、前記基板の上面と平行であり、
前記リングは、誘電材料で形成されている、ペデスタル。
<適用例14>
適用例13に記載のペデスタルであって、前記誘電材料は、アルミナ、窒化アルミニウム、サファイア、石英、および、酸化シリコーンからなる群より選択される、ペデスタル。
<適用例15>
適用例1に記載のペデスタルであって、前記ペデスタル本体は、その半径方向外側縁部の周りに環状ノッチを備え、前記ペデスタルは、さらに、
前記環状ノッチ内に配置されたリングを備え、
前記リングの底面は、前記基板の底面よりも下方にあり、
前記リングの上面は、前記基板の上面よりも下方にあり、
前記リングは、誘電材料で形成されている、ペデスタル。
<適用例16>
適用例15に記載のペデスタルであって、前記誘電材料は、アルミナ、窒化アルミニウム、サファイア、石英、および、酸化シリコーンからなる群より選択される、ペデスタル。
<適用例17>
適用例1に記載のペデスタルであって、さらに、
前記基板の中央を支持するために前記空洞内に配置された複数のピンを備え、
前記ピンの上面は、処理中に前記環状バンドの上面よりも下方、平行、または、上方のいずれかにある、ペデスタル。
<適用例18>
適用例1に記載のペデスタルであって、さらに、
前記基板の中央を支持するための複数の突起を備え、
前記突起の上面は、前記環状バンドの上面よりも下方、平行、または、上方のいずれかにある、ペデスタル。
<適用例19>
適用例1に記載のペデスタルであって、前記基板の前記半径方向外側縁部に沿った前記基板の裏面は、処理中に前記バンドと平行である、ペデスタル。
<適用例20>
基板処理システムであって、
処理チャンバと、
前記処理チャンバ内に配置された適用例1のペデスタルと、
前記処理チャンバ内に配置されたRF発生器と、
を備える、基板処理システム。
<適用例21>
適用例20に記載の基板処理システムであって、さらに、
前記ペデスタル本体から伸張可能な複数の最小接触面積(MCA)ピンと、
前記MCAピンの上面が、前記バンドよりも下方、平行、または、上方のいずれかになるように、処理中に前記MCAピンを伸ばすように構成されたコントローラと、
を備える、基板処理システム。
<適用例22>
適用例1に記載の基板処理システムであって、さらに、前記空洞内で前記ペデスタル本体から上向きに伸びる複数の突起を備える、基板処理システム。

Claims (24)

  1. 基板処理システムのためのペデスタルであって、
    基板対向面、および、その半径方向外側縁部の周りに環状ノッチを含むペデスタル本体と、
    前記基板対向面の上に配置され基板の半径方向外側縁部に接触するように構成された環状バンドと、
    前記ペデスタル本体の前記基板対向面に規定され、前記環状バンドの半径方向内側に配置された空洞であって、前記空洞は、前記基板の底面と前記ペデスタル本体の前記基板対向面との間に空間を形成する、空洞と、
    前記ペデスタル本体を貫通して前記空洞と流体連通することで、処理中に前記基板の両面における圧力を均一にする複数のベントと、
    前記ペデスタル本体から分離し、前記ペデスタル本体上の前記環状ノッチに配置されたリングであって、前記リングの底面は、前記基板の底面よりも下方にあり、前記リングの上面は、前記基板の上面よりも下方にある、リングと、
    を備える、ペデスタル。
  2. 請求項1に記載のペデスタルであって、前記環状バンドは、4mm~12mmの範囲の幅を有する、ペデスタル。
  3. 請求項1に記載のペデスタルであって、前記環状バンドは、2~32の範囲の表面粗さ(Ra)を有する、ペデスタル。
  4. 請求項1に記載のペデスタルであって、前記環状バンドは、導電材料の表面上に形成された誘電体コーティング、コーティングされていない導電材料、コーティングされていない金属、および、コーティングされていない誘電材料からなる群より選択された材料で形成されている、ペデスタル。
  5. 請求項1に記載のペデスタルであって、前記リングは、誘電材料で形成されている、ペデスタル。
  6. 請求項5に記載のペデスタルであって、前記誘電材料は、アルミナ、窒化アルミニウム、サファイア、石英、および、酸化シリコンからなる群より選択される、ペデスタル。
  7. 請求項1に記載のペデスタルであって、前記リングの高さは、前記ペデスタル本体上の前記環状ノッチの深さよりも大きい、ペデスタル。
  8. 請求項1に記載のペデスタルであって、前記リングの前記上面は、前記環状バンドの上面よりも上方にある、ペデスタル。
  9. 請求項1に記載のペデスタルであって、さらに、
    前記基板の中央を支持するために前記空洞内に配置された複数のピンを備え、
    前記ピンの上面は、処理中に前記環状バンドの上面よりも下方、平行、または、上方のいずれかにある、ペデスタル。
  10. 請求項9に記載のペデスタルであって、さらに、
    前記ピンの上面が、前記環状バンドの前記上面よりも下方、平行、または、上方のいずれかになるように、処理中に前記ピンを伸ばすように構成されたコントローラを備える、ペデスタル。
  11. 請求項1に記載のペデスタルであって、前記環状バンドは、処理中に前記基板が前記環状バンドと平行になるように、前記半径方向外側縁部に沿った前記基板の前記底面を支持するように構成されている、ペデスタル。
  12. 基板処理システムであって、
    処理チャンバと、
    前記処理チャンバ内に配置された請求項1のペデスタルと、
    前記処理チャンバ内に配置されたRF発生器と、
    を備える、基板処理システム。
  13. 基板処理システムのためのペデスタルであって、
    基板対向面を含むペデスタル本体と、
    前記基板対向面の上に配置され基板の半径方向外側縁部に接触するように構成された環状バンドと、
    前記ペデスタル本体の前記基板対向面に規定され、前記環状バンドの半径方向内側に配置された空洞であって、前記空洞は、前記基板の底面と前記ペデスタル本体の前記基板対向面との間に空間を形成する、空洞と、
    前記ペデスタル本体を貫通して前記空洞と流体連通することで、処理中に前記基板の両面における圧力を均一にする複数のベントと、
    前記ペデスタル本体から分離し、前記基板および前記ペデスタル本体上の前記環状バンドの半径方向外側に配置されたリングであって、前記リングの上面は、前記基板の上面よりも上方にある、リングと、
    を備える、ペデスタル。
  14. 請求項13に記載のペデスタルであって、前記リングは、誘電材料で形成されている、ペデスタル。
  15. 請求項14に記載のペデスタルであって、前記誘電材料は、アルミナ、窒化アルミニウム、サファイア、石英、および、酸化シリコンからなる群より選択される、ペデスタル。
  16. 請求項13に記載のペデスタルであって、前記リングの底面は、前記基板の底面よりも下方にある、ペデスタル。
  17. 請求項13に記載のペデスタルであって、前記ペデスタル本体は、前記ペデスタル本体の半径方向外側縁部に形成された環状ノッチを備える、ペデスタル。
  18. 請求項17に記載のペデスタルであって、前記リングは、
    前記環状ノッチの内部に位置する第1部分と、
    前記環状ノッチの半径方向内向きに伸びる第2部分と、
    を備える、ペデスタル。
  19. 請求項18に記載のペデスタルであって、
    前記リングの前記第2部分の半径方向内側端部は、前記環状バンドの半径方向外側端部の半径方向外側に配置されている、ペデスタル。
  20. 請求項18に記載のペデスタルであって、前記第1部分の第1厚さは、前記第2部分の第2厚さよりも大きいまたは小さいのいずれかである、ペデスタル。
  21. 基板処理システムのためのペデスタルであって、
    基板対向面を含むペデスタル本体と、
    前記基板対向面の上に配置され基板に接触するように構成された環状バンドと、
    前記ペデスタル本体の前記基板対向面に規定され、前記環状バンドの半径方向内側に配置された空洞であって、前記空洞は、前記基板の底面と前記ペデスタル本体の前記基板対向面との間に空間を形成する、空洞と、
    前記ペデスタル本体を貫通して前記空洞と流体連通することで、処理中に前記基板の両面における圧力を均一にする複数のベントと、
    前記ペデスタル本体から分離し、前記ペデスタル本体の上に配置されたリングであって、前記リングは、前記基板の半径方向内側かつ下方に配置された半径方向内側表面と、前記基板の半径方向外側に配置された半径方向外側表面とを含み、前記リングの上面は、前記基板の上面と平行である、リングと、
    を備える、ペデスタル。
  22. 請求項21に記載のペデスタルであって、前記リングは、誘電材料で形成されている、ペデスタル。
  23. 請求項22に記載のペデスタルであって、前記誘電材料は、アルミナ、窒化アルミニウム、サファイア、石英、および、酸化シリコンからなる群より選択される、ペデスタル。
  24. 請求項22に記載のペデスタルであって、前記リングの前記上面の半径方向最内端部は、前記基板の半径方向外側縁部の外側に配置されている、ペデスタル。
JP2022093471A 2016-10-28 2022-06-09 開放空間均圧化通路および側方閉じ込めを備えた平坦な基板縁部接触部 Active JP7373022B2 (ja)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201662414072P 2016-10-28 2016-10-28
US62/414,072 2016-10-28
US15/431,088 US10622243B2 (en) 2016-10-28 2017-02-13 Planar substrate edge contact with open volume equalization pathways and side containment
US15/431,088 2017-02-13
JP2017202502A JP7096538B2 (ja) 2016-10-28 2017-10-19 開放空間均圧化通路および側方閉じ込めを備えた平坦な基板縁部接触部

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2017202502A Division JP7096538B2 (ja) 2016-10-28 2017-10-19 開放空間均圧化通路および側方閉じ込めを備えた平坦な基板縁部接触部

Publications (2)

Publication Number Publication Date
JP2022120080A JP2022120080A (ja) 2022-08-17
JP7373022B2 true JP7373022B2 (ja) 2023-11-01

Family

ID=62021826

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2017202502A Active JP7096538B2 (ja) 2016-10-28 2017-10-19 開放空間均圧化通路および側方閉じ込めを備えた平坦な基板縁部接触部
JP2022093471A Active JP7373022B2 (ja) 2016-10-28 2022-06-09 開放空間均圧化通路および側方閉じ込めを備えた平坦な基板縁部接触部

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP2017202502A Active JP7096538B2 (ja) 2016-10-28 2017-10-19 開放空間均圧化通路および側方閉じ込めを備えた平坦な基板縁部接触部

Country Status (6)

Country Link
US (2) US10622243B2 (ja)
JP (2) JP7096538B2 (ja)
KR (3) KR102430432B1 (ja)
CN (2) CN108091592B (ja)
SG (1) SG10201708448UA (ja)
TW (1) TWI765924B (ja)

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10622243B2 (en) * 2016-10-28 2020-04-14 Lam Research Corporation Planar substrate edge contact with open volume equalization pathways and side containment
CN109594063A (zh) * 2018-12-27 2019-04-09 西安奕斯伟硅片技术有限公司 一种外延反应设备
WO2020163147A1 (en) * 2019-02-08 2020-08-13 Lam Research Corporation Pedestals for modulating film properties in atomic layer deposition (ald) substrate processing chambers
CN116288281A (zh) * 2020-02-11 2023-06-23 朗姆研究公司 用于控制晶片晶边/边缘上的沉积的承载环设计
US11577665B2 (en) 2020-02-27 2023-02-14 Cpk Interior Products Urethane and graphene interior trim panel
DE102020105538A1 (de) 2020-03-02 2021-09-02 Aixtron Se Vorrichtung zur Halterung eines Substrates in einem CVD-Reaktor
EP3970489A1 (en) 2020-09-18 2022-03-23 CpK Interior Products Inc. Graphene-based antiviral polymer
DE102021126019A1 (de) 2021-10-07 2023-04-13 Aixtron Se CVD-Reaktor mit einem Tragring beziehungsweise Tragring für ein Substrat

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000349142A (ja) 1999-04-19 2000-12-15 Applied Materials Inc 半導体ウェハ処理システムのための裏面ガス送出装置
JP2005136350A (ja) 2003-10-31 2005-05-26 Tokyo Electron Ltd 静電吸着装置、プラズマ処理装置及びプラズマ処理方法
JP2005515630A (ja) 2001-12-21 2005-05-26 三菱住友シリコン株式会社 エピタキシャル成長用サセプタおよびエピタキシャル成長方法
US20150368829A1 (en) 2014-06-23 2015-12-24 Applied Materials, Inc. Substrate thermal control in an epi chamber
JP2016122837A (ja) 2014-12-12 2016-07-07 ラム リサーチ コーポレーションLam Research Corporation キャリアリング構造及びこれを含むチャンバシステム

Family Cites Families (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2955829A (en) * 1958-07-16 1960-10-11 George F Brewster Work holding chuck
JP3453834B2 (ja) * 1994-02-25 2003-10-06 三菱電機株式会社 ウエハチャック装置および半導体製造装置
JP2001525997A (ja) 1997-05-20 2001-12-11 東京エレクトロン株式会社 処理装置
JPH11111707A (ja) * 1997-10-07 1999-04-23 Hitachi Electron Eng Co Ltd 気相成長装置
US6219219B1 (en) 1998-09-30 2001-04-17 Applied Materials, Inc. Cathode assembly containing an electrostatic chuck for retaining a wafer in a semiconductor wafer processing system
US20050000449A1 (en) * 2001-12-21 2005-01-06 Masayuki Ishibashi Susceptor for epitaxial growth and epitaxial growth method
US7252738B2 (en) * 2002-09-20 2007-08-07 Lam Research Corporation Apparatus for reducing polymer deposition on a substrate and substrate support
US20040099375A1 (en) * 2002-11-21 2004-05-27 Yanghua He Edge-contact ring for a wafer pedestal
JP4317731B2 (ja) 2003-10-27 2009-08-19 豊田合成株式会社 エアバッグを備えたシートベルト
JP2006005177A (ja) 2004-06-17 2006-01-05 Tokyo Electron Ltd 熱処理装置
JP4534619B2 (ja) 2004-06-21 2010-09-01 株式会社Sumco 半導体シリコン基板用熱処理治具
US7244311B2 (en) * 2004-10-13 2007-07-17 Lam Research Corporation Heat transfer system for improved semiconductor processing uniformity
JP5478065B2 (ja) 2005-08-17 2014-04-23 アプライド マテリアルズ インコーポレイテッド ロウ付けプレートおよび抵抗ヒーターを有する基板サポート
US7837826B2 (en) * 2006-07-18 2010-11-23 Lam Research Corporation Hybrid RF capacitively and inductively coupled plasma source using multifrequency RF powers and methods of use thereof
US20080314319A1 (en) * 2007-06-19 2008-12-25 Memc Electronic Materials, Inc. Susceptor for improving throughput and reducing wafer damage
WO2009020024A1 (ja) 2007-08-03 2009-02-12 Shin-Etsu Handotai Co., Ltd. サセプタ及びシリコンエピタキシャルウェーハの製造方法
CN101471275B (zh) * 2007-12-26 2011-04-06 北京北方微电子基地设备工艺研究中心有限责任公司 一种被处理体的保持装置
US8274017B2 (en) * 2009-12-18 2012-09-25 Applied Materials, Inc. Multifunctional heater/chiller pedestal for wide range wafer temperature control
JP5604907B2 (ja) * 2010-02-25 2014-10-15 信越半導体株式会社 気相成長用半導体基板支持サセプタおよびエピタキシャルウェーハ製造装置およびエピタキシャルウェーハの製造方法
US8371567B2 (en) * 2011-04-13 2013-02-12 Novellus Systems, Inc. Pedestal covers
TWM431430U (en) * 2011-08-24 2012-06-11 Wafer Works Corp Clip board type fastening device for use in annularly etching wafer
KR101874901B1 (ko) * 2011-12-07 2018-07-06 삼성전자주식회사 기판 건조 장치 및 방법
US10593521B2 (en) * 2013-03-12 2020-03-17 Applied Materials, Inc. Substrate support for plasma etch operations
US10804081B2 (en) 2013-12-20 2020-10-13 Lam Research Corporation Edge ring dimensioned to extend lifetime of elastomer seal in a plasma processing chamber
US9793096B2 (en) 2014-09-12 2017-10-17 Lam Research Corporation Systems and methods for suppressing parasitic plasma and reducing within-wafer non-uniformity
US10622243B2 (en) * 2016-10-28 2020-04-14 Lam Research Corporation Planar substrate edge contact with open volume equalization pathways and side containment

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000349142A (ja) 1999-04-19 2000-12-15 Applied Materials Inc 半導体ウェハ処理システムのための裏面ガス送出装置
JP2005515630A (ja) 2001-12-21 2005-05-26 三菱住友シリコン株式会社 エピタキシャル成長用サセプタおよびエピタキシャル成長方法
JP2005136350A (ja) 2003-10-31 2005-05-26 Tokyo Electron Ltd 静電吸着装置、プラズマ処理装置及びプラズマ処理方法
US20150368829A1 (en) 2014-06-23 2015-12-24 Applied Materials, Inc. Substrate thermal control in an epi chamber
JP2016122837A (ja) 2014-12-12 2016-07-07 ラム リサーチ コーポレーションLam Research Corporation キャリアリング構造及びこれを含むチャンバシステム

Also Published As

Publication number Publication date
KR102430432B1 (ko) 2022-08-05
KR20230163977A (ko) 2023-12-01
JP2022120080A (ja) 2022-08-17
US11443975B2 (en) 2022-09-13
US20180122685A1 (en) 2018-05-03
JP2018078284A (ja) 2018-05-17
JP7096538B2 (ja) 2022-07-06
CN108091592B (zh) 2023-08-25
KR20180046867A (ko) 2018-05-09
CN108091592A (zh) 2018-05-29
TW201833974A (zh) 2018-09-16
US20200227304A1 (en) 2020-07-16
US10622243B2 (en) 2020-04-14
SG10201708448UA (en) 2018-05-30
TWI765924B (zh) 2022-06-01
KR20220114517A (ko) 2022-08-17
CN117038508A (zh) 2023-11-10

Similar Documents

Publication Publication Date Title
JP7373022B2 (ja) 開放空間均圧化通路および側方閉じ込めを備えた平坦な基板縁部接触部
US11069553B2 (en) Electrostatic chuck with features for preventing electrical arcing and light-up and improving process uniformity
TWI783960B (zh) 具有改良的處理均勻性之基板支撐件
JP2016188424A (ja) 埋め込み電極を伴うガス分配セラミック板
JP2023055808A (ja) ボトムリング
US10741425B2 (en) Helium plug design to reduce arcing
JP2020519016A (ja) 可動エッジリング設計
US10460977B2 (en) Lift pin holder with spring retention for substrate processing systems
US20210351018A1 (en) Lower plasma exclusion zone ring for bevel etcher
JP7440488B2 (ja) 半導体基板処理におけるペデスタルへの蒸着の防止
JP2023533858A (ja) マルチステージポンピングライナ
US20220235459A1 (en) Reduced diameter carrier ring hardware for substrate processing systems
TW202102715A (zh) 在原子層沉積(ald)基板處理腔室中調變膜性質用之支座
TWI760111B (zh) 底部和中間邊緣環
WO2022072370A1 (en) High temperature pedestal with extended electrostatic chuck electrode
CN114981477A (zh) 用于沟槽轮廓优化的多区气体分配板

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20220705

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20220705

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20230817

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20231003

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20231020

R150 Certificate of patent or registration of utility model

Ref document number: 7373022

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150