KR20230163977A - 개방 볼륨 이퀄라이제이션 통로들 및 측면 밀폐부를 가진 평면형 기판 에지 콘택트 - Google Patents

개방 볼륨 이퀄라이제이션 통로들 및 측면 밀폐부를 가진 평면형 기판 에지 콘택트 Download PDF

Info

Publication number
KR20230163977A
KR20230163977A KR1020230161813A KR20230161813A KR20230163977A KR 20230163977 A KR20230163977 A KR 20230163977A KR 1020230161813 A KR1020230161813 A KR 1020230161813A KR 20230161813 A KR20230161813 A KR 20230161813A KR 20230163977 A KR20230163977 A KR 20230163977A
Authority
KR
South Korea
Prior art keywords
substrate
pedestal
ring
annular band
pedestal body
Prior art date
Application number
KR1020230161813A
Other languages
English (en)
Inventor
패트릭 브레일링
라메시 찬드라세카란
카를 리저
폴 콘콜라
애드리언 라보이
끌로에 발다세로니
샹카 스와미나단
이슈타크 카림
유키노리 사키야마
에드먼드 민셜
성제 김
앤드류 듀발
프랭크 파스콸레
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20230163977A publication Critical patent/KR20230163977A/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68735Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge profile or support profile
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4581Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber characterised by material of construction or surface finish of the means for supporting the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4585Devices at or outside the perimeter of the substrate support, e.g. clamping rings, shrouds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4586Elements in the interior of the support, e.g. electrodes, heating or cooling devices
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • C23C16/5096Flat-bed apparatus
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • H01J37/32642Focus rings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68757Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a coating or a hardness or a material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68785Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the mechanical construction of the susceptor, stage or support
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05CAPPARATUS FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05C13/00Means for manipulating or holding work, e.g. for separate articles
    • B05C13/02Means for manipulating or holding work, e.g. for separate articles for particular articles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/6715Apparatus for applying a liquid, a resin, an ink or the like

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Metallurgy (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Organic Chemistry (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

기판 프로세싱 시스템용 페데스탈은 기판 대면 표면을 포함한 페데스탈 바디를 포함한다. 환형 밴드가 기판 대면 표면 상에 배치되고 기판의 방사상으로 외측 에지를 지지하도록 구성된다. 캐비티가 페데스탈 바디의 기판 대면 표면 내에 규정되고 환형 밴드의 방사상으로 내부에 위치된다. 캐비티는 기판의 하단 표면과 페데스탈 바디의 기판 대면 표면 사이에 볼륨을 생성한다. 복수의 벤트들이 페데스탈 바디를 통과하고 그리고 프로세싱 동안 기판의 반대되는 면들 상의 압력을 이퀄라이징하도록 캐비티와 유체로 연통한다.

Description

개방 볼륨 이퀄라이제이션 통로들 및 측면 밀폐부를 가진 평면형 기판 에지 콘택트{PLANAR SUBSTRATE EDGE CONTACT WITH OPEN VOLUME EQUALIZATION PATHWAYS AND SIDE CONTAINMENT}
본 개시는 기판 프로세싱 시스템들, 보다 구체적으로 기판 프로세싱 시스템들용 페데스탈에 관한 것이다.
본 명세서에 제공된 배경기술 설명은 일반적으로 본 개시의 맥락을 제공하기 위한 것이다. 본 발명자들의 성과로서 본 배경기술 섹션에 기술되는 정도의 성과 및 출원시 종래 기술로서 인정되지 않을 수도 있는 기술의 양태들은 본 개시에 대한 종래 기술로서 명시적으로나 암시적으로 인정되지 않는다.
기판 프로세싱 시스템들은 기판 예컨대 반도체 웨이퍼 상의 막을 증착, 에칭 또는 처리하도록 사용될 수도 있다. 기판 프로세싱 시스템들은 통상적으로 프로세싱 챔버, 가스 분배 디바이스 및 페데스탈을 포함한다. 프로세싱 동안, 기판은 페데스탈 상에 배치된다. 막을 처리하기 위해 상이한 가스 혼합물들이 프로세싱 챔버 내로 도입될 수도 있다. 기판 가열 및/또는 RF (radio frequency) 플라즈마가 화학 반응들을 활성화시키도록 또한 사용될 수도 있다.
페데스탈의 캐리어 링은 통상적으로 기판의 방사상으로 외측 에지를 따라 좁은 밴드에서 기판과 콘택트한다. 통상적으로, 좁은 밴드는 1.0 내지 1.5 ㎜의 폭을 갖는다. MCA (minimum contact area) 핀들은 기판의 중심 영역을 지지하도록 사용된다. 기판의 중심에서 MCA 핀들은 기판 보잉 상태 (bowing condition) 를 생성하기 위해 기판의 중심을 기판의 외측 에지를 지지하는 좁은 밴드보다 높게 리프팅한다. 즉, MCA 핀들의 상단 표면들은 좁은 밴드에 의해 규정된 평면형 표면보다 높게 상승된다. 기판 에지는 접선 또는 라인 콘택트와 캐리어 링을 콘택트한다. 이는 전달 및 프로세싱 동안 기판과 페데스탈의 정밀 정렬을 필요로 한다. 정밀함이 요구되고 '온-사이트 (on-site)' 셋업 제한들로 인해, 핀들 및 캐리어 링은 통상적으로 기판의 배면 상의 증착을 충분히 차단하지 않는다. 기판의 배면 에지와 콘택트 양이 또한 제한되기 때문에, 이러한 접근 방법은 중심이 벗어난 (off-center) 기판 배치에 대해 보다 적은 오차를 갖는다.
기판 프로세싱 시스템용 페데스탈은 기판 대면 표면을 포함한 페데스탈 바디를 포함한다. 환형 밴드가 기판 대면 표면 상에 배치되고 기판의 방사상으로 외측 에지를 지지하도록 구성된다. 캐비티가 페데스탈 바디의 기판 대면 표면 내에 규정되고 환형 밴드의 방사상으로 내부에 위치된다. 캐비티는 기판의 하단 표면과 페데스탈 바디의 기판 대면 표면 사이에 볼륨을 생성한다. 복수의 벤트들이 페데스탈 바디를 통과하고 그리고 프로세싱 동안 기판의 반대되는 면들 상의 압력을 이퀄라이징하도록 캐비티와 유체로 연통한다.
다른 특징들에서, 환형 밴드는 4 ㎜ 내지 12 ㎜의 범위의 폭을 갖는다. 환형 밴드는 5 ㎜ 내지 9 ㎜의 범위의 폭을 갖는다. 환형 밴드는 6 ㎜ 내지 7 ㎜의 범위의 폭을 갖는다. 환형 밴드는 2 내지 32 범위의 표면 거칠기 (Ra) 를 갖는다. 표면 거칠기 (Ra) 는 2 내지 24의 범위이다. 표면 거칠기 (Ra) 는 2 내지 16의 범위이다.
다른 특징들에서, 복수의 벤트들은, 페데스탈 바디의 방사상으로 외측으로부터 방사상으로 내측으로 연장하는 제 1 벤트 부분 및 제 1 벤트 부분의 방사상으로 내측 에지로부터 캐비티로 연장하는 제 2 벤트 부분을 포함한다.
다른 특징들에서, 복수의 벤트들은, 페데스탈 바디의 하단측으로부터 캐비티를 향해 축방향으로 연장하는 제 1 벤트 부분 및 캐비티에 연결하는 복수의 홀들을 포함하는 제 2 벤트 부분을 포함한다.
다른 특징들에서, 환형 밴드는 도전성 재료의 표면 상에 형성된 유전체 코팅, 코팅되지 않은 도전성 재료, 코팅되지 않은 금속, 및 코팅되지 않은 유전체 재료로 구성된 그룹으로부터 선택된 재료로 이루어진다.
다른 특징들에서, 링이 기판 및 환형 밴드의 방사상으로 외측에 배치된다. 링의 상단 표면은 기판의 상단 표면 위에 배치된다. 링은 유전체 재료로 이루어진다.
다른 특징들에서, 유전체 재료는 알루미나, 알루미늄 나이트라이드, 사파이어, 석영 및 실리콘 옥사이드로 구성된 그룹으로부터 선택된다. 링은 기판 아래 및 기판의 방사상으로 내측에 배치된 방사상으로 내측 표면 및 기판의 방사상으로 외부에 배치된 방사상으로 외측 표면을 포함한다. 링의 상단 표면은 기판의 상단 표면에 평행하다. 링은 유전체 재료로 이루어진다.
다른 특징들에서, 유전체 재료는 알루미나, 알루미늄 나이트라이드, 사파이어, 석영 및 실리콘 옥사이드로 구성된 그룹으로부터 선택된다. 페데스탈 바디는 페데스탈 바디의 방사상으로 외측 에지 둘레에 환형 노치를 포함한다. 링이 환형 노치에 배치된다. 링의 하단 표면은 기판의 하단 표면 아래에 놓인다. 링의 상단 표면은 기판의 상단 표면 아래에 놓인다. 링은 유전체 재료로 이루어진다.
다른 특징들에서, 유전체 재료는 알루미나, 알루미늄 나이트라이드, 사파이어, 석영 및 실리콘 옥사이드로 구성된 그룹으로부터 선택된다.
다른 특징들에서, 복수의 핀들이 기판의 중심을 지지하도록 캐비티 내에 배치된다. 핀들의 상단 표면은 프로세싱 동안 환형 밴드의 상단 표면 아래에 있거나, 상단 표면에 평행하거나 상단 표면 위에 있는 것 중 하나이다.
다른 특징들에서, 복수의 돌출부들이 기판의 중심을 지지한다. 돌출부들의 상단 표면은 환형 밴드의 상단 표면 아래에 있거나, 상단 표면에 평행하거나 상단 표면 위에 있는 것 중 하나이다. 기판의 방사상으로 외측 에지를 따른 기판의 배면 표면은 프로세싱 동안 환형 밴드에 평행하다.
기판 프로세싱 시스템은 프로세싱 챔버 및 페데스탈을 포함한다. 페데스탈은 프로세싱 챔버 내에 배치된다. RF 생성기가 프로세싱 챔버 내에 배치된다.
다른 특징들에서, 복수의 MCA (minimum contact area) 핀들은 페데스탈 바디로부터 연장가능하다. MCA 핀들의 상단 표면이 환형 밴드의 상단 표면 아래에 있거나, 상단 표면에 평행하거나 상단 표면 위에 있는 것 중 하나이도록, 제어기가 프로세싱 동안 MCA 핀들을 연장하게 구성된다.
다른 특징들에서, 복수의 돌출부들이 캐비티 내에서 페데스탈 바디로부터 상향으로 연장한다.
본 개시의 추가 적용가능 영역들은 상세한 기술, 청구항들 및 도면들로부터 명백해질 것이다. 상세한 기술 및 구체적인 예들은 단지 예시를 목적으로 의도되고, 본 개시의 범위를 제한하는 것으로 의도되지 않았다.
본 개시는 상세한 기술 및 첨부된 도면들로부터 보다 완전히 이해될 것이다.
도 1은 본 개시에 따른, 페데스탈을 포함하는 기판 프로세싱 시스템의 예의 기능적 블록도이다.
도 2는 본 개시에 따른, 페데스탈의 예의 측단면도이다.
도 3은 본 개시에 따른, 페데스탈의 예의 사시도이다.
도 4 및 도 5는 각각 종래 기술에 따른 좁은 밴드에 대한 배면 증착 두께 및 본 개시에 따른 보다 넓은 밴드에 대한 배면 증착 두께를 예시하는 그래프이다.
도 6은 본 개시에 따른, 기판 아래 캐비티로의 방사상 벤트들을 포함하는 페데스탈의 예의 사시도이다.
도 7은 본 개시에 따른, 기판 아래 캐비티로의 축방향 벤트들을 포함하는 페데스탈의 예의 사시도이다.
도 8은 본 개시에 따른 벤팅을 사용하고 종래 기술에 따른 벤팅을 사용하지 않은 프로세싱 동안 기판의 위치의 변화들의 샘플들을 예시하는 그래프이다.
도 9는 본 개시에 따른, 기판의 상단 표면 위에 위치된 상단 표면을 포함하는 링의 예의 사시도이다.
도 10은 본 개시에 따른, 기판의 상단 표면에 평행하게 위치된 상단 표면을 포함하는 링의 예의 사시도이다.
도 11은 본 개시에 따른, 기판의 상단 표면 아래에 위치된 상단 표면을 포함하는 링의 예의 사시도이다.
도면들에서, 참조 번호들은 유사한 그리고/또는 동일한 엘리먼트들을 식별하도록 재사용될 수도 있다.
관련 출원들의 교차 참조
본 출원은 2016년 10월 28일 출원된 미국 특허 가출원 번호 제 62/414,072 호의 이점을 주장한다. 상기 참조된 출원은 본 명세서에 참조로서 인용된다.
본 개시에 따른 페데스탈은 프로세싱 동안 상향으로 대면하고 기판의 방사상으로 외측 에지를 지지하는 환형 밴드를 포함한다. 환형 밴드는 상기 기술된 좁은 밴드와 비교할 때 상당히 보다 큰 폭을 갖는다. 기판의 배면 표면을 따라 기판의 외측 직경은 환형 밴드와 평행하거나 접선 방향으로 콘택트하여 유지된다.
페데스탈의 상부 표면은 기판의 하단 표면과 페데스탈의 상부 표면 사이에 캐비티를 규정한다. 캐비티는 환형 밴드의 방사상으로 내측에 배치된다. 가스로 하여금 프로세싱 챔버의 주 프로세싱 볼륨으로부터 기판 아래 캐비티의 볼륨으로 흐르게 하도록 벤트들이 제공된다. 일부 예들에서, MCA 핀들이 기판의 중심을 지지하도록 사용된다. 다른 예들에서, 복수의 고정된 돌출부들이 기판의 중심을 지지하도록 캐비티 내 페데스탈의 상부 표면으로부터 연장한다.
유전체 재료의 링이 환형 밴드의 방사상으로 외부에 배치될 수도 있다. 링의 방사상 내측 부분은 기판의 외측 직경의 방사상으로 내측, 또는 기판의 외측 직경에 인접하게 또는 기판의 외측 직경의 방사상으로 외부에 위치될 수도 있다. 링의 상단 표면은 기판의 상단 표면 위, 또는 기판의 상단 표면에 평행하게 또는 기판의 상단 표면 아래에 위치될 수도 있다.
본 개시에 따른 페데스탈의 환형 밴드는 기판의 배면의 에지에서 보다 넓은 콘택트 면적을 제공하고, 이는 기판 포지션 오차 (substrate position tolerance) 를 상승시킨다. 벤트들은 캐비티 내 볼륨과 주 프로세싱 볼륨 간 압력 이퀄라이제이션 (equalization) 을 제공한다. 벤트들은 페데스탈의 외측 직경으로 방사상으로 지향될 수 있거나 페데스탈의 하단으로부터 축방향으로 지향될 수 있다. 벤트들의 수 및 벤트 통로들의 사이즈는 특정한 프로세스에 사용되는 프로세스 압력 및 가스 플로우들에 따라 가변할 것이다.
환형 밴드의 폭은 기판 상에 막을 증착하도록 사용된 화학물질들 및 프로세스 온도들에 따라 가변한다. 링의 기하학적 구조 및 위치는 증착될 특정한 막에 대한 프로세스 변수들을 튜닝하기 위해 기판 직경 및 페데스탈에 대해 가변한다. 링의 두께, 체적 및 형상은 증착될 특정한 막에 대한 프로세스 변수들에 따라 가변할 수도 있다.
이제 도 1을 참조하면, 본 명세서에 기술된 증착을 수행하기 위한 기판 프로세싱 시스템의 예가 도시된다. 기판 프로세싱 시스템들의 특정한 예들이 도시되지만, 다른 기판 프로세싱 시스템들이 사용될 수 있다. 일부 예들에서, 기판 프로세싱 시스템은 막의 PE (plasma enhanced) 증착을 수행한다. 일부 예들에서, 기판 프로세싱 시스템은 PECVD (plasma-enhanced chemical vapor deposition) 또는 PEALD (plasma-enhanced atomic layer deposition) 를 수행하지만, 다른 프로세스들이 수행될 수 있다.
기판 프로세싱 시스템 (10) 은 기판 프로세싱 시스템 (10) 의 다른 컴포넌트들을 둘러싸고 RF 플라즈마 (특정한 기판 처리에 사용된다면) 를 담는 프로세싱 챔버 (12) 를 포함한다. 기판 프로세싱 시스템 (10) 은 샤워헤드 (14) 및 페데스탈 어셈블리 (16) 를 포함한다. 기판 (18) 이 페데스탈 어셈블리 (16) 상에 배치된다. 샤워헤드 (14) 는 프로세스 가스들을 도입하고 분배한다.
플라즈마가 사용된다면, 플라즈마는 다이렉트 또는 리모트 플라즈마일 수 있다. 이 예에서, RF 생성 시스템 (30) 이 RF 전압을 생성하고 샤워헤드 (14) 또는 페데스탈 어셈블리 (16) 로 RF 전압을 출력한다 (다른 하나는 DC 접지되거나, AC 접지되거나 플로팅한다). 단지 예를 들면, RF 생성 시스템 (30) 은 매칭 네트워크 (34) 에 의해 샤워헤드 (14) 또는 페데스탈 어셈블리 (16) 로 피딩되는 RF 전압을 생성하는 RF 전압 생성기 (32) 를 포함할 수도 있다. 대안적으로, 플라즈마는 리모트 플라즈마 소스 (36) 에 의해 전달될 수도 있다.
가스 전달 시스템 (40) 은 하나 이상의 가스 소스들 (42-1, 42-2, …, 및 42-N (집합적으로 가스 소스들 (42)) 을 포함하고, N은 0보다 큰 정수이다. 가스 소스들 (42) 은 하나 이상의 에칭 가스 혼합물들, 전구체 가스 혼합물들, 세정 가스 혼합물들, 애싱 가스 혼합물들, 등을 프로세싱 챔버 (12) 로 공급한다. 기화된 전구체가 또한 사용될 수도 있다. 가스 소스들 (42) 은 밸브들 (44-1, 44-2, …, 및 44-N (집합적으로 밸브들 (44)) 및 질량 유량 제어기들 (mass flow controllers) (46-1, 46-2, …, 및 46-N (집합적으로 질량 유량 제어기들 (46)) 에 의해 매니폴드 (48) 에 연결된다. 매니폴드 (48) 의 출력은 프로세싱 챔버 (12) 로 피드된다. 단지 예를 들면, 매니폴드 (48) 의 출력은 샤워헤드 (14) 로 피드된다.
히터 (50) 가 기판 지지부 어셈블리 (16) 내에 배열된 히터 코일 (미도시) 에 연결될 수도 있다. 히터 (50) 는 페데스탈 어셈블리 (16) 및 기판 (18) 의 온도를 제어하도록 사용될 수도 있다. 밸브 (60) 및 펌프 (62) 가 프로세싱 챔버 (12) 로부터 반응물질들을 배기하도록 사용될 수도 있다. 제어기 (70) 가 기판 프로세싱 시스템 (10) 의 컴포넌트들을 제어하도록 사용될 수도 있다. 단지 예를 들면, 제어기 (70) 는 프로세스 가스들의 플로우, 온도, 압력, 전력, 등과 같은 프로세스 파라미터들의 모니터링, 플라즈마 스트라이킹 및 소화, 반응 물질들의 제거, 등을 제어하도록 사용될 수도 있다.
일부 예들에서, MCA (minimum contact area) 핀들 (71) 은 프로세싱 동안 기판 (18) 의 중심 영역을 지지하도록 사용된다. 사용된다면, 제어기 (70) 는 또한 MCA 핀들 (71) 을 액추에이팅하도록 (actuate) 사용될 수도 있다. 일부 예들에서, MCA 핀들 (71) 의 상단 표면은 기판과 환형 밴드 사이의 병렬 콘택트를 제공하기 위해 환형 밴드의 상단 표면 아래, 또는 환형 밴드의 상단 표면에 평행하게 또는 환형 밴드의 상단 표면 위의 높이에 포지셔닝된다. 일부 예들에서, MCA 핀들 (71) 은 사파이어로 이루어지지만, 다른 재료들이 사용될 수 있다. MCA 핀들은, 기판 (웨이퍼) 과 환형 링 (시일링 밴드) 간의 콘택트 힘을 변경하기 위해 환형 밴드 위, 또는 환형 밴드에 평행하게 또는 환형 밴드 아래의 높이이도록 설정될 수 있다. 보다 높은 콘택트 힘은 기판과 환형 링 사이의 갭을 감소시킴으로써 감소된 기판 상의 배면 증착을 보장할 수 있다. 환형 링에 대해 MCA 핀들이 아래 및 위 모두로 얼마나 멀어질 수 있는지에 대한 제한들이 있고, 양 방향들에서, 너무 멀어지는 것은 기판과 링 간의 콘택트 힘을 감소시켜 갭을 증가시킨다.
이제 도 2 및 도 3을 참조하면, 페데스탈 어셈블리 (16) 는 기판 (18) 을 지지하는 페데스탈 바디 (110) 를 포함한다. 캐비티 (118) 가 기판 (18) 과 페데스탈 바디 (110) 의 상단 표면 (119) 사이에 규정될 수도 있다. 일부 예들에서, 캐비티 (118) 는 실린더 형상을 갖는다. 환형 밴드 (122) 가 캐비티 (118) 의 방사상으로 외측에 배치된다. 일부 예들에서, 환형 밴드 (122) 는 평면형이고 방사상으로 내측 에지 (126) 및 방사상으로 외측 에지 (128) 를 포함한다. 기판 (18) 의 외측 직경은 프로세싱 동안 환형 밴드 (122) 와 평행하거나 접선 콘택트하여 유지된다. 링 (132) 은 일반적으로 기판 (18) 의 방사상으로 외부의 페데스탈 바디 (110) 상에 배치된다.
일부 예들에서, 환형 밴드 (122) 는 2 내지 32의 표면 거칠기 Ra를 갖는다. 일부 예들에서, 환형 밴드 (122) 는 2 내지 24의 표면 거칠기 Ra를 갖는다. 일부 예들에서, 환형 밴드 (122) 는 2 내지 16의 표면 거칠기 Ra를 갖는다. 일부 예들에서, 환형 밴드 (122) 는 2 내지 8의 표면 거칠기 Ra를 갖는다.
환형 밴드 (122) 의 방사상 폭은 기판 배치와 무관하게 기판 에지가 콘택트한 채로 남아 있다는 것을 보장하기 충분하게 충분히 넓도록 선택된다. 일부 예들에서, 환형 밴드 (122) 는 4 ㎜ 내지 12 ㎜ 범위의 방사상 폭을 갖는다. 일부 예들에서, 환형 밴드 (122) 는 5 ㎜ 내지 9 ㎜ 범위의 방사상 폭을 갖는다. 일부 예들에서, 환형 밴드 (122) 는 6 ㎜ 내지 7 ㎜ 범위의 방사상 폭을 갖는다.
환형 밴드 (122) 를 형성하는 재료는 도전성 재료의 표면 상에 형성된 유전체 코팅, 코팅되지 않은 도전성 재료 또는 금속, 또는 코팅되지 않은 유전체 재료를 포함할 수도 있다. 환형 밴드 (122) 에 사용된 재료는, 막을 증착하기 위해 사용되는 프로세스 화학물질들과 화학적으로 상호작용하지 않도록 선택될 수도 있다. 부가적인 선택 기준은 임피던스 및 플라즈마 상호작용을 포함한다. 일부 예들에서, 환형 밴드 (122) 에 사용된 재료는 또한 일부 프로세스 화학물질들과의 특정한 상호작용을 제공하도록 선택될 수도 있다.
이제 도 4 및 도 5를 참조하면, 배면 증착물 상 환형 밴드 (122) 의 폭의 영향이 도시된다. 알 수 있는 바와 같이, 환형 밴드 (122) 가 상기 기술된 바와 같이 좁은 폭일 때, 배면 증착은 도 4에 도시된 바와 같이 일어나는 경향이 있다. 환형 밴드 (122) 가 본 명세서에 개시된 바와 같이 보다 넓은 폭을 가질 때, 배면 증착은 도 5에 도시된 바와 같이 감소되거나 제거된다.
이제 도 6 및 도 7을 참조하면, 주 프로세싱 볼륨과의 압력 이퀄라이제이션을 제공하기 위해 캐비티 내 볼륨 (118) 을 벤팅하는 몇몇 상이한 변형들이 도시된다. 벤팅은 환형 밴드 (122) 상의 기판 (18) 의 이동을 감소시키도록 기판 (18) 의 마주보는 표면들 간의 압력 이퀄라이제이션을 제공한다. 감소된 이동은 증착 균일도를 개선하고 배면 증착을 방지하는 경향이 있다.
예를 들어 도 6에서, 페데스탈 바디 (110) 는 복수의 벤트들 (150-1, 150-2, 150-3, …, 150-V) (집합적으로 벤트들 (150)) (여기서 V는 1 이상의 정수) 을 포함한다. 이해될 수 있는 바와 같이, 벤트들의 수는 적합한 동적 압력 이퀄라이제이션을 제공하기 위해 필요에 따라 조정될 수 있다. 벤트들 (150) 은 주 프로세싱 볼륨과 기판 (18) 아래 캐비티 내 볼륨 (118) 간의 유체 연통을 제공한다. 이 예에서, 벤트들 (150) 은 방사상 방향으로 연장하고 페데스탈 바디 (110) 의 방사상으로 외측 표면 상에 위치된 개구부 (154-1) 를 포함하는 제 1 벤트 부분 (152-1) 을 포함한다. 제 2 벤트 부분 (156-1) 은 제 1 벤트 부분 (152-1) 의 방사상으로 내측 단부 (158-1) 로부터 캐비티 (118) 내 페데스탈의 상부 표면 바디 (110) 으로 축방향으로 연장한다. 제 1 벤트 부분 (152-1) 및 제 2 벤트 부분 (156-1) 은 기판 아래 (캐비티 (118) 내) 볼륨과 프로세싱이 일어나는 주 프로세싱 볼륨 간 유체 연통을 위한 경로를 제공한다. 즉, 프로세싱 챔버의 주 볼륨이 기판 (18) 의 상단 표면을 포함하고, 기판 이동을 방지하기 위해 벤트들 (150) 이 기판 (18) 아래 캐비티 (118) 의 볼륨 내에서 압력 이퀄라이제이션을 제공하기 때문에 압력 이퀄라이제이션이 일어난다.
기판 (18) 아래 캐비티 (118) 의 높이는 기판 (18) 으로 하여금 미리 결정된 제한들 내에서 이동하게 하기 충분히 큰 기판 (18) 상의 상향 압력을 생성하지 않고 캐비티 (118) 내로 그리고 캐비티 (118) 로부터의 가스들의 교환을 허용하도록 선택된다. 일부 예들에서, 캐비티 (118) 는 0.004" 내지 0.010"의 범위의 깊이를 갖는다. 일부 예들에서, 캐비티는 0.004" 내지 0.008"의 범위의 깊이를 갖는다. 복수의 MCA 핀들 (161) 이 기판 (18) 의 중심을 지지하고 리프팅하도록 사용될 수도 있다. 일부 예들에서, MCA 핀들 (161) 은 MCA 핀들 (161) 의 상단 표면이 환형 밴드 (122) 의 상단 표면에 평행한 높이로 이동한다. 일부 예들에서, MCA 핀들 (161) 은 MCA 핀들 (161) 의 상단 표면이 환형 밴드 (122) 의 상단 표면 위에 있는 높이로 이동된다. 일부 예들에서, MCA 핀들 (161) 은 3 또는 6 개의 MCA 핀들을 포함할 수도 있지만, 부가적인 또는 보다 적은 MCA 핀들이 사용될 수 있다.
도 7에서, 벤트 배치의 또 다른 예가 도시된다. 복수의 벤트들 (200-1 및 200-2) (집합적으로 벤트들 (200)) 은 주 프로세싱 볼륨으로부터 기판 (18) 아래에 배치된 캐비티 (118) 로 유체를 벤팅하기 위해 축방향으로 배치된다. 이해될 수 있는 바와 같이, 2 개의 벤트들이 도시되지만, 부가적인 벤트들이 이격된 인터벌들로 페데스탈 바디 (110) 둘레에 방사상으로 배치될 수도 있다. 일부 예들에서, 벤트 (200-1) 는 페데스탈 바디 (110) 의 하단 표면으로부터, 페데스탈 바디 (110) 의 하나 이상의 층들을 통해, 캐비티 (118) 내 페데스탈의 상단 표면 (또는 이격되지만 근접한 위치) 로 연장하는 제 1 벤트 부분 (210-1) 을 포함한다. 제 2 벤트 부분 (210-2) 은 제 1 벤트 부분 (210-1) 을 캐비티 (118) 에 선택가능하게 연결한다. 일부 예들에서, 제 2 벤트 부분들 (210-2) 각각은 제 1 벤트 부분 (210-1) 및 캐비티 (118) 와 각각 유체로 연통하는 마주보는 단부들을 갖는 이격된 복수의 쓰루 홀들 (220) 을 포함한다.
일부 예들에서, 제 1 벤트 부분들 (210-1) 은 0.2" 내지 0.8"의 범위의 직경을 갖는다. 일부 예들에서, 제 1 벤트 부분들 (210-1) 은 0.3" 내지 0.5" 범위의 직경을 갖는다. 일부 예들에서, 복수의 이격된 쓰루 홀들 (220) 은 대략 제 1 벤트 부분 (210-1) 보다 작은 크기이다. 일부 예들에서, 복수의 이격된 쓰루 홀들 (220) 은 0.01" 내지 0.08" 범위의 직경을 갖는다. 일부 예들에서, 복수의 이격된 쓰루 홀들 (220) 은 0.01" 내지 0.03" 범위의 직경을 갖는다.
이제 도 8을 참조하면, 복수의 기판들이 프로세스되도록 전달되고, 처리되고 이어서 회수된다. 기판들은 (0,0) 지점 근방으로 처음으로 전달되고 후속하여 프로세싱 후에 로봇 암에 의해 픽업된다. 이해될 수 있는 바와 같이, 로봇 암의 픽업 위치는 프로세싱 동안 기판이 얼마나 많이 이동되는지의 지표를 제공한다. 페데스탈 바디가 벤팅되지 않을 때, 기판들은 주 프로세싱 볼륨과 캐비티 내 볼륨 (118) 간 압력 차로 인해 페데스탈 바디의 상단 표면 상에서 이동하는 경향이 있다. 반대로, 기판은 벤팅이 사용될 때 보다 적게 이동한다. 기판이 링에 대해 이동함에 따라, 증착시 국부화된 차들이 일어날 수도 있다. 기판 (18) 의 이동이 감소될 때, 증착시 보다 적은 변동이 있다.
이제 도 9 내지 도 11을 참조하면, 링 (132) 의 다양한 예시적인 배치들이 도시된다. 링 (132) 은 일반적으로 환형 형상을 갖고 유전체 재료로 이루어질 수 있다. 일부 예들에서, 유전체 재료는 알루미나, 알루미늄 나이트라이드, 사파이어, 석영 및 실리콘 옥사이드로부터 선택된다. 도 9에서, 링 (132-1) 은 기판의 외측 직경 (OD) 및 환형 밴드 (122) 의 방사상으로 외부에 배치된다. 링 (132-1) 은 페데스탈 바디 (110) 의 노치 (315) 에 수용되는 하부 부분 (313) 을 포함한다. 링 (132-1) 은 상부 부분 (317) 을 더 포함한다. 일부 예들에서, 링 (132-1) 의 상부 부분 (317) 은 하부 부분 (313) 과 비교하여 보다 큰 방사상 두께를 갖는다. 링 (132-1) 은 환형 밴드 (122) 의 외측 에지 (128) 및 기판 (18) 의 방사상으로 외측 에지의 방사상으로 외부로 이격되는 방사상으로 내측 표면 (300-1) 을 포함한다. 링 (132-1) 의 상단 표면 (310-1) 은 기판 (18) 의 상단 표면 위, 또는 기판 (18) 의 상단 표면에 평행하게 또는 기판 (18) 의 상단 표면 아래에 위치된다.
도 10에서, 링 (132-2) 은 적어도 부분적으로 기판 (18) 의 방사상으로 외측 에지 밑에 놓이는 제 1 환형 노치 (330) 를 포함한다. 제 1 환형 노치 (330) 는 기판 (18) 의 외측 직경의 방사상으로 내측 및 환형 밴드 (122) 의 방사상으로 외부에 배치된다. 링 (132-2) 은 기판 (18) 의 방사상으로 외측 에지의 방사상으로 내측으로 이격되는 방사상으로 최내측 표면 (334) 을 더 포함한다. 링 (132-2) 의 방사상으로 내측 상단 표면 (332) 은 기판 (18) 의 방사상으로 외측 에지를 수용하도록 부조 (relief) 를 제공한다. 링 (132-2) 의 상단 표면 (310-2) 은 기판 (18) 의 상단 표면 위, 또는 기판 (18) 의 상단 표면에 평행하게 또는 기판 (18) 의 상단 표면 아래에 위치된다. 일부 예들에서, 상단 표면 (310-2) 은 기판 (18) 의 상단 표면을 포함하는 평면에 평행한 평면에 놓인다.
도 11에서, 페데스탈 바디 (110) 는 페데스탈 바디 (110) 의 방사상으로 외측 에지 둘레에 배치된 환형 리세스 (340) 를 포함한다. 링 (132-3) 은 환형 리세스 (340) 의 축방향 높이 d1보다 높은 축방향 높이 d2를 갖는 하부 부분 (342) 을 포함한다. 링 (132-3) 의 상단 표면 (350) 은 기판 (18) 의 상단 표면에 평행하거나 거리 d3 만큼 기판 (18) 의 상단 표면 위 또는 아래의 평면에 배치된다.
링 (132) 은 기판 (18) 의 에지에 인접하여 이온화 레이트 및 전자 밀도를 변경한다. 링 (132) 은 이 영역에서 원치 않은 플라즈마 불연속성의 발생을 하락시킨다. 링 (132) 은 또한 페데스탈 바디 (110) 상에서 기판 (18) 의 이동을 물리적으로 제한한다. 링 (132) 은 일부 가스 종, 환형 밴드 및/또는 벤팅을 사용할 때 기판 (18) 의 에지에서 일어날 수도 있는 플라스모이드들을 감소시킨다. 기판 (18) 의 외측 직경에서 링 (132) 의 근접성은 기판의 에지 근방에서 전자 밀도 및 이온화 레이트들을 감소시킬 수 있다.
이제 도 12를 참조하면, 페데스탈 바디 (110) 는 복수의 돌출부들 (400-1, 400-2, …, 및 400-B) (집합적으로 돌출부들 (400)) (여기서 B는 1보다 큰 정수) 이 캐비티 (118) 내에 배치된다. 돌출부들 (400) 은 기판 (18) 의 중심을 지지하고 환형 밴드 (122) 는 기판 (18) 의 방사상으로 외측 에지를 지지한다. 일부 예들에서, 돌출부들 (400) 은 환형 밴드 (122) 의 높이 이상인 높이 d4를 갖는다.
전술한 기술은 본질적으로 단순히 예시적이고 어떠한 방법으로도 개시, 이들의 애플리케이션 또는 용도들을 제한하도록 의도되지 않는다. 개시의 광범위한 교시가 다양한 형태들로 구현될 수 있다. 따라서, 본 개시는 특정한 예들을 포함하지만, 다른 수정 사항들이 도면들, 명세서, 및 이하의 청구항들을 연구함으로써 명백해질 것이기 때문에, 본 개시의 진정한 범위는 이렇게 제한되지 않아야 한다. 방법 내의 하나 이상의 단계들이 본 개시의 원리들을 변경하지 않고 상이한 순서로 (또는 동시에) 실행될 수도 있다는 것이 이해되어야 한다. 또한, 실시예들 각각이 특정한 피처들을 갖는 것으로 상기에 기술되었지만, 본 개시의 임의의 실시예에 대하여 기술된 임의의 하나 이상의 이들 피처들은, 조합이 명시적으로 기술되지 않아도, 임의의 다른 실시예들의 피처들로 및/또는 임의의 다른 실시예들의 피처들과 조합하여 구현될 수 있다. 즉, 기술된 실시예들은 상호 배타적이지 않고, 하나 이상의 실시예들의 또 다른 실시예들과의 치환들이 본 개시의 범위 내에 남는다.
엘리먼트들 간 (예를 들어, 모듈들, 회로 엘리먼트들, 반도체 층들, 등 간) 의 공간적 및 기능적 관계들은, "연결된 (connected)", "인게이지된 (engaged)", "커플링된 (coupled)", "인접한 (adjacent)", "옆에 (next to)", "~의 상단에 (on top of)", "위에 (above)", "아래에 (below)", 및 "배치된 (disposed)"을 포함하는, 다양한 용어들을 사용하여 기술된다. "직접적 (direct)"인 것으로 명시적으로 기술되지 않는 한, 제 1 엘리먼트와 제 2 엘리먼트 간의 관계가 상기 개시에서 기술될 때, 이 관계는 제 1 엘리먼트와 제 2 엘리먼트 사이에 다른 중개하는 엘리먼트가 존재하지 않는 직접적인 관계일 수 있지만, 또한 제 1 엘리먼트와 제 2 엘리먼트 사이에 (공간적으로 또는 기능적으로) 하나 이상의 중개하는 엘리먼트들이 존재하는 간접적인 관계일 수 있다. 본 명세서에서 논의된 바와 같이, 구 A, B, 및 C 중 적어도 하나는 비배타적인 논리 OR를 사용하여, 논리적으로 (A 또는 B 또는 C) 를 의미하는 것으로 해석되어야 하고, "적어도 하나의 A, 적어도 하나의 B, 및 적어도 하나의 C"를 의미하도록 해석되지 않아야 한다.
일부 구현예들에서, 제어기는 상술한 예들의 일부일 수도 있는 시스템의 일부일 수 있다. 이러한 시스템들은, 프로세싱 툴 또는 툴들, 챔버 또는 챔버들, 프로세싱용 플랫폼 또는 플랫폼들, 및/또는 특정 프로세싱 컴포넌트들 (기판 페데스탈, 가스 플로우 시스템, 등) 을 포함하는, 반도체 프로세싱 장비를 포함할 수 있다. 이들 시스템들은 반도체 기판 또는 기판의 프로세싱 이전에, 프로세싱 동안에 그리고 프로세싱 이후에 그들의 동작을 제어하기 위한 전자장치에 통합될 수도 있다. 전자장치들은 시스템 또는 시스템들의 다양한 컴포넌트들 또는 하위부품들을 제어할 수도 있는 "제어기"로서 지칭될 수도 있다. 제어기는, 시스템의 프로세싱 요건들 및/또는 타입에 따라서, 프로세싱 가스들의 전달, 온도 설정사항들 (예를 들어, 가열 및/또는 냉각), 압력 설정사항들, 진공 설정사항들, 전력 설정사항들, 무선 주파수 (RF) 생성기 설정사항들, RF 매칭 회로 설정사항들, 주파수 설정사항들, 플로우 레이트 설정사항들, 유체 전달 설정사항들, 위치 및 동작 설정사항들, 툴들 및 다른 이송 툴들 및/또는 특정 시스템과 연결되거나 인터페이싱된 로드록들 내외로의 기판 이송들을 포함하는, 본 명세서에 개시된 프로세스들 중 임의의 프로세스들을 제어하도록 프로그램될 수도 있다.
일반적으로 말하면, 제어기는 인스트럭션들을 수신하고, 인스트럭션들을 발행하고, 동작을 제어하고, 세정 동작들을 인에이블하고, 엔드포인트 측정들을 인에이블하는 등을 하는 다양한 집적 회로들, 로직, 메모리, 및/또는 소프트웨어를 갖는 전자장치로서 규정될 수도 있다. 집적 회로들은 프로그램 인스트럭션들을 저장하는 펌웨어의 형태의 칩들, 디지털 신호 프로세서들 (DSP), ASIC (application specific integrated circuit) 으로서 규정되는 칩들 및/또는 프로그램 인스트럭션들 (예를 들어, 소프트웨어) 을 실행하는 하나 이상의 마이크로프로세서들, 또는 마이크로제어기들을 포함할 수도 있다. 프로그램 인스트럭션들은 반도체 기판 상에서 또는 반도체 기판에 대한 특정 프로세스를 실행하기 위한 동작 파라미터들을 규정하는, 다양한 개별 설정사항들 (또는 프로그램 파일들) 의 형태로 제어기로 또는 시스템으로 전달되는 인스트럭션들일 수도 있다. 일부 실시예들에서, 동작 파라미터들은 하나 이상의 층들, 재료들, 금속들, 산화물들, 실리콘, 이산화 실리콘, 표면들, 회로들, 및/또는 기판의 다이들의 제조 동안에 하나 이상의 프로세싱 단계들을 달성하도록 프로세스 엔지니어에 의해서 규정된 레시피의 일부일 수도 있다.
제어기는, 일부 구현예들에서, 시스템에 통합되거나, 시스템에 커플링되거나, 이와 달리 시스템에 네트워킹되거나, 또는 이들의 조합으로 될 수 있는 컴퓨터에 커플링되거나 이의 일부일 수도 있다. 예를 들어, 제어기는 기판 프로세싱의 원격 액세스를 가능하게 할 수 있는 공장 (fab) 호스트 컴퓨터 시스템의 전부 또는 일부이거나 "클라우드" 내에 있을 수도 있다. 컴퓨터는 제조 동작들의 현 진행을 모니터링하고, 과거 제조 동작들의 이력을 조사하고, 복수의 제조 동작들로부터 경향들 또는 성능 계측치들을 조사하고, 현 프로세싱의 파라미터들을 변경하고, 현 프로세싱을 따르는 프로세싱 단계들을 설정하고, 또는 새로운 프로세스를 시작하기 위해서 시스템으로의 원격 액세스를 인에이블할 수도 있다. 일부 예들에서, 원격 컴퓨터 (예를 들어, 서버) 는 로컬 네트워크 또는 인터넷을 포함할 수도 있는 네트워크를 통해서 프로세스 레시피들을 시스템에 제공할 수 있다. 원격 컴퓨터는 차후에 원격 컴퓨터로부터 시스템으로 전달될 파라미터들 및/또는 설정사항들의 입력 또는 프로그래밍을 인에이블하는 사용자 인터페이스를 포함할 수도 있다. 일부 예들에서, 제어기는 하나 이상의 동작들 동안에 수행될 프로세스 단계들 각각에 대한 파라미터들을 특정한, 데이터의 형태의 인스트럭션들을 수신한다. 이 파라미터들은 제어기가 제어하거나 인터페이싱하도록 구성된 툴의 타입 및 수행될 프로세스의 타입에 특정적일 수도 있다는 것이 이해되어야 한다. 따라서, 상술한 바와 같이, 제어기는 예를 들어 서로 네트워킹되어서 함께 공통 목적을 위해서, 예를 들어 본 명세서에 기술된 프로세스들 및 제어들을 위해서 협력하는 하나 이상의 개별 제어기들을 포함함으로써 분산될 수도 있다. 이러한 목적을 위한 분산형 제어기의 예는 챔버 상의 프로세스를 제어하도록 조합되는, (예를 들어, 플랫폼 레벨에서 또는 원격 컴퓨터의 일부로서) 원격으로 위치한 하나 이상의 집적 회로들과 통신하는 챔버 상의 하나 이상의 집적 회로들일 수 있다.
비한정적으로, 예시적인 시스템들은 플라즈마 에칭 챔버 또는 모듈, 증착 챔버 또는 모듈, 스핀-린스 챔버 또는 모듈, 금속 도금 챔버 또는 모듈, 세정 챔버 또는 모듈, 베벨 에지 에칭 챔버 또는 모듈, PVD (physical vapor deposition) 챔버 또는 모듈, CVD (chemical vapor deposition) 챔버 또는 모듈, ALD (atomic layer deposition) 챔버 또는 모듈, ALE (atomic layer etch) 챔버 또는 모듈, 이온 주입 챔버 또는 모듈, 트랙 (track) 챔버 또는 모듈, 및 반도체 기판들의 제조 및/또는 제작 시에 사용되거나 연관될 수도 있는 임의의 다른 반도체 프로세싱 시스템들을 포함할 수도 있다.
상술한 바와 같이, 툴에 의해서 수행될 프로세스 단계 또는 단계들에 따라서, 제어기는, 반도체 제작 공장 내의 툴 위치들 및/또는 로드 포트들로부터/로 기판들의 컨테이너들을 이동시키는 재료 이송 시에 사용되는, 다른 툴 회로들 또는 모듈들, 다른 툴 컴포넌트들, 클러스터 툴들, 다른 툴 인터페이스들, 인접 툴들, 이웃하는 툴들, 공장 도처에 위치한 툴들, 메인 컴퓨터, 또 다른 제어기 또는 툴들 중 하나 이상과 통신할 수도 있다.

Claims (24)

  1. 기판 프로세싱 시스템용 페데스탈에 있어서,
    기판 대면 표면 및 페데스탈 바디의 방사상으로 외측 에지 둘레의 환형 노치를 포함하는, 상기 페데스탈 바디;
    기판의 방사상으로 외측 에지와 콘택트하도록 구성되는, 상기 기판 대면 표면 상에 배치된 환형 밴드;
    상기 페데스탈 바디의 상기 기판 대면 표면 내에 규정되고 상기 환형 밴드의 방사상으로 내부에 위치되는 캐비티로서, 상기 캐비티는 상기 기판의 하단 표면과 상기 페데스탈 바디의 상기 기판 대면 표면 사이에 볼륨을 생성하는, 상기 캐비티;
    상기 페데스탈 바디를 통과하고 그리고 프로세싱 동안 상기 기판의 반대되는 면들 상의 압력을 이퀄라이징하도록 (equalize) 상기 캐비티와 유체로 연통하는 복수의 벤트들; 및
    상기 페데스탈 바디로부터 분리되고 상기 페데스탈 바디 상의 상기 환형 노치에 배치된 링을 포함하고,
    상기 링의 하단 표면은 상기 기판의 하단 표면 아래에 놓이고,
    상기 링의 상단 표면은 상기 기판의 상단 표면 아래에 놓이고,
    상기 링은 상기 기판의 상기 방사상으로 외측 에지와 콘택트하지 않도록 구성된, 기판 프로세싱 시스템용 페데스탈.
  2. 제 1 항에 있어서,
    상기 환형 밴드는 4 ㎜ 내지 12 ㎜의 범위의 폭을 갖는, 기판 프로세싱 시스템용 페데스탈.
  3. 제 1 항에 있어서,
    상기 환형 밴드는 2 내지 32 범위의 표면 거칠기 (Ra) 를 갖는, 기판 프로세싱 시스템용 페데스탈.
  4. 제 1 항에 있어서,
    상기 환형 밴드는 도전성 재료의 표면 상에 형성된 유전체 코팅, 코팅되지 않은 도전성 재료, 코팅되지 않은 금속, 및 코팅되지 않은 유전체 재료로 구성된 그룹으로부터 선택된 재료로 이루어지는, 기판 프로세싱 시스템용 페데스탈.
  5. 제 1 항에 있어서
    상기 링은 유전체 재료로 이루어지는, 기판 프로세싱 시스템용 페데스탈.
  6. 제 5 항에 있어서,
    상기 유전체 재료는 알루미나, 알루미늄 나이트라이드, 사파이어, 석영 및 실리콘 옥사이드로 구성된 그룹으로부터 선택되는, 기판 프로세싱 시스템용 페데스탈.
  7. 제 1 항에 있어서,
    상기 링의 높이는 상기 페데스탈 바디 상의 상기 환형 노치의 깊이보다 큰, 기판 프로세싱 시스템용 페데스탈.
  8. 제 1 항에 있어서,
    상기 링의 상기 상단 표면은 상기 환형 밴드의 상단 표면 위에 놓이는, 기판 프로세싱 시스템용 페데스탈.
  9. 제 1 항에 있어서,
    상기 기판의 중심을 지지하기 위해 상기 캐비티 내에 배치된 복수의 핀들을 더 포함하고,
    상기 핀들의 상단 표면은 프로세싱 동안 상기 환형 밴드의 상단 표면 아래에 있거나, 상단 표면에 평행하거나 상단 표면 위에 있는 것 중 하나인, 기판 프로세싱 시스템용 페데스탈.
  10. 제 9 항에 있어서,
    상기 핀들의 상단 표면이 상기 환형 밴드의 상단 표면 아래에 있거나, 상단 표면에 평행하거나 상단 표면 위에 있는 것 중 하나이도록 프로세싱 동안 상기 핀들을 연장하도록 구성되는 제어기를 더 포함하는, 기판 프로세싱 시스템용 페데스탈.
  11. 제 1 항에 있어서,
    상기 환형 밴드는 상기 기판이 프로세싱 동안 상기 환형 밴드에 평행하도록 상기 방사상으로 외측 에지를 따라 상기 기판의 상기 하단 표면을 지지하도록 구성되는, 기판 프로세싱 시스템용 페데스탈.
  12. 프로세싱 챔버;
    제 1 항에 기재된 페데스탈로서, 상기 페데스탈은 상기 프로세싱 챔버 내에 배치되는, 상기 페데스탈; 및
    상기 프로세싱 챔버 내에 배치되는 RF 생성기를 포함하는, 기판 프로세싱 시스템.
  13. 기판 프로세싱 시스템용 페데스탈에 있어서,
    기판 대면 표면 및 페데스탈 바디의 방사상으로 외측 에지 둘레의 환형 노치를 포함하는, 상기 페데스탈 바디;
    기판의 방사상으로 외측 에지에 콘택트하도록 구성되는, 상기 기판 대면 표면 상에 배치된 환형 밴드;
    상기 페데스탈 바디의 상기 기판 대면 표면 내에 규정되고 상기 환형 밴드의 방사상으로 내부에 위치되는 캐비티로서, 상기 캐비티는 상기 기판의 하단 표면과 상기 페데스탈 바디의 상기 기판 대면 표면 사이에 볼륨을 생성하는, 상기 캐비티;
    상기 페데스탈 바디를 통과하고 그리고 프로세싱 동안 상기 기판의 반대되는 면들 상의 압력을 이퀄라이징하도록 상기 캐비티와 유체로 연통하는 복수의 벤트들; 및
    상기 페데스탈 바디로부터 분리되고, 상기 페데스탈 바디 상의 상기 기판 및 상기 환형 밴드의 방사상으로 외부에 배치되는 링으로서, 상기 링의 상단 표면은 상기 기판의 상단 표면 위에 배치되는, 상기 링을 포함하고,
    상기 링은 상기 기판의 상기 방사상으로 외측 에지와 콘택트하지 않도록 구성된, 기판 프로세싱 시스템용 페데스탈.
  14. 제 13 항에 있어서,
    상기 링은 유전체 재료로 이루어지는, 기판 프로세싱 시스템용 페데스탈.
  15. 제 14 항에 있어서,
    상기 유전체 재료는 알루미나, 알루미늄 나이트라이드, 사파이어, 석영 및 실리콘 옥사이드로 구성된 그룹으로부터 선택되는, 기판 프로세싱 시스템용 페데스탈.
  16. 제 13 항에 있어서,
    상기 링의 하단 표면은 상기 기판의 하단 표면 아래에 놓이는, 기판 프로세싱 시스템용 페데스탈.
  17. 제 13 항에 있어서,
    상기 페데스탈 바디는 상기 페데스탈 바디의 방사상으로 외측 에지에 형성된 환형 노치를 포함하는, 기판 프로세싱 시스템용 페데스탈.
  18. 제 17 항에 있어서,
    상기 링은,
    상기 환형 노치 내에 놓인 제 1 부분; 및
    상기 환형 노치의 방사상으로 내측으로 연장하는 제 2 부분을 포함하는, 기판 프로세싱 시스템용 페데스탈.
  19. 제 18 항에 있어서,
    상기 링의 상기 제 2 부분의 방사상으로 내측 에지가 상기 환형 밴드의 방사상으로 외측 에지의 방사상으로 외측에 위치되는, 기판 프로세싱 시스템용 페데스탈.
  20. 제 18 항에 있어서,
    상기 제 1 부분의 제 1 두께는 상기 제 2 부분의 제 2 두께보다 크거나 상기 제 2 부분의 제 2 두께보다 작은 것 중 하나인, 기판 프로세싱 시스템용 페데스탈.
  21. 기판 프로세싱 시스템용 페데스탈에 있어서,
    기판 대면 표면 및 페데스탈 바디의 방사상으로 외측 에지 둘레의 환형 노치를 포함하는, 상기 페데스탈 바디;
    기판에 콘택트하도록 구성되는, 상기 기판 대면 표면 상에 배치된 환형 밴드;
    상기 페데스탈 바디의 상기 기판 대면 표면 내에 규정되고 상기 환형 밴드의 방사상으로 내부에 위치되는 캐비티로서, 상기 캐비티는 상기 기판의 하단 표면과 상기 페데스탈 바디의 상기 기판 대면 표면 사이에 볼륨을 생성하는, 상기 캐비티;
    상기 페데스탈 바디를 통과하고 그리고 프로세싱 동안 상기 기판의 반대되는 면들 상의 압력을 이퀄라이징하도록 (equalize) 상기 캐비티와 유체로 연통하는 복수의 벤트들; 및
    상기 페데스탈 바디로부터 분리되고, 상기 페데스탈 바디 상에 배치되고, 상기 기판 아래 및 상기 기판의 방사상으로 내부에 배치된 방사상으로 내측 표면 및 상기 기판의 방사상으로 외부에 배치된 방사상으로 외측 표면을 포함하는 링으로서, 상기 링의 상단 표면은 상기 기판의 상단 표면에 평행한, 상기 링을 포함하고,
    상기 링은 상기 기판의 상기 방사상으로 외측 에지와 콘택트하지 않도록 구성된, 기판 프로세싱 시스템용 페데스탈.
  22. 제 21 항에 있어서,
    상기 링은 유전체 재료로 이루어지는, 기판 프로세싱 시스템용 페데스탈.
  23. 제 22 항에 있어서,
    상기 유전체 재료는 알루미나, 알루미늄 나이트라이드, 사파이어, 석영 및 실리콘 옥사이드로 구성된 그룹으로부터 선택되는, 기판 프로세싱 시스템용 페데스탈.
  24. 제 22 항에 있어서,
    상기 링의 상기 상단 표면의 방사상으로 최내측 에지가 상기 기판의 방사상으로 외측 에지의 외부에 배치되는, 기판 프로세싱 시스템용 페데스탈.
KR1020230161813A 2016-10-28 2023-11-21 개방 볼륨 이퀄라이제이션 통로들 및 측면 밀폐부를 가진 평면형 기판 에지 콘택트 KR20230163977A (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201662414072P 2016-10-28 2016-10-28
US62/414,072 2016-10-28
US15/431,088 US10622243B2 (en) 2016-10-28 2017-02-13 Planar substrate edge contact with open volume equalization pathways and side containment
US15/431,088 2017-02-13
KR1020220096718A KR20220114517A (ko) 2016-10-28 2022-08-03 개방 볼륨 이퀄라이제이션 통로들 및 측면 밀폐부를 가진 평면형 기판 에지 콘택트

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020220096718A Division KR20220114517A (ko) 2016-10-28 2022-08-03 개방 볼륨 이퀄라이제이션 통로들 및 측면 밀폐부를 가진 평면형 기판 에지 콘택트

Publications (1)

Publication Number Publication Date
KR20230163977A true KR20230163977A (ko) 2023-12-01

Family

ID=62021826

Family Applications (3)

Application Number Title Priority Date Filing Date
KR1020170125171A KR102430432B1 (ko) 2016-10-28 2017-09-27 개방 볼륨 이퀄라이제이션 통로들 및 측면 밀폐부를 가진 평면형 기판 에지 콘택트
KR1020220096718A KR20220114517A (ko) 2016-10-28 2022-08-03 개방 볼륨 이퀄라이제이션 통로들 및 측면 밀폐부를 가진 평면형 기판 에지 콘택트
KR1020230161813A KR20230163977A (ko) 2016-10-28 2023-11-21 개방 볼륨 이퀄라이제이션 통로들 및 측면 밀폐부를 가진 평면형 기판 에지 콘택트

Family Applications Before (2)

Application Number Title Priority Date Filing Date
KR1020170125171A KR102430432B1 (ko) 2016-10-28 2017-09-27 개방 볼륨 이퀄라이제이션 통로들 및 측면 밀폐부를 가진 평면형 기판 에지 콘택트
KR1020220096718A KR20220114517A (ko) 2016-10-28 2022-08-03 개방 볼륨 이퀄라이제이션 통로들 및 측면 밀폐부를 가진 평면형 기판 에지 콘택트

Country Status (6)

Country Link
US (2) US10622243B2 (ko)
JP (2) JP7096538B2 (ko)
KR (3) KR102430432B1 (ko)
CN (2) CN117038508A (ko)
SG (1) SG10201708448UA (ko)
TW (1) TWI765924B (ko)

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10622243B2 (en) * 2016-10-28 2020-04-14 Lam Research Corporation Planar substrate edge contact with open volume equalization pathways and side containment
CN109594063A (zh) * 2018-12-27 2019-04-09 西安奕斯伟硅片技术有限公司 一种外延反应设备
US20220162749A1 (en) * 2019-02-08 2022-05-26 Lam Research Corporation Pedestals for modulating film properties in atomic layer deposition (ald) substrate processing chambers
KR20220010074A (ko) * 2020-02-11 2022-01-25 램 리써치 코포레이션 웨이퍼 베벨/에지 상의 증착을 제어하기 위한 캐리어 링 설계들
US11577665B2 (en) 2020-02-27 2023-02-14 Cpk Interior Products Urethane and graphene interior trim panel
DE102020105538A1 (de) 2020-03-02 2021-09-02 Aixtron Se Vorrichtung zur Halterung eines Substrates in einem CVD-Reaktor
EP3970489A1 (en) 2020-09-18 2022-03-23 CpK Interior Products Inc. Graphene-based antiviral polymer
DE102021126019A1 (de) 2021-10-07 2023-04-13 Aixtron Se CVD-Reaktor mit einem Tragring beziehungsweise Tragring für ein Substrat

Family Cites Families (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2955829A (en) * 1958-07-16 1960-10-11 George F Brewster Work holding chuck
JP3453834B2 (ja) * 1994-02-25 2003-10-06 三菱電機株式会社 ウエハチャック装置および半導体製造装置
WO1998053484A1 (en) 1997-05-20 1998-11-26 Tokyo Electron Limited Processing apparatus
JPH11111707A (ja) * 1997-10-07 1999-04-23 Hitachi Electron Eng Co Ltd 気相成長装置
US6219219B1 (en) * 1998-09-30 2001-04-17 Applied Materials, Inc. Cathode assembly containing an electrostatic chuck for retaining a wafer in a semiconductor wafer processing system
US6179921B1 (en) 1999-04-19 2001-01-30 Applied Materials, Inc. Backside gas delivery system for a semiconductor wafer processing system
JP2003197532A (ja) 2001-12-21 2003-07-11 Sumitomo Mitsubishi Silicon Corp エピタキシャル成長方法及びエピタキシャル成長用サセプター
US20050000449A1 (en) * 2001-12-21 2005-01-06 Masayuki Ishibashi Susceptor for epitaxial growth and epitaxial growth method
US7252738B2 (en) * 2002-09-20 2007-08-07 Lam Research Corporation Apparatus for reducing polymer deposition on a substrate and substrate support
US20040099375A1 (en) * 2002-11-21 2004-05-27 Yanghua He Edge-contact ring for a wafer pedestal
JP4317731B2 (ja) 2003-10-27 2009-08-19 豊田合成株式会社 エアバッグを備えたシートベルト
JP4421874B2 (ja) 2003-10-31 2010-02-24 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
JP2006005177A (ja) * 2004-06-17 2006-01-05 Tokyo Electron Ltd 熱処理装置
JP4534619B2 (ja) * 2004-06-21 2010-09-01 株式会社Sumco 半導体シリコン基板用熱処理治具
US7244311B2 (en) * 2004-10-13 2007-07-17 Lam Research Corporation Heat transfer system for improved semiconductor processing uniformity
TWI358460B (en) 2005-08-17 2012-02-21 Applied Materials Inc Substrate support having brazed plates and resista
US7837826B2 (en) * 2006-07-18 2010-11-23 Lam Research Corporation Hybrid RF capacitively and inductively coupled plasma source using multifrequency RF powers and methods of use thereof
US20080314319A1 (en) * 2007-06-19 2008-12-25 Memc Electronic Materials, Inc. Susceptor for improving throughput and reducing wafer damage
US8021968B2 (en) * 2007-08-03 2011-09-20 Shin-Etsu Handotai Co., Ltd. Susceptor and method for manufacturing silicon epitaxial wafer
CN101471275B (zh) * 2007-12-26 2011-04-06 北京北方微电子基地设备工艺研究中心有限责任公司 一种被处理体的保持装置
US8274017B2 (en) * 2009-12-18 2012-09-25 Applied Materials, Inc. Multifunctional heater/chiller pedestal for wide range wafer temperature control
JP5604907B2 (ja) * 2010-02-25 2014-10-15 信越半導体株式会社 気相成長用半導体基板支持サセプタおよびエピタキシャルウェーハ製造装置およびエピタキシャルウェーハの製造方法
US8371567B2 (en) * 2011-04-13 2013-02-12 Novellus Systems, Inc. Pedestal covers
TWM431430U (en) * 2011-08-24 2012-06-11 Wafer Works Corp Clip board type fastening device for use in annularly etching wafer
KR101874901B1 (ko) * 2011-12-07 2018-07-06 삼성전자주식회사 기판 건조 장치 및 방법
US10593521B2 (en) * 2013-03-12 2020-03-17 Applied Materials, Inc. Substrate support for plasma etch operations
US10804081B2 (en) * 2013-12-20 2020-10-13 Lam Research Corporation Edge ring dimensioned to extend lifetime of elastomer seal in a plasma processing chamber
TWI734668B (zh) * 2014-06-23 2021-08-01 美商應用材料股份有限公司 在epi腔室中的基材熱控制
US9793096B2 (en) 2014-09-12 2017-10-17 Lam Research Corporation Systems and methods for suppressing parasitic plasma and reducing within-wafer non-uniformity
US10242848B2 (en) 2014-12-12 2019-03-26 Lam Research Corporation Carrier ring structure and chamber systems including the same
US10622243B2 (en) * 2016-10-28 2020-04-14 Lam Research Corporation Planar substrate edge contact with open volume equalization pathways and side containment

Also Published As

Publication number Publication date
CN108091592B (zh) 2023-08-25
US20180122685A1 (en) 2018-05-03
US20200227304A1 (en) 2020-07-16
CN117038508A (zh) 2023-11-10
TWI765924B (zh) 2022-06-01
SG10201708448UA (en) 2018-05-30
CN108091592A (zh) 2018-05-29
KR20220114517A (ko) 2022-08-17
TW201833974A (zh) 2018-09-16
US11443975B2 (en) 2022-09-13
JP7373022B2 (ja) 2023-11-01
KR102430432B1 (ko) 2022-08-05
JP7096538B2 (ja) 2022-07-06
KR20180046867A (ko) 2018-05-09
US10622243B2 (en) 2020-04-14
JP2018078284A (ja) 2018-05-17
JP2022120080A (ja) 2022-08-17

Similar Documents

Publication Publication Date Title
KR102525777B1 (ko) 기생 플라즈마를 억제하고 웨이퍼-내 불균일성을 감소시키기 위한 시스템들 및 방법들
KR102430432B1 (ko) 개방 볼륨 이퀄라이제이션 통로들 및 측면 밀폐부를 가진 평면형 기판 에지 콘택트
KR102556016B1 (ko) 플라즈마 프로세싱을 위한 가변하는 두께를 갖는 상부 전극
US11069553B2 (en) Electrostatic chuck with features for preventing electrical arcing and light-up and improving process uniformity
KR102521717B1 (ko) 아킹 (arcing) 을 감소시키기 위한 헬륨 플러그 설계
KR102591660B1 (ko) 이동가능한 에지 링 설계들
US10460977B2 (en) Lift pin holder with spring retention for substrate processing systems
KR20230117632A (ko) 웨이퍼 베벨/에지 상의 증착을 제어하기 위한 캐리어 링 설계들
KR20210062094A (ko) 베벨 에칭기 (bevel etcher) 를 위한 하부 플라즈마 배제 존 링
US20220235459A1 (en) Reduced diameter carrier ring hardware for substrate processing systems
US20230369091A1 (en) High temperature pedestal with extended electrostatic chuck electrode
US20230009859A1 (en) Asymmetric purged block beneath wafer plane to manage non-uniformity
US20220162749A1 (en) Pedestals for modulating film properties in atomic layer deposition (ald) substrate processing chambers
WO2023043768A1 (en) Enclosure for mitigating rf power ramp up in icp source

Legal Events

Date Code Title Description
A107 Divisional application of patent
E902 Notification of reason for refusal