KR20230117632A - 웨이퍼 베벨/에지 상의 증착을 제어하기 위한 캐리어 링 설계들 - Google Patents

웨이퍼 베벨/에지 상의 증착을 제어하기 위한 캐리어 링 설계들 Download PDF

Info

Publication number
KR20230117632A
KR20230117632A KR1020237025656A KR20237025656A KR20230117632A KR 20230117632 A KR20230117632 A KR 20230117632A KR 1020237025656 A KR1020237025656 A KR 1020237025656A KR 20237025656 A KR20237025656 A KR 20237025656A KR 20230117632 A KR20230117632 A KR 20230117632A
Authority
KR
South Korea
Prior art keywords
carrier ring
ring
top surface
carrier
substrate
Prior art date
Application number
KR1020237025656A
Other languages
English (en)
Inventor
마이클 제이. 자니키
브라이언 조셉 윌리엄스
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20230117632A publication Critical patent/KR20230117632A/ko

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4585Devices at or outside the perimeter of the substrate support, e.g. clamping rings, shrouds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • H01J37/32642Focus rings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32871Means for trapping or directing unwanted particles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68721Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge clamping, e.g. clamping ring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68735Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge profile or support profile
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68785Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the mechanical construction of the susceptor, stage or support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • General Chemical & Material Sciences (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Health & Medical Sciences (AREA)
  • Epidemiology (AREA)
  • Public Health (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

웨이퍼의 전면 및 베벨 에지에서 증착량을 제어하기 위한 다양한 캐리어 링 설계들 및 구성들이 제공된다. 캐리어 링 설계들은 증착이 웨이퍼의 전면 상에서 목표된 증착 없이, 웨이퍼의 후면 상에서 수행되는 동안 웨이퍼의 다양한 위치들에서 증착량을 제어할 수 있다. 이들 위치들은 베벨의 전면, 에지 및 후면; 및 웨이퍼의 전면 및 후면을 포함한다. 캐리어 링들의 에지 프로파일들은 프로세스 가스들의 플로우, 전면 퍼지 가스의 플로우, 및 플라즈마 효과들을 제어하도록 설계된다. 일부 설계들에서, 쓰루 홀들이 가스 플로우들을 제어하기 위해 캐리어 링들에 부가된다. 에지 프로파일들 및 부가된 피처들은 웨이퍼의 전면 및 베벨 에지에서 증착을 감소시키거나 제거할 수 있다.

Description

웨이퍼 베벨/에지 상의 증착을 제어하기 위한 캐리어 링 설계들{CARRIER RING DESIGNS FOR CONTROLLING DEPOSITION ON WAFER BEVEL/EDGE}
본 개시는 일반적으로 기판 프로세싱 시스템들, 보다 구체적으로 웨이퍼 베벨/에지 상의 증착을 제어하기 위한 캐리어 링 설계들에 관한 것이다.
본 명세서에 제공된 배경기술 기술 (description) 은 본 개시의 맥락을 일반적으로 제시할 목적이다. 이 배경기술 섹션에 기술된 정도의 본 명세서에 명명된 발명자들의 업적, 뿐만 아니라 출원 시 종래 기술로서 달리 인증되지 않을 수도 있는 본 기술의 양태들은 본 개시에 대한 종래 기술로서 명시적으로나 암시적으로 인정되지 않는다.
기판 프로세싱 시스템은 통상적으로 증착, 에칭, 및 반도체 웨이퍼들과 같은 기판들 상의 다른 처리들을 수행하기 위한 복수의 챔버들 (또한 프로세스 모듈들로 지칭됨) 을 포함한다. 기판 상에서 수행될 수도 있는 프로세스들의 예들은 이로 제한되는 것은 아니지만, PECVD (plasma enhanced chemical vapor deposition) 프로세스, CEPVD (chemically enhanced plasma vapor deposition) 프로세스, 및 스퍼터링 PVD (physical vapor deposition) 프로세스를 포함한다. 기판 상에서 수행될 수도 있는 프로세스들의 부가적인 예들은, 이로 제한되는 것은 아니지만, 에칭 (예를 들어, 화학적 에칭, 플라즈마 에칭, 반응성 이온 에칭, 등) 프로세스 및 세정 프로세스를 포함한다.
프로세싱 동안, 기판은 기판 프로세싱 시스템의 프로세싱 챔버 내의 페데스탈과 같은, 기판 지지부 상에 배치된다. 증착 동안, 하나 이상의 전구체들을 포함하는 가스 혼합물들이 프로세싱 챔버 내로 도입되고, 플라즈마는 화학 반응들을 활성화하도록 (activate) 스트라이킹된다 (strike). 컴퓨터-제어된 로봇은 통상적으로 기판들이 프로세싱되는 시퀀스로일 프로세싱 챔버로부터 다른 프로세싱 챔버로 기판들을 이송한다.
관련 출원들에 대한 교차 참조
본 출원은 2020 년 2 월 11 일에 출원된 미국 특허 가출원 번호 제 62/975,146 호의 이익을 주장한다. 참조된 출원의 전체 개시는 참조로서 본 명세서에 인용된다.
프로세싱 챔버 내에서 반도체 기판을 둘러싸는 링 형상 구조체는 내경을 갖는 링 형상 구조체의 내측 부분 및 외경을 갖는 링 형상 구조체의 외측 부분을 포함한다. 내측 부분은 링 형상 구조체의 상단 표면으로부터 하강하는 제 1 부분, 제 1 부분의 하단 단부로부터 반도체 기판을 향해 수평으로 연장하는 제 2 부분, 제 2 부분의 하단 단부로부터 수직으로 하강하는 제 3 부분, 제 3 부분의 하단 단부로부터 외경을 향해 수평으로 연장하는 제 4 부분, 제 4 부분의 원위 단부로부터 외경을 향해 제 2 부분에 대해 예각으로 하강하는 제 5 부분, 및 제 5 부분의 하단 단부로부터 외측 부분을 향해 수평으로 연장하는 제 6 부분을 포함한다.
다른 특징들에서, 링 형상 구조체의 상단 표면은 반도체 기판의 상단 표면과 동일 평면 상에 있고, 제 1 부분은 대략 반도체 기판의 두께인 거리로 링 형상 구조체의 상단 표면으로부터 수직으로 하강한다.
또 다른 특징에서, 제 1 부분은 반도체 기판의 외측 에지로부터 미리 결정된 거리만큼 이격된다.
또 다른 특징에서, 제 2 부분의 원위 단부는 반도체 기판의 외측 에지 아래로 연장한다.
또 다른 특징에서, 제 3 부분의 제 1 단부는 제 2 부분의 원위 단부에서 직각을 형성한다.
또 다른 특징에서, 링 형상 구조체는 링 형상 구조체의 상단 표면으로부터 링 형상 구조체의 제 4 부분을 통해 연장하는 복수의 쓰루 홀들을 더 포함한다.
또 다른 특징에서, 쓰루 홀들은 미리 결정된 직경을 갖고 링 형상 구조체의 제 1 부분으로부터 미리 결정된 방사상 거리에 배치된다.
또 다른 특징에서, 쓰루 홀들은 링 형상 구조체의 상단 표면으로부터 링 형상 구조체의 제 4 부분으로 90 ° 이외의 각도로 하강한다.
또 다른 특징에서, 쓰루 홀들은 링 형상 구조체의 상단 표면으로부터 링 형상 구조체의 제 4 부분으로 수직으로 하강한다.
또 다른 특징에서, 쓰루 홀들은 링 형상 구조체의 상단 표면으로부터 수직으로 하강하는 제 1 부분에 대해 45 ° 각도로 링 형상 구조체의 상단 표면으로부터 링 형상 구조체의 제 4 부분으로 하강한다.
또 다른 특징에서, 링 형상 구조체는 반도체 기판을 지지하기 위한 복수의 탭들을 더 포함한다.
또 다른 특징들에서, 프로세싱 챔버 내에서 반도체 기판을 둘러싸는 링 형상 구조체는 내경을 갖는 링 형상 구조체의 내측 부분 및 외경을 갖는 링 형상 구조체의 외측 부분을 포함한다. 내측 부분은 링 형상 구조체의 상단 표면으로부터 하강하는 제 1 부분, 제 1 부분의 하단 단부로부터 반도체 기판을 향해 수평으로 연장하는 제 2 부분, 제 2 부분의 원위 단부로부터 수직으로 하강하는 제 3 부분, 제 2 부분에 대해 제 1 예각으로 제 3 부분의 하단 단부로부터 외측 부분을 향해 하강하는 제 4 부분, 제 4 부분의 하단 단부로부터 외측 직경을 향해 수평으로 연장하는 제 5 부분, 제 5 부분의 원위 단부로부터 제 2 부분에 대해 제 2 예각으로 외경을 향해 하강하는 제 6 부분, 및 제 6 부분의 하단 단부로부터 외측 부분을 향해 수평으로 연장하는 제 7 부분을 포함한다.
다른 특징들에서, 링 형상 구조체의 상단 표면은 반도체 기판의 상단 표면과 동일 평면 상에 있고, 제 1 부분은 대략 반도체 기판의 두께인 거리로 링 형상 구조체의 상단 표면으로부터 수직으로 하강한다.
또 다른 특징에서, 제 1 부분은 반도체 기판의 외측 에지로부터 미리 결정된 거리만큼 이격된다.
또 다른 특징에서, 제 2 부분의 원위 단부는 반도체 기판의 외측 에지 아래로 연장한다.
또 다른 특징에서, 제 3 부분의 제 1 단부는 제 2 부분의 원위 단부에서 직각을 형성한다.
또 다른 특징에서, 제 4 부분은 제 2 부분에 대해 30 ° 각도로 하강한다.
또 다른 특징에서, 링 형상 구조체는 링 형상 구조체의 상단 표면으로부터 링 형상 구조체의 제 5 부분을 통해 연장하는 복수의 쓰루 홀들을 더 포함한다.
또 다른 특징에서, 쓰루 홀들은 미리 결정된 직경을 갖고 링 형상 구조체의 제 1 부분으로부터 미리 결정된 방사상 거리에 배치된다.
또 다른 특징에서, 쓰루 홀들은 링 형상 구조체의 상단 표면으로부터 링 형상 구조체의 제 5 부분으로 90 ° 이외의 각도로 하강한다.
또 다른 특징에서, 쓰루 홀들은 링 형상 구조체의 상단 표면으로부터 링 형상 구조체의 제 4 부분으로 수직으로 하강한다.
또 다른 특징에서, 쓰루 홀들은 링 형상 구조체의 상단 표면으로부터 수직으로 하강하는 제 1 부분에 대해 45 ° 각도로 링 형상 구조체의 상단 표면으로부터 링 형상 구조체의 제 5 부분으로 하강한다.
또 다른 특징에서, 링 형상 구조체는 반도체 기판을 지지하기 위한 복수의 탭들을 더 포함한다.
또 다른 특징들에서, 프로세싱 챔버 내에서 반도체 기판을 둘러싸는 링 형상 구조체는 내경을 갖는 링 형상 구조체의 내측 부분 및 외경을 갖는 링 형상 구조체의 외측 부분을 포함한다. 내측 부분은 링 형상 구조체의 상단 표면으로부터 하강하는 제 1 부분, 제 1 부분의 하단 단부로부터 반도체 기판을 향해 처음에 상향으로 그리고 후속하여 수평으로 외측으로 연장하는 제 2 부분, 제 2 부분의 원위 단부로부터 수평으로 하강하는 제 3 부분, 링 형상 구조체의 상단 표면에 대해 제 1 예각으로 제 3 부분의 하단 단부로부터 외측 부분을 향해 하강하는 제 4 부분, 제 4 부분의 하단 단부로부터 외경을 향해 수평으로 연장하는 제 5 부분, 제 5 부분의 원위 단부로부터 외경을 향하여 링 형상 구조체의 상단 표면에 대해 제 2 예각으로 하강하는 제 6 부분, 및 제 6 부분의 하단 단부로부터 외측 부분을 향하여 수평으로 연장하는 제 7 부분을 포함한다.
다른 특징들에서, 링 형상 구조체의 상단 표면은 반도체 기판의 상단 표면과 동일 평면 상에 있고, 제 1 부분은 반도체 기판의 두께보다 큰 거리로 링 형상 구조체의 상단 표면으로부터 수직으로 하강한다.
또 다른 특징에서, 제 1 부분은 반도체 기판의 외측 에지로부터 미리 결정된 거리만큼 이격된다.
다른 특징들에서, 제 2 부분의 수평 부분은 제 1 미리 결정된 거리만큼 반도체 기판의 하단 표면으로부터 수직으로 이격되고, 그리고 제 3 부분은 제 2 미리 결정된 거리만큼 반도체 기판의 외측 에지로부터 수평으로 이격된다.
또 다른 특징에서, 링 형상 구조체는 링 형상 구조체의 상단 표면으로부터 링 형상 구조체의 제 5 부분을 통해 연장하는 복수의 쓰루 홀들을 더 포함한다.
또 다른 특징에서, 쓰루 홀들은 미리 결정된 직경을 갖고 링 형상 구조체의 제 1 부분으로부터 미리 결정된 방사상 거리에 배치된다.
또 다른 특징에서, 쓰루 홀들은 링 형상 구조체의 상단 표면으로부터 링 형상 구조체의 제 5 부분으로 90 ° 이외의 각도로 하강한다.
또 다른 특징에서, 쓰루 홀들은 링 형상 구조체의 상단 표면으로부터 링 형상 구조체의 제 4 부분으로 수직으로 하강한다.
또 다른 특징에서, 쓰루 홀들은 링 형상 구조체의 상단 표면으로부터 수직으로 하강하는 제 1 부분에 대해 45 ° 각도로 링 형상 구조체의 상단 표면으로부터 링 형상 구조체의 제 5 부분으로 하강한다.
또 다른 특징에서, 링 형상 구조체는 반도체 기판을 지지하기 위한 복수의 탭들을 더 포함한다.
또 다른 특징들에서, 프로세싱 챔버 내에서 반도체 기판을 둘러싸는 링 형상 구조체는 내경을 갖는 링 형상 구조체의 내측 부분, 및 외경을 갖는 링 형상 구조체의 외측 부분을 포함한다. 내측 부분은 링 형상 구조체의 상단 표면으로부터 하강하는 제 1 부분, 제 1 부분의 하단 단부로부터 링 형상 구조체의 외경을 향해 수평으로 연장하는 제 2 부분, 제 2 부분의 원위 단부로부터 외경을 향해. 제 2 부분에 대해 예각으로 하강하는 제 3 부분, 및 제 3 부분의 하단 단부로부터 외측 부분을 향하여 수평으로 연장하는 및 제 4 부분을 포함한다.
다른 특징들에서, 링 형상 구조체의 상단 표면은 반도체 기판의 상단 표면과 동일 평면 상에 있고, 제 1 부분은 대략 반도체 기판의 두께인 거리로 링 형상 구조체의 상단 표면으로부터 수직으로 하강한다.
또 다른 특징에서, 제 1 부분은 반도체 기판의 외측 에지로부터 미리 결정된 거리만큼 이격된다.
또 다른 특징에서, 링 형상 구조체는 링 형상 구조체의 상단 표면으로부터 링 형상 구조체의 제 2 부분을 통해 연장하는 복수의 쓰루 홀들을 더 포함한다.
또 다른 특징에서, 쓰루 홀들은 미리 결정된 직경을 갖고 링 형상 구조체의 제 1 부분으로부터 미리 결정된 방사상 거리에 배치된다.
또 다른 특징에서, 쓰루 홀들은 링 형상 구조체의 제 1 부분보다 제 2 부분의 원위 단부에 보다 가깝다.
또 다른 특징에서, 쓰루 홀들은 링 형상 구조체의 상단 표면으로부터 링 형상 구조체의 제 2 부분으로 수직으로 하강한다.
또 다른 특징에서, 링 형상 구조체는 반도체 기판을 지지하기 위한 복수의 탭들을 더 포함한다.
본 개시의 추가 적용 가능 영역들은 상세한 기술, 청구항들 및 도면들로부터 자명해질 것이다. 상세한 기술 및 구체적인 예들은 단지 예시의 목적들을 위해 의도되고, 본 개시의 범위를 제한하도록 의도되지 않는다.
본 개시는 상세한 기술 및 첨부된 도면들로부터 보다 완전히 이해될 것이다.
도 1은 프로세싱 챔버를 포함하는 기판 프로세싱 시스템의 예를 도시한다.
도 2는 증착이 바람직하지 않은 영역들 및 증착이 본 개시의 캐리어 링들에 의해 방지되거나 최소화되는 영역들을 나타내는 반도체 웨이퍼의 일부를 도시한다.
도 3a 내지 도 3d는 본 개시에 따른 쓰루 홀들을 갖는 캐리어 링들 및 쓰루 홀들을 갖지 않는 캐리어 링들의 예들을 도시한다.
도 4a 내지 도 4c는 본 개시에 따른 쓰루 홀들을 갖는 제 1 캐리어 링 설계쓰루 홀들을 갖는 제 1 캐리어 링 설계 및 쓰루 홀들을 갖지 않는 제 1 캐리어 링 설계의 예들을 도시한다.
도 5a 내지 도 5c는 본 개시에 따른 쓰루 홀들을 갖는 제 2 캐리어 링 설계 및 쓰루 홀들을 갖지 않는 제 2 캐리어 링 설계의 예들을 도시한다.
도 6a 내지 도 6c는 본 개시에 따른 쓰루 홀들을 갖는 제 3 캐리어 링 설계 및 쓰루 홀들을 갖지 않는 제 3 캐리어 링 설계의 예들을 도시한다.
도 7a 및 도 7b는 본 개시에 따른 쓰루 홀들을 갖는 제 4 캐리어 링 설계 및 쓰루 홀들을 갖지 않는 제 4 캐리어 링 설계의 예들을 도시한다.
도면들에서, 참조 번호들은 유사한 그리고/또는 동일한 엘리먼트들을 식별하기 위해 재사용될 수도 있다.
증착 프로세스 동안, 반도체 웨이퍼 (이하 "웨이퍼") 의 하단 표면에만 증착되도록 의도된 재료는 때때로 웨이퍼의 전면, 에지 및 베벨에 증착된다. 현재 캐리어 링 설계들은 웨이퍼의 전면 및 베벨 에지 상에 용인할 수 없는 양의 증착을 허용한다. 일부 캐리어 링 설계들에서, 웨이퍼의 후면 (즉, 상단 표면 아래 또는 상단 표면에 대향하는 표면) 상의 증착은 캐리어 링 전이에서 균일한 방식으로 공핍된다.
본 개시는 웨이퍼의 전면 및 베벨 에지에서 증착량을 제어하기 위한 다양한 캐리어 링 설계들 및 구성들을 제공한다. 캐리어 링 설계들은 웨이퍼의 모든 3 개의 위치들: 베벨의 전면, 베벨의 에지 및 후면, 및 웨이퍼의 전면 및 후면 (전면 상에 증착이 목표되지 않음) 에서 증착량을 제어할 수 있다. 구체적으로, 캐리어 링들의 에지 프로파일들은 프로세스 가스들의 플로우, 전면 퍼지 가스의 플로우, 및 플라즈마 효과들을 제어하도록 설계된다. 일부 설계들에서, 쓰루 홀들이 가스 플로우들을 제어하기 위해 캐리어 링들에 부가된다. 에지 프로파일들 및 부가된 피처들은 웨이퍼의 전면 및 베벨 에지에서 증착을 감소시키거나 제거할 수 있다. 본 개시에 따른 캐리어 링 설계들 및 구성들의 이들 및 다른 특징들은 이제 이하에 상세히 기술된다.
본 개시는 다음과 같이 구체화된다. 처음으로, 프로세싱 챔버의 예가 도 1을 참조하여 도시되고 기술된다. 그 후, 본 개시의 캐리어 링 설계들에 의해 해결될 문제가 도 2를 참조하여 도시되고 기술된다. 후속하여, 본 개시에 따른 캐리어 링들의 다양한 설계들 및 구조적 상세들이 도 3a 내지 도 7b를 참조하여 도시되고 기술된다.
도 1은 기판 프로세싱 시스템 (10) 의 다른 컴포넌트들을 둘러싸고 (사용된다면) RF 플라즈마를 담는 프로세싱 챔버 (12) 를 포함한 기판 프로세싱 시스템 (10) 의 예를 도시한다. 프로세싱 챔버 (12) 는 샤워헤드 (14) 및 기판 지지 어셈블리 (16) 를 포함한다. 기판 (18) 이 기판 지지 어셈블리 (16) 상에 배치된다. 이하에 설명된 바와 같이, 본 개시의 캐리어 링들은 기판 지지 어셈블리 (16) 와 함께 사용되고 프로세싱 동안 기판 (18) 을 지지하는 복수의 탭들을 포함한다. 프로세스 가스들 및 기화된 화학 물질들은 기판 (18) 의 후면 (하단) 상에 재료를 증착하기 위해 기판 지지 어셈블리 (16) 를 통해 도입된다. 샤워헤드 (14) 는 기판 (18) 의 전면 (상단) 상의 증착을 방지하도록 퍼지 가스를 도입한다.
플라즈마가 사용된다면, 플라즈마는 직접 또는 리모트 플라즈마일 수 있다. 이 예에서, RF 생성 시스템 (30) 은 RF 전압을 생성하고 샤워헤드 (14) 로 출력한다. 기판 지지 어셈블리 (16) 는 접지된다 (다른 하나는 DC 접지되거나, AC 접지되거나, 플로팅된다). 단지 예를 들면, RF 생성 시스템 (30) 은 매칭 네트워크 (34) 에 의해 샤워헤드 (14) 에 피딩되는 RF 전압을 생성하는, RF 전압 생성기 (32) 를 포함할 수도 있다. 대안적으로, 플라즈마는 리모트 플라즈마 소스 (미도시) 에 의해 전달될 수도 있다.
가스 전달 시스템 (40) 은 하나 이상의 가스 소스들 (42-1, …, 42-(N-1), 및 42-N) (집합적으로 가스 소스들 (42)) 을 포함하고, 여기서 N은 양의 정수이다. 가스 소스들 (42) 은 하나 이상의 프로세스 가스들, 전구체 가스들, 세정 가스들, 퍼지 가스들, 등을 프로세싱 챔버 (12) 에 공급한다. 가스 소스들 (42) 은 밸브들 (44-1, …, 44-(N-1), 및 44-N) (집합적으로 밸브들 (44)) 및 질량 유량 제어기들 (46-1, …, 46-(N-1), 및 46-N) (집합적으로 질량 유량 제어기들 (Mass Flow Controller; MFC) (46)) 에 의해 매니폴드 (48) 에 연결된다. 매니폴드 (48) 의 출력은 기판 지지 어셈블리 (16) 로 피딩된다. 기화된 전구체들이 또한 사용될 수도 있다. 일부 기화된 전구체들에 대해, MFC가 사용되지 않는다. 가스 전달 시스템 (40) 은 샤워헤드 (14) 로 퍼지 가스를 전달한다.
히터 제어기 (50) 는 기판 지지 어셈블리 (16) 내에 그리고 샤워헤드 (14) 내에 배치된 히터 엘리먼트 (미도시) 에 연결될 수도 있다. 히터 제어기 (50) 는 샤워헤드 (14), 기판 지지 어셈블리 (16) 및 기판 (18) 의 온도를 제어하도록 사용될 수도 있다. 밸브 (60) 및 펌프 (62) 가 프로세싱 챔버 (12) 로부터 반응 물질들을 배기하도록 사용될 수도 있다. 제어기 (70) 가 기판 프로세싱 시스템 (10) 의 컴포넌트들을 제어하도록 사용될 수도 있다. 단지 예를 들면, 제어기 (70) 는 프로세스 가스들 및 퍼지 가스들의 플로우를 제어하고, 온도, 압력, 전력, 등과 같은 프로세스 파라미터들을 모니터링하고, 플라즈마를 스트라이킹 (striking) 및 소화하고, 반응 물질들을 제거하는, 등을 위해 사용될 수도 있다.
도 2는 베벨 에지를 갖는 웨이퍼의 일부를 도시한다. 나타낸 바와 같이, 웨이퍼의 전면 및 베벨 에지 상의 증착은 바람직하지 않다. 본 개시에 따른 캐리어 링 설계들은 웨이퍼의 전면 및 베벨 에지 상 (구체적으로, 수평 대시선 위에 있는 웨이퍼의 베벨 에지의 부분 상) 의 증착을 방지하거나 최소화할 수 있다.
이하는 프로세싱 챔버 내에서 웨이퍼 둘레에 배치된 캐리어 링들의 다양한 설계들이다. 완전한 링들의 뷰들은 제 1 캐리어 링 설계에 대해서만 도시된다. 완전한 링들의 뷰들은 제 2 캐리어 링 설계, 제 3 캐리어 링 설계 및 제 4 캐리어 링 설계들에 대해 생략된다. 그러나, 모든 4 개의 캐리어 링 설계들에 대해 기하학적 및 구조적 상세들은 도시되고 기술된다.
도 3a 내지 도 3d는 본 개시의 제 1 설계에 따른 캐리어 링의 예들을 도시한다. 도 3a 및 도 3b는 제 1 설계에 따른 쓰루 홀들을 갖지 않는 캐리어 링 (200) 을 도시한다. 도 3a는 제 1 설계에 따른 쓰루 홀들을 갖지 않는 캐리어 링 (200) 의 평면도를 도시한다. 도 3b는 제 1 설계에 따른 쓰루 홀들을 갖지 않는 캐리어 링 (200) 의 저면도를 도시한다.
도시된 바와 같이, 제 1 설계에 따른 캐리어 링 (200) (및 이하에 기술된 쓰루 홀들을 갖거나 갖지 않는 모든 다른 캐리어 링 설계들) 은 환형이고, 내경 (ID) 및 외경 (OD) 을 갖는다. 내경 (ID) 은 일반적으로 프로세싱 챔버 내에서 웨이퍼 (도 4a 내지 도 7b에 도시된 엘리먼트 (202)) 를 둘러싼다. 제 1 설계에 따른 캐리어 링 (200) (및 이하에 기술된 쓰루 홀들을 갖거나 갖지 않는 모든 다른 캐리어 링 설계들) 은 프로세싱 동안 웨이퍼 (202) 를 지지하기 위해 복수의 탭들 (201) (예를 들어, 6 개의 탭들이 도시됨) 을 포함한다. 캐리어 링 (200) 의 상단 표면은 일반적으로 웨이퍼 (202) 의 상단 표면과 동일 평면 상에 있다. 점선 타원으로 나타낸 캐리어 링 (200) 의 내측 에지의 기하 구조 및 구조적 프로파일 또는 형상은 도 4a 내지 도 4c를 참조하여 이하에 상세히 도시되고 기술된다. 4 개의 캐리어 링 설계들 각각의 내측 에지의 기하 구조 및 구조적 프로파일 또는 형상 (즉, 표면 프로파일의 설계) 은 도 4a 내지 도 7b를 참조하여 이하에 기술된 바와 같이 상이하다.
도 3c 및 도 3d는 제 1 설계에 따른 쓰루 홀들을 갖는 캐리어 링 (250) 의 예들을 도시한다. 캐리어 링들 (200 및 250) 사이의 유일한 차이점은 캐리어 링 (200) 은 쓰루 홀들을 갖지 않고, 캐리어 링 (250) 은 쓰루 홀들을 갖는다는 것이다. 캐리어 링들 (200 및 250) 은 다른 모든 면에서 동일하다. 도 3c는 제 1 설계에 따른 쓰루 홀들을 갖는 캐리어 링 (250) 의 평면도를 도시한다. 도 3d는 제 1 설계에 따른 쓰루 홀들을 갖는 캐리어 링 (250) 의 저면도를 도시한다. 점선 타원으로 나타낸 캐리어 링 (250) 의 내측 에지의 기하 구조 및 구조적 프로파일 또는 형상은 도 4a 내지 도 4c를 참조하여 이하에 상세히 도시되고 기술된다. 이하에 상세히 기술된 바와 같이, 쓰루 홀들의 기하 구조 및 구성은 4 개의 캐리어 링 설계들 각각에 대해 상이하다.
도 4a 내지 도 4c는 본 개시에 따른 캐리어 링들 (200 및 250) 의 제 1 설계 (즉, 표면 프로파일의 제 1 설계) 를 상세히 도시한다. 캐리어 링들 (200 및 250) 은 프로세싱 챔버 내에서 반도체 기판 (예를 들어, 웨이퍼 (202)) 을 둘러싸도록 환형이거나 링 형상의 구조를 갖는다. 도 4a는 쓰루 홀들을 갖지 않는 캐리어 링 (200) 을 도시한다. 도 4b는 쓰루 홀들을 갖는 캐리어 링 (250) 을 도시한다. 도 4c는 동일한 캐리어 링들 (200 및 250) 의 내측 부분의 구조적 상세들을 도시한다. 캐리어 링들 (200 및 250) 의 구조적 상세들은 캐리어 링 (250) 을 참조하여 이하에 기술된다. 쓰루 홀들 이외의 캐리어 링 (250) 의 기술은 캐리어 링 (200) 에 대한 기술과 동일하다는 것이 이해되어야 한다.
캐리어 링 (250) 은 내경 (ID) 을 갖는 내측 부분 및 외경 (OD) 을 갖는 외측 부분을 포함한다. 캐리어 링 (250) 의 내측 부분은 캐리어 링 (250) 의 상단 표면 (254) 으로부터 하강하는 제 1 부분 (252) 을 포함한다. 캐리어 링 (250) 의 제 2 부분 (256) 은 캐리어 링 (250) 의 제 1 부분 (252) 의 하단 단부로부터 수평으로 (즉, 캐리어 링 (250) 의 상단 표면 (254) 에 평행하게) 웨이퍼 (202) 를 향해 연장한다. 캐리어 링 (250) 의 제 3 부분 (258) 은 캐리어 링 (250) 의 제 2 부분 (256) 의 원위 단부로부터 수직으로 (즉, 캐리어 링 (250) 의 상단 표면 (254) 에 수직으로) 하강한다. 캐리어 링 (250) 의 제 4 부분 (260) 은 캐리어 링 (250) 의 제 3 부분 (258) 의 하단 단부로부터 캐리어 링 (250) 의 외경 (OD) 을 향해 수평으로 (즉, 캐리어 링 (250) 의 상단 표면 (254) 에 평행하게) 연장한다.
캐리어 링 (250) 의 제 5 부분 (262) 은 캐리어 링 (250) 의 제 4 부분 (260) 의 원위 단부로부터 제 2 부분 (256) 및 캐리어 링 (250) 의 상단 표면 (254) 에 대해 예각으로 캐리어 링 (250) 의 외경 (OD) 또는 외측 부분을 향해 하강한다. 캐리어 링 (250) 의 제 6 부분 (264) 은 캐리어 링 (250) 의 제 5 부분 (262) 의 하단 단부로부터 캐리어 링 (250) 의 외측 부분 또는 외경 (OD) 을 향해 수평으로 (즉, 캐리어 링 (250) 의 상단 표면 (254) 에 평행하게) 연장한다.
캐리어 링 (250) 의 상단 표면 (254) 은 웨이퍼 (202) 의 상단 표면과 동일 평면 상에 있다. 캐리어 링 (250) 의 제 1 부분 (252) 은 웨이퍼 (202) 의 두께와 거의 동일한 거리로 캐리어 링 (250) 의 상단 표면 (254) 으로부터 수직으로 (즉, 직각으로) 하강한다. 캐리어 링 (250) 의 제 1 부분 (252) 은 웨이퍼 (202) 의 외측 에지 (예를 들어, OD) 로부터 미리 결정된 거리만큼 이격된다. 캐리어 링 (250) 의 제 2 부분 (256) 의 원위 단부는 웨이퍼 (202) 의 외측 에지 아래로 연장한다. 캐리어 링 (250) 의 제 3 부분 (258) 의 제 1 단부는 캐리어 링 (250) 의 제 2 부분 (256) 의 원위 단부에서 직각 (즉, 날카로운 코너부) 을 형성한다.
캐리어 링 (250) (캐리어 링 (200) 은 아님) 은 캐리어 링 (250) 의 상단 표면 (254) 으로부터 캐리어 링 (250) 의 제 4 부분 (260) 을 통해 연장하는 복수의 쓰루 홀들 (270) 을 포함한다. 캐리어 링 (250) 의 쓰루 홀들 (270) 은 미리 결정된 직경을 갖고 캐리어 링 (250) 의 제 1 부분 (252) 으로부터 미리 결정된 방사상 거리에 배치된다. 캐리어 링 (250) 의 쓰루 홀들 (270) 은 캐리어 링 (250) 의 상단 표면 (254) 으로부터 캐리어 링 (250) 의 제 4 부분 (260) 으로 90 ° 이외의 각도로 하강한다. 예를 들어, 캐리어 링 (250) 의 쓰루 홀들 (270) 은 캐리어 링 (250) 의 상단 표면 (254) 으로부터 수직으로 (즉, 직각으로) 하강하는 제 1 부분 (252) 에 대해 45 ° 각도로 캐리어 링 (250) 의 상단 표면 (254) 으로부터 캐리어 링 (250) 의 제 4 부분 (260) 으로 하강한다. 달리 말하면, 캐리어 링 (250) 의 쓰루 홀들 (270) 은 캐리어 링 (250) 의 상단 표면 (254) 으로부터 캐리어 링 (250) 의 제 4 부분 (260) 으로 캐리어 링 (250) 의 상단 표면 (254) 에 대해 45 ° 각도로 하강한다.
도 5a 내지 도 5c는 본 개시에 따른 캐리어 링들 (300 및 350) 의 제 2 설계 (즉, 표면 프로파일의 제 2 설계) 를 상세히 도시한다. 캐리어 링들 (300 및 350) 은 프로세싱 챔버 내에서 반도체 기판 (예를 들어, 웨이퍼 (202)) 을 둘러싸도록 환형이거나 링 형상의 구조를 갖는다. 도 5a는 쓰루 홀들을 갖지 않는 캐리어 링 (300) 을 도시한다. 도 5b는 쓰루 홀들을 갖는 캐리어 링 (350) 을 도시한다. 도 5c는 동일한 캐리어 링들 (300 및 350) 의 내측 부분의 구조적 상세들을 도시한다. 캐리어 링들 (300 및 350) 의 구조적 상세들은 캐리어 링 (350) 을 참조하여 이하에 기술된다. 쓰루 홀들 이외의 캐리어 링 (350) 의 기술은 캐리어 링 (300) 에 대한 기술과 동일하다는 것이 이해되어야 한다.
캐리어 링 (350) 은 내경 (ID) 을 갖는 내측 부분 및 외경 (OD) 을 갖는 외측 부분을 포함한다. 캐리어 링 (350) 의 내측 부분은 캐리어 링 (350) 의 상단 표면 (354) 으로부터 하강하는 제 1 부분 (352) 을 포함한다. 캐리어 링 (350) 의 제 2 부분 (356) 은 제 1 부분 (352) 의 하단 단부로부터 수평으로 (즉, 캐리어 링 (350) 의 상단 표면 (354) 에 평행하게) 웨이퍼 (202) 를 향해 연장한다. 캐리어 링 (350) 의 제 3 부분 (358) 은 캐리어 링 (350) 의 제 2 부분 (356) 의 원위 단부로부터 수직으로 (즉, 캐리어 링 (350) 의 상단 표면 (354) 에 수직으로) 하강한다. 캐리어 링 (350) 의 제 4 부분 (360) 은 캐리어 링 (350) 의 제 3 부분 (358) 의 하단 단부로부터 캐리어 링 (350) 의 제 2 부분 (356) 또는 상단 표면 (354) 에 대해 제 1 예각으로 캐리어 링 (350) 의 외경 (OD) 또는 외측 부분을 향해 하강한다.
캐리어 링 (350) 의 제 5 부분 (362) 은 캐리어 링 (350) 의 제 4 부분 (360) 의 하단 단부로부터 캐리어 링 (350) 의 외경 (OD) 또는 외측 부분을 향해 수평으로 (즉, 캐리어 링 (350) 의 상단 표면 (354) 에 평행하게) 연장한다. 캐리어 링 (350) 의 제 6 부분 (364) 은 캐리어 링 (350) 의 제 5 부분 (362) 의 원위 단부로부터 캐리어 링 (350) 의 제 2 부분 (356) 또는 상단 표면 (354) 에 대해 제 2 예각으로 캐리어 링 (350) 의 외경 (OD) 또는 외측 부분을 향해 하강한다. 캐리어 링 (350) 의 제 7 부분 (366) 은 캐리어 링 (350) 의 제 6 부분 (364) 의 하단 단부로부터 캐리어 링 (350) 의 외측 부분 또는 외경 (OD) 을 향해 수평으로 (즉, 캐리어 링 (350) 의 상단 표면 (354) 에 평행하게) 연장한다.
캐리어 링 (350) 의 상단 표면 (354) 은 웨이퍼 (202) 의 상단 표면과 동일 평면 상에 있다. 캐리어 링 (350) 의 제 1 부분 (352) 은 웨이퍼 (202) 의 두께와 거의 동일한 거리로 캐리어 링 (350) 의 상단 표면 (354) 으로부터 수직으로 (즉, 직각으로) 하강한다. 캐리어 링 (350) 의 제 1 부분 (352) 은 웨이퍼 (202) 의 외측 에지 (예를 들어, OD) 로부터 미리 결정된 거리만큼 이격된다. 캐리어 링 (350) 의 제 2 부분 (356) 의 원위 단부는 웨이퍼 (202) 의 외측 에지 아래로 연장한다. 캐리어 링 (350) 의 제 3 부분 (358) 의 제 1 단부는 캐리어 링 (350) 의 제 2 부분 (356) 의 원위 단부에서 직각 (즉, 날카로운 코너부) 을 형성한다. 캐리어 링 (350) 의 제 4 부분 (360) 은 캐리어 링 (350) 의 제 2 부분 (356) 및 상단 표면 (354) 에 대해 30 ° 각도로 하강한다.
캐리어 링 (350) (캐리어 링 (300) 은 아님) 은 캐리어 링 (350) 의 상단 표면 (354) 으로부터 캐리어 링 (350) 의 제 5 부분 (362) 을 통해 연장하는 복수의 쓰루 홀들 (370) 을 포함한다. 캐리어 링 (350) 의 쓰루 홀들 (370) 은 미리 결정된 직경을 갖고 캐리어 링 (350) 의 제 1 부분 (352) 으로부터 미리 결정된 방사상 거리에 배치된다. 캐리어 링 (350) 의 쓰루 홀들 (370) 은 캐리어 링 (350) 의 상단 표면 (354) 으로부터 캐리어 링 (350) 의 제 5 부분 (362) 으로 90 ° 이외의 각도로 하강한다. 예를 들어, 쓰루 홀들 (370) 은 캐리어 링 (350) 의 상단 표면 (354) 으로부터 수직으로 (즉, 직각으로) 하강하는 캐리어 링 (350) 의 제 1 부분 (352) 에 대해 45 ° 각도로 캐리어 링 (350) 의 상단 표면 (354) 으로부터 캐리어 링 (350) 의 제 5 부분 (362) 으로 하강한다. 달리 말하면, 캐리어 링 (350) 의 쓰루 홀들 (370) 은 캐리어 링 (350) 의 상단 표면 (354) 으로부터 캐리어 링 (350) 의 제 5 부분 (362) 으로 캐리어 링 (350) 의 상단 표면 (354) 에 대해 45 ° 각도로 하강한다.
도 6a 내지 도 6c는 본 개시에 따른 캐리어 링들 (400 및 450) 의 제 3 설계 (즉, 표면 프로파일의 제 3 설계) 를 상세히 도시한다. 캐리어 링들 (400 및 450) 은 프로세싱 챔버 내에서 반도체 기판 (예를 들어, 웨이퍼 (202)) 을 둘러싸도록 환형이거나 링 형상의 구조를 갖는다. 도 6a는 쓰루 홀들을 갖지 않는 캐리어 링 (400) 을 도시한다. 도 6b는 쓰루 홀들을 갖는 캐리어 링 (450) 을 도시한다. 도 6c는 동일한 캐리어 링들 (400 및 450) 의 내측 부분의 구조적 상세들을 도시한다. 캐리어 링들 (400 및 450) 의 구조적 상세들은 캐리어 링 (450) 을 참조하여 이하에 기술된다. 쓰루 홀들 이외의 캐리어 링 (450) 의 기술은 캐리어 링 (400) 에 대한 기술과 동일하다는 것이 이해되어야 한다.
캐리어 링 (450) 은 내경 (ID) 을 갖는 내측 부분 및 외경 (OD) 을 갖는 외측 부분을 포함한다. 캐리어 링 (450) 의 내측 부분은 캐리어 링 (450) 의 상단 표면 (454) 으로부터 하강하는 제 1 부분 (452) 을 포함한다. 캐리어 링 (450) 의 제 1 부분 (452) 의 하단 단부로부터, 캐리어 링 (450) 의 제 2 부분 (456) 은 처음에 약간 상향으로 그리고 이어서 수평으로 (즉, 캐리어 링 (450) 의 상단 표면 (454) 에 평행하게) 웨이퍼 (202) 를 향해 외측으로 연장한다. 캐리어 링 (450) 의 제 3 부분 (458) 은 캐리어 링 (450) 의 제 2 부분 (456) 의 원위 단부로부터 수직으로 (즉, 캐리어 링 (450) 의 상단 표면 (454) 에 수직으로) 하강한다. 캐리어 링 (450) 의 제 4 부분 (460) 은 캐리어 링 (450) 의 상단 표면 (454) 에 대해 제 1 예각으로 캐리어 링 (450) 의 외측 부분 또는 외측 직경 (OD) 을 향하여 캐리어 링 (450) 의 제 3 부분 (458) 의 하단 단부로부터 하강한다.
캐리어 링 (450) 의 제 5 부분 (462) 은 캐리어 링 (450) 의 제 4 부분 (460) 의 하단 단부로부터 캐리어 링 (450) 의 외경 (OD) 또는 외측 부분을 향해 수평으로 (즉, 캐리어 링 (450) 의 상단 표면 (454) 에 평행하게) 연장한다. 캐리어 링 (450) 의 제 6 부분 (464) 은 캐리어 링 (450) 의 제 5 부분 (462) 의 원위 단부로부터 캐리어 링 (450) 의 상단 표면 (454) 에 대해 제 2 예각으로 캐리어 링 (450) 의 외경 (OD) 또는 외측 부분을 향해 하강한다. 캐리어 링 (450) 의 제 7 부분 (466) 은 캐리어 링 (450) 의 제 6 부분 (464) 의 하단 단부로부터 캐리어 링 (450) 의 외측 부분 또는 외경 (OD) 을 향해 수평으로 (즉, 캐리어 링 (450) 의 상단 표면 (454) 에 평행하게) 연장한다.
캐리어 링 (450) 의 상단 표면 (454) 은 웨이퍼 (202) 의 상단 표면과 동일 평면 상에 있다. 제 1 부분 (452) 은 웨이퍼 (202) 의 두께보다 큰 거리로 캐리어 링 (450) 의 상단 표면 (454) 으로부터 수직으로 (즉, 직각으로) 하강한다. 캐리어 링 (450) 의 제 1 부분 (452) 은 웨이퍼 (202) 의 외측 에지 (예를 들어, OD) 로부터 미리 결정된 거리만큼 이격된다. 캐리어 링 (450) 의 제 2 부분 (456) 의 수평 부분은 웨이퍼 (202) 의 하단 표면으로부터 제 1 미리 결정된 거리만큼 수직으로 이격된다. 캐리어 링 (450) 의 제 3 부분 (458) 은 웨이퍼 (202) 의 외측 에지 (예를 들어, OD) 로부터 제 2 미리 결정된 거리만큼 수평으로 이격된다. 제 1 미리 결정된 거리 및 제 2 미리 결정된 거리는 동일할 수도 있다.
캐리어 링 (450) (캐리어 링 (400) 은 아님) 은 캐리어 링 (450) 의 상단 표면 (454) 으로부터 캐리어 링 (450) 의 제 5 부분 (462) 을 통해 연장하는 복수의 쓰루 홀들 (470) 을 더 포함한다. 캐리어 링 (450) 의 쓰루 홀들 (470) 은 미리 결정된 직경을 갖고 캐리어 링 (450) 의 제 1 부분 (452) 으로부터 미리 결정된 방사상 거리에 배치된다. 캐리어 링 (450) 의 쓰루 홀들 (470) 은 캐리어 링 (450) 의 상단 표면 (454) 으로부터 캐리어 링 (450) 의 제 5 부분 (462) 으로 90 ° 이외의 각도로 하강한다. 예를 들어, 캐리어 링 (450) 의 쓰루 홀들 (470) 은 캐리어 링 (450) 의 상단 표면 (454) 으로부터 수직으로 (즉, 직각으로) 하강하는 제 1 부분 (452) 에 대해 45 ° 각도로 캐리어 링 (450) 의 상단 표면 (454) 으로부터 캐리어 링 (450) 의 제 5 부분 (462) 으로 하강한다. 달리 말하면, 캐리어 링 (450) 의 쓰루 홀들 (470) 은 캐리어 링 (450) 의 상단 표면 (454) 으로부터 캐리어 링 (450) 의 제 5 부분 (462) 으로 캐리어 링 (450) 의 상단 표면 (454) 에 대해 45 ° 각도로 하강한다.
도 7a 및 도 7b는 본 개시에 따른 캐리어 링들 (500 및 550) 의 제 4 설계 (즉, 표면 프로파일의 제 4 설계) 를 상세히 도시한다. 캐리어 링들 (500 및 550) 은 프로세싱 챔버 내에서 반도체 기판 (예를 들어, 웨이퍼 (202)) 을 둘러싸도록 환형이거나 링 형상의 구조를 갖는다. 도 7a는 쓰루 홀들을 갖지 않는 캐리어 링 (500) 을 도시한다. 도 7b는 쓰루 홀들을 갖는 캐리어 링 (550) 을 도시한다. 캐리어 링 (500 및 550) 의 구조적 상세들은 캐리어 링 (500) 은 쓰루 홀들을 갖지 않고 캐리어 링 (550) 은 쓰루 홀들을 갖는 것을 제외하고 동일하다. 따라서, 캐리어 링들 (500 및 550) 의 구조적 상세들은 캐리어 링 (550) 을 참조하여 이하에 기술된다. 쓰루 홀들 이외의 캐리어 링 (550) 의 기술은 캐리어 링 (500) 에 대한 기술과 동일하다는 것이 이해되어야 한다.
캐리어 링 (550) 은 내경 (ID) 을 갖는 내측 부분 및 외경 (OD) 을 갖는 외측 부분을 포함한다. 캐리어 링 (550) 의 내측 부분은 캐리어 링 (550) 의 상단 표면 (554) 으로부터 하강하는 제 1 부분 (552) 을 포함한다. 캐리어 링 (550) 의 제 2 부분 (556) 은 캐리어 링 (550) 의 제 1 부분 (552) 의 하단 단부로부터 캐리어 링 (550) 의 외측 직경 (OD) 또는 외측 부분을 향해 수평으로 (즉, 캐리어 링 (550) 의 상단 표면 (554) 에 평행하게) 연장한다. 캐리어 링 (550) 의 제 3 부분 (558) 은 캐리어 링 (550) 의 제 2 부분 (556) 의 원위 단부로부터 캐리어 링 (550) 의 제 2 부분 (556) 또는 상단 표면 (554) 에 대해 예각으로 캐리어 링 (550) 의 외경 (OD) 또는 외측 부분을 향해 하강한다. 캐리어 링 (550) 의 제 4 부분 (560) 은 캐리어 링 (550) 의 제 3 부분 (558) 의 하단 단부로부터 캐리어 링 (550) 의 외측 부분 또는 외경 (OD) 을 향해 수평으로 (즉, 캐리어 링 (550) 의 상단 표면 (554) 에 평행하게) 연장한다.
캐리어 링 (550) 의 상단 표면 (554) 은 웨이퍼 (202) 의 상단 표면과 동일 평면 상에 있다. 캐리어 링 (550) 의 제 1 부분 (552) 은 웨이퍼 (202) 의 두께와 거의 동일한 거리로 캐리어 링 (550) 의 상단 표면 (554) 으로부터 수직으로 (즉, 직각으로) 하강한다. 캐리어 링 (550) 의 제 1 부분 (552) 은 웨이퍼 (202) 의 외측 에지 (예를 들어, OD) 로부터 미리 결정된 거리만큼 이격된다.
캐리어 링 (550) 은 캐리어 링 (550) 의 상단 표면 (554) 으로부터 캐리어 링 (550) 의 제 2 부분 (556) 을 통해 연장하는 복수의 쓰루 홀들 (570) 을 더 포함한다. 캐리어 링 (550) 의 쓰루 홀들 (570) 은 미리 결정된 직경을 갖고 캐리어 링 (550) 의 제 1 부분 (552) 으로부터 미리 결정된 방사상 거리에 배치된다. 캐리어 링 (550) 의 쓰루 홀들 (570) 은 캐리어 링 (550) 의 제 1 부분 (552) 보다 캐리어 링 (550) 의 제 2 부분 (556) 의 원위 단부에 보다 가깝다. 캐리어 링 (550) 의 쓰루 홀들 (570) 은 캐리어 링 (550) 의 상단 표면 (554) 으로부터 캐리어 링 (550) 의 제 2 부분 (556) 으로 수직으로 (즉, 직각으로) 하강한다.
다음의 기술은 상기 캐리어 링 설계들에 대해 일반적인 기술이다. 캐리어 링들의 내측 부분들의 다양한 부분들 사이 (예를 들어, 상단 표면과 제 1 부분 사이, 제 1 부분과 제 2 부분 사이, 등) 의 모든 접합부는 두 부분들 사이 접합부가 날카로운 코너이거나 날카로운 코너를 형성하는 것으로 구체적으로 기술된 것을 제외하고, 라운딩되거나 커브된다.
캐리어 링의 내경 (ID) 은 웨이퍼 에지와 캐리어 링 ID 사이의 갭을 결정한다. 갭이 보다 작을수록, 웨이퍼의 전면에서 증착 재료의 농도가 보다 많이 감소된다. 그러나, 최적의 ID를 넘어서 캐리어 링 ID를 변화시키는 (즉, 증가시키거나 감소시키는) 웨이퍼의 전면에서 증착 재료의 농도에 영향을 준다. 예를 들어, 최적의 ID를 넘어 ID를 증가시키는 것은 웨이퍼의 전면 및 베벨/에지 상의 증착을 증가시킨다. 최적의 ID를 넘어서 ID를 더 감소시키는 것은 웨이퍼의 전면에서 증착 재료의 농도를 증가시킬 수 있다.
도 5a 내지 도 6c에 도시된 캐리어 링의 내측 부분의 프로파일 각도 (즉, 부분의 예각) 는 15 내지 45 °, 바람직하게 30 °일 수 있다. 캐리어 링들의 내측 부분의 하부 프로파일을 변화시키는 것은 웨이퍼의 후면 및 베벨 에지에서 증착 재료의 농도에 일부 영향을 줄 수 있다. 30 ° 각도는 웨이퍼의 전체 후면 상의 증착에 영향을 준다 (즉, 막 증착의 균일성에 영향을 준다).
쓰루 홀들은 캐리어 링 상에 방사상으로 위치된다. 쓰루 홀들은 서로 등거리에 있다. 쓰루 홀들의 총 수는 약 120 개일 수 있다. 쓰루 홀들의 방사상 위치는 쓰루 홀들이 캐리어 링들의 OD보다 ID에 보다 가깝게 한다. 캐리어 링들의 OD를 향해 쓰루 홀들을 이동시키는 것은 웨이퍼의 후면에서 재료의 증착에 영향을 주지 않고 단지 웨이퍼의 전면 및 베벨/에지에서 증착 재료의 농도를 최소로 증가시킨다.
쓰루 홀들의 직경은 약 1 ㎜ 이하일 수 있다. 보다 작은 직경은 웨이퍼의 후면에서 재료의 증착에 영향을 주지 않고 웨이퍼의 전면 및 베벨/에지에서 증착 재료의 농도를 감소시킬 수 있다. 최적의 직경을 넘어서 쓰루 홀들을 보다 크게 만드는 것은 전면 및 베벨/에지 증착을 증가시킨다. 쓰루 홀들의 직경은 웨이퍼의 베벨/에지 및 배면에서 증착 재료의 농도에 대한 쓰루 홀들의 방사상 위치보다 큰 효과를 갖는다.
쓰루 홀들을 부가하는 것은 웨이퍼의 후면 상의 막 균일성에 대한 어떠한 유해한 영향 없이 웨이퍼의 베벨/에지 및 전면 상의 증착을 방지하는데 보다 우수한 제어를 제공한다. 쓰루 홀들은 캐리어 링의 상단 표면에 대해 수직이거나 기울어질 수 있다. 쓰루 홀들의 각도는 캐리어 링의 상단 표면에 대해 30 ° 내지 90 ° (즉, 수직) 일 수 있다. 퍼지 가스 플로우 손실을 최소화할 뿐만 아니라 웨이퍼의 베벨/에지 및 전면 상의 증착을 최소화하기 위해 45 °의 각도가 바람직하다. 90 °의 각도는 머시닝 (즉, 제작) 하기 쉽다.
전술한 기술은 본질적으로 단지 예시이고, 본 개시, 이의 적용 예, 또는 사용들을 제한하도록 의도되지 않는다. 본 개시의 광범위한 교시들은 다양한 형태들로 구현될 수 있다. 따라서, 본 개시가 특정한 예들을 포함하지만, 본 개시의 진정한 범위는 다른 수정들이 도면들, 명세서, 및 이하의 청구항들의 연구 시 자명해질 것이기 때문에 이렇게 제한되지 않아야 한다. 방법의 하나 이상의 단계들은 본 개시의 원리들을 변경하지 않고 상이한 순서로 (또는 동시에) 실행될 수도 있다는 것이 이해되어야 한다. 또한, 실시 예들 각각이 특정한 피처들을 갖는 것으로 상기 기술되었지만, 본 개시의 임의의 실시 예에 대해 기술된 이들 피처들 중 임의의 하나 이상의 피처들은, 조합이 명시적으로 기술되지 않더라도 임의의 다른 실시 예들의 피처들에서 그리고/또는 피처들과 조합하여 구현될 수 있다. 즉, 기술된 실시 예들은 상호 배타적이지 않고, 하나 이상의 실시 예들의 다른 실시 예들과의 치환들이 본 개시의 범위 내에 남는다.
엘리먼트들 간 (예를 들어, 모듈들, 회로 엘리먼트들, 반도체 층들, 등 간) 의 공간적 및 기능적 관계들은, "연결된 (connected)", "인게이지된 (engaged)", "커플링된 (coupled)", "인접한 (adjacent)", "옆에 (next to)", "~의 상단에 (on top of)", "위에 (above)", "아래에 (below)", 및 "배치된 (disposed)"을 포함하는, 다양한 용어들을 사용하여 기술된다. "직접적 (direct)"인 것으로 명시적으로 기술되지 않는 한, 제 1 엘리먼트와 제 2 엘리먼트 간의 관계가 상기 개시에서 기술될 때, 이 관계는 제 1 엘리먼트와 제 2 엘리먼트 사이에 다른 중개하는 엘리먼트들이 존재하지 않는 직접적인 관계일 수 있지만, 또한 제 1 엘리먼트와 제 2 엘리먼트 사이에 (공간적으로 또는 기능적으로) 하나 이상의 중개하는 엘리먼트들이 존재하는 간접적인 관계일 수 있다. 본 명세서에 사용된 바와 같이, 구 A, B, 및 C 중 적어도 하나는 비배타적인 논리 OR를 사용하여, 논리적으로 (A 또는 B 또는 C) 를 의미하는 것으로 해석되어야 하고, "적어도 하나의 A, 적어도 하나의 B, 및 적어도 하나의 C"를 의미하는 것으로 해석되지 않아야 한다.
일부 구현 예들에서, 제어기는 상기 기술된 예들의 일부일 수도 있는 시스템의 일부이다. 이러한 시스템들은 프로세싱 툴 또는 툴들, 챔버 또는 챔버들, 프로세싱용 플랫폼 또는 플랫폼들, 및/또는 특정 프로세싱 컴포넌트들 (웨이퍼 페데스탈, 가스 플로우 시스템, 등) 을 포함하는, 반도체 프로세싱 장비를 포함할 수 있다. 이들 시스템들은 반도체 웨이퍼 또는 기판의 프로세싱 이전에, 프로세싱 동안에, 그리고 프로세싱 이후에 그들의 동작을 제어하기 위한 전자장치와 통합될 수도 있다. 전자장치는 시스템 또는 시스템들의 다양한 컴포넌트들 또는 하위부분들을 제어할 수도 있는 "제어기"로서 지칭될 수도 있다. 제어기는, 시스템의 프로세싱 요건들 및/또는 타입에 따라서, 프로세싱 가스들의 전달, 온도 설정사항들 (예를 들어, 가열 및/또는 냉각), 압력 설정사항들, 진공 설정사항들, 전력 설정사항들, 무선 주파수 (RF) 생성기 설정사항들, RF 매칭 회로 설정사항들, 주파수 설정사항들, 플로우 레이트 설정사항들, 유체 전달 설정사항들, 위치 및 동작 설정사항들, 툴 및 다른 이송 툴들 및/또는 특정 시스템과 연결되거나 인터페이싱된 로드 록들 내외로의 웨이퍼 이송들을 포함하는, 본 명세서에 개시된 프로세스들 중 임의의 프로세스들을 제어하도록 프로그래밍될 수도 있다.
일반적으로 말하면, 제어기는 인스트럭션들을 수신하고, 인스트럭션들을 발행하고, 동작을 제어하고, 세정 동작들을 인에이블하고 (enable), 엔드포인트 측정들을 인에이블하는, 등을 하는 다양한 집적 회로들, 로직, 메모리, 및/또는 소프트웨어를 갖는 전자장치로서 규정될 수도 있다. 집적 회로들은 프로그램 인스트럭션들을 저장하는 펌웨어의 형태의 칩들, 디지털 신호 프로세서들 (DSPs), ASICs (Application Specific Integrated Circuits) 로서 규정되는 칩들, 및/또는 프로그램 인스트럭션들 (예를 들어, 소프트웨어) 을 실행하는 하나 이상의 마이크로프로세서들, 또는 마이크로제어기들을 포함할 수도 있다. 프로그램 인스트럭션들은 반도체 웨이퍼 상에서 또는 반도체 웨이퍼에 대한 특정 프로세스를 실행하기 위한 동작 파라미터들을 규정하는, 다양한 개별 설정사항들 (또는 프로그램 파일들) 의 형태로 제어기로 또는 시스템으로 전달되는 인스트럭션들일 수도 있다. 일부 실시 예들에서, 동작 파라미터들은 하나 이상의 층들, 재료들, 금속들, 옥사이드들, 실리콘, 실리콘 다이옥사이드, 표면들, 회로들, 및/또는 웨이퍼의 다이들의 제조 동안에 하나 이상의 프로세싱 단계들을 달성하도록 프로세스 엔지니어들에 의해서 규정된 레시피의 일부일 수도 있다.
제어기는, 일부 구현 예들에서, 시스템과 통합되거나, 시스템에 커플링되거나, 그렇지 않으면 시스템에 네트워킹되거나, 또는 이들의 조합으로될 수 있는 컴퓨터에 커플링되거나 이의 일부일 수도 있다. 예를 들어, 제어기는 웨이퍼 프로세싱의 원격 액세스를 가능하게 할 수 있는 팹 호스트 컴퓨터 시스템의 전부 또는 일부이거나 "클라우드" 내에 있을 수도 있다. 컴퓨터는 제조 동작들의 현 진행을 모니터링하거나, 과거 제조 동작들의 이력을 조사하거나, 복수의 제조 동작들로부터 경향들 또는 성능 계측치들을 조사하거나, 현 프로세싱의 파라미터들을 변경하거나, 현 프로세싱을 따르는 프로세싱 단계들을 설정하거나, 새로운 프로세스를 시작하기 위해서, 시스템으로의 원격 액세스를 가능하게 할 수도 있다.
일부 예들에서, 원격 컴퓨터 (예를 들어, 서버) 가 로컬 네트워크 또는 인터넷을 포함할 수도 있는 네트워크를 통해 프로세스 레시피들을 시스템에 제공할 수 있다. 원격 컴퓨터는 차후에 원격 컴퓨터로부터 시스템으로 전달될 파라미터들 및/또는 설정사항들의 입력 또는 프로그래밍을 인에이블하는 사용자 인터페이스를 포함할 수도 있다. 일부 예들에서, 제어기는 하나 이상의 동작들 동안 수행될 프로세싱 단계들 각각에 대한 파라미터들을 특정하는, 데이터의 형태의 인스트럭션들을 수신한다. 파라미터들은 제어기가 제어하거나 인터페이싱하도록 구성되는 툴의 타입 및 수행될 프로세스의 타입에 특정적일 수도 있다는 것이 이해되어야 한다.
따라서 상기 기술된 바와 같이, 제어기는 예컨대 본 명세서에 기술된 프로세스들 및 제어들과 같은, 공동의 목적을 향해 함께 네트워킹되고 작동하는 하나 이상의 개별 제어기들을 포함함으로써 분산될 수도 있다. 이러한 목적들을 위한 분산형 제어기의 예는 챔버 상의 프로세스를 제어하도록 조합되는 (예컨대 플랫폼 레벨에서 또는 원격 컴퓨터의 일부로서) 원격으로 위치한 하나 이상의 집적 회로들과 통신하는 챔버 상의 하나 이상의 집적 회로들일 것이다.
비한정적으로, 예시적인 시스템들은 플라즈마 에칭 챔버 또는 모듈, 증착 챔버 또는 모듈, 스핀-린스 챔버 또는 모듈, 금속 도금 챔버 또는 모듈, 세정 챔버 또는 모듈, 베벨 에지 에칭 챔버 또는 모듈, PVD (Physical Vapor Deposition) 챔버 또는 모듈, CVD (Chemical Vapor Deposition) 챔버 또는 모듈, ALD 챔버 또는 모듈, ALE (Atomic Layer Etch) 챔버 또는 모듈, 이온 주입 챔버 또는 모듈, 트랙 (track) 챔버 또는 모듈, 및 반도체 웨이퍼들의 제조 및/또는 제작 시에 사용되거나 연관될 수도 있는 임의의 다른 반도체 프로세싱 시스템들을 포함할 수도 있다.
상술한 바와 같이, 툴에 의해서 수행될 프로세스 단계 또는 단계들에 따라서, 제어기는, 반도체 제작 공장 내의 툴 위치들 및/또는 로드 포트들로부터/로드 포트들로 웨이퍼들의 컨테이너들을 이동시키는 재료 이송 시에 사용되는, 다른 툴 회로들 또는 모듈들, 다른 툴 컴포넌트들, 클러스터 툴들, 다른 툴 인터페이스들, 인접 툴들, 이웃하는 툴들, 공장 도처에 위치한 툴들, 메인 컴퓨터, 또 다른 제어기, 또는 툴들 중 하나 이상과 통신할 수도 있다.

Claims (20)

  1. 기판의 하단 표면 상의 증착 동안 기판을 지지하기 위한 캐리어 링에 있어서, 상기 캐리어 링은 내경 및 외경을 갖는 링 구조체를 포함하고,
    상기 링 구조체는 적어도 제 1 부분, 제 2 부분, 제 3 부분, 제 4 부분, 및 제 5 부분을 갖는 단면 프로파일을 갖고,
    상기 링 구조체는 상기 제 1 부분과 연결되는 상단 표면을 갖고,
    상기 제 1 부분은 상기 제 2 부분과 연결되고,
    상기 제 2 부분은 상기 제 3 부분과 연결되고,
    상기 제 3 부분은 상기 제 4 부분과 연결되고,
    상기 제 4 부분은 상기 제 5 부분과 연결되고,
    상기 제 3 부분은 상기 내경을 규정하고,
    상기 제 2 부분은 상기 상단 표면과 상기 제 4 부분에 의해 규정된 평면들 사이에 위치되고, 그리고
    상기 제 5 부분은, 상기 상단 표면에 대해 예각이며, 상기 링 구조체의 원주 주위로 연장하고, 상기 링 구조체의 중앙으로부터 거리가 증가하면서 상기 제 5 부분과 상기 상단 표면 사이의 상기 캐리어 링의 두께가 증가하도록 경사지고,
    상기 제 4 부분은:
    상기 제 3 부분과 연결되고 상기 제 2 부분에 대하여 적어도 부분적으로 상기 기판의 상기 하단 표면과 대향하는 내측 부분; 및
    상기 내측 부분으로부터 연장하고, 상기 상단 표면과 대향하며, 상기 제 5 부분과 연결되는 외측 부분을 포함하는, 캐리어 링.
  2. 제 1 항에 있어서,
    상기 제 4 부분은 상기 상단 표면에 평행한, 캐리어 링.
  3. 제 1 항에 있어서,
    상기 상단 표면은 상기 기판의 두께와 동일한 거리만큼 상기 제 2 부분으로부터 오프셋되는, 캐리어 링.
  4. 제 1 항에 있어서,
    상기 예각은 30 °인, 캐리어 링.
  5. 제 1 항에 있어서,
    상기 상단 표면은 평면형 (planar) 인, 캐리어 링.
  6. 제 1 항에 있어서,
    상기 캐리어 링의 내부 에지 둘레에 이격된 복수의 탭들을 더 포함하는, 캐리어 링.
  7. 제 6 항에 있어서,
    6 개의 탭들이 있는, 캐리어 링.
  8. 제 6 항에 있어서,
    상기 탭들은 상기 기판을 지지하도록 구성되는 기판 지지 표면들을 갖는, 캐리어 링.
  9. 제 8 항에 있어서,
    상기 기판 지지 표면들은 상기 제 2 부분과 상기 상단 표면 사이에 개재되는, 캐리어 링.
  10. 제 9 항에 있어서,
    상기 제 2 부분은 상기 기판의 두께만큼 상기 상단 표면으로부터 오프셋되는, 캐리어 링.
  11. 제 10 항에 있어서,
    상기 제 5 부분과 연결되는 제 6 부분을 더 포함하는, 캐리어 링.
  12. 제 11 항에 있어서,
    상기 제 6 부분은 상기 상단 표면과 평행하고, 그리고 상기 제 6 부분은 상기 제 5 부분의 하단 단부로부터 연장하는, 캐리어 링.
  13. 제 1 항에 있어서,
    상기 제 5 부분과 연결되는 제 6 부분을 더 포함하고,
    상기 제 5 부분과 상기 제 6 부분 사이의 연결부는 라운딩되는, 캐리어 링.
  14. 제 1 항에 있어서,
    상기 상단 표면과 상기 제 1 부분 사이의 연결부는 라운딩되는, 캐리어 링.
  15. 제 1 항에 있어서,
    상기 제 3 부분과 상기 제 4 부분 사이의 연결부는 라운딩되는, 캐리어 링.
  16. 제 1 항에 있어서,
    상기 제 4 부분과 상기 제 5 부분 사이의 연결부는 라운딩되는, 캐리어 링.
  17. 제 1 항에 있어서,
    상기 제 1 부분은 상기 기판의 직경보다 큰 직경을 규정하는, 캐리어 링.
  18. 제 17 항에 있어서,
    상기 제 3 부분은 상기 기판의 직경보다 작은 직경을 규정하는, 캐리어 링.
  19. 제 1 항에 있어서,
    상기 링 구조체는 상기 제 3 부분에 의해 규정되는 상기 내경에 의해 형성되는 홀을 포함하고, 상기 홀은 상기 기판의 상기 하단 표면을 노출하도록 구성되는, 캐리어 링.
  20. 제 1 항에 있어서,
    상기 링 구조체의 상기 단면 프로파일은 프로세스 가스들의 플로우, 전면 퍼지 가스의 플로우, 및 플라즈마 효과들을 제어하여, 상기 기판의 전면 및 베벨 에지에서의 증착을 감소시키거나 제거하는, 캐리어 링.
KR1020237025656A 2020-02-11 2021-01-28 웨이퍼 베벨/에지 상의 증착을 제어하기 위한 캐리어 링 설계들 KR20230117632A (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US202062975146P 2020-02-11 2020-02-11
US62/975,146 2020-02-11
PCT/US2021/015487 WO2021162865A1 (en) 2020-02-11 2021-01-28 Carrier ring designs for controlling deposition on wafer bevel/edge
KR1020227001497A KR20220010074A (ko) 2020-02-11 2021-01-28 웨이퍼 베벨/에지 상의 증착을 제어하기 위한 캐리어 링 설계들

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020227001497A Division KR20220010074A (ko) 2020-02-11 2021-01-28 웨이퍼 베벨/에지 상의 증착을 제어하기 위한 캐리어 링 설계들

Publications (1)

Publication Number Publication Date
KR20230117632A true KR20230117632A (ko) 2023-08-08

Family

ID=77292644

Family Applications (3)

Application Number Title Priority Date Filing Date
KR1020237025656A KR20230117632A (ko) 2020-02-11 2021-01-28 웨이퍼 베벨/에지 상의 증착을 제어하기 위한 캐리어 링 설계들
KR1020227001497A KR20220010074A (ko) 2020-02-11 2021-01-28 웨이퍼 베벨/에지 상의 증착을 제어하기 위한 캐리어 링 설계들
KR1020227001591A KR20220012999A (ko) 2020-02-11 2021-01-28 웨이퍼 베벨/에지 상의 증착을 제어하기 위한 캐리어 링 설계들

Family Applications After (2)

Application Number Title Priority Date Filing Date
KR1020227001497A KR20220010074A (ko) 2020-02-11 2021-01-28 웨이퍼 베벨/에지 상의 증착을 제어하기 위한 캐리어 링 설계들
KR1020227001591A KR20220012999A (ko) 2020-02-11 2021-01-28 웨이퍼 베벨/에지 상의 증착을 제어하기 위한 캐리어 링 설계들

Country Status (6)

Country Link
US (3) US11837495B2 (ko)
JP (1) JP2023513154A (ko)
KR (3) KR20230117632A (ko)
CN (2) CN116288281A (ko)
TW (1) TW202145435A (ko)
WO (1) WO2021162865A1 (ko)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN116288281A (zh) * 2020-02-11 2023-06-23 朗姆研究公司 用于控制晶片晶边/边缘上的沉积的承载环设计
WO2023220210A1 (en) * 2022-05-13 2023-11-16 Lam Research Corporation Carrier ring with tabs
WO2024091385A1 (en) * 2022-10-28 2024-05-02 Applied Materials, Inc. Gas flow improvement for process chamber

Family Cites Families (60)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5848889A (en) * 1996-07-24 1998-12-15 Applied Materials Inc. Semiconductor wafer support with graded thermal mass
US6280183B1 (en) * 1998-04-01 2001-08-28 Applied Materials, Inc. Substrate support for a thermal processing chamber
US6048403A (en) * 1998-04-01 2000-04-11 Applied Materials, Inc. Multi-ledge substrate support for a thermal processing chamber
US6040011A (en) 1998-06-24 2000-03-21 Applied Materials, Inc. Substrate support member with a purge gas channel and pumping system
US6096135A (en) 1998-07-21 2000-08-01 Applied Materials, Inc. Method and apparatus for reducing contamination of a substrate in a substrate processing system
JP4676074B2 (ja) 2001-02-15 2011-04-27 東京エレクトロン株式会社 フォーカスリング及びプラズマ処理装置
KR20040094240A (ko) 2003-05-02 2004-11-09 삼성전자주식회사 개선된 포커스 링이 구비된 반도체 제조설비
US7244665B2 (en) 2004-04-29 2007-07-17 Micron Technology, Inc. Wafer edge ring structures and methods of formation
KR20060036846A (ko) 2004-10-26 2006-05-02 삼성전자주식회사 웨이퍼 휨 방지 장치
KR100775960B1 (ko) 2005-09-15 2007-11-16 삼성전자주식회사 마스크를 갖는 플라즈마 화학 기상 증착 막 형성 장치
US8635971B2 (en) 2006-03-31 2014-01-28 Lam Research Corporation Tunable uniformity in a plasma processing system
US20080289766A1 (en) 2007-05-22 2008-11-27 Samsung Austin Semiconductor Lp Hot edge ring apparatus and method for increased etch rate uniformity and reduced polymer buildup
CN201075384Y (zh) 2007-08-15 2008-06-18 陈汉阳 热处理用晶圆支持器
JP5699425B2 (ja) 2008-08-05 2015-04-08 東京エレクトロン株式会社 載置台構造及び成膜装置
JP2010045200A (ja) * 2008-08-13 2010-02-25 Tokyo Electron Ltd フォーカスリング、プラズマ処理装置及びプラズマ処理方法
JP2011035026A (ja) 2009-07-30 2011-02-17 Seiko Epson Corp ドライエッチング装置、半導体装置の製造方法、制御リング
WO2011082020A2 (en) 2009-12-31 2011-07-07 Applied Materials, Inc. Shadow ring for modifying wafer edge and bevel deposition
US8646767B2 (en) 2010-07-23 2014-02-11 Lam Research Ag Device for holding wafer shaped articles
US8744250B2 (en) * 2011-02-23 2014-06-03 Applied Materials, Inc. Edge ring for a thermal processing chamber
JP6051919B2 (ja) 2012-04-11 2016-12-27 東京エレクトロン株式会社 液処理装置
KR20130006691U (ko) 2012-05-11 2013-11-20 노벨러스 시스템즈, 인코포레이티드 개선된 moer
US8865602B2 (en) 2012-09-28 2014-10-21 Applied Materials, Inc. Edge ring lip
KR101923050B1 (ko) * 2012-10-24 2018-11-29 어플라이드 머티어리얼스, 인코포레이티드 급속 열 처리를 위한 최소 접촉 에지 링
JP6056403B2 (ja) 2012-11-15 2017-01-11 東京エレクトロン株式会社 成膜装置
US9997381B2 (en) 2013-02-18 2018-06-12 Lam Research Corporation Hybrid edge ring for plasma wafer processing
US20140273460A1 (en) * 2013-03-13 2014-09-18 Applied Materials, Inc. Passive control for through silicon via tilt in icp chamber
US9449797B2 (en) * 2013-05-07 2016-09-20 Lam Research Corporation Component of a plasma processing apparatus having a protective in situ formed layer on a plasma exposed surface
US20150001180A1 (en) 2013-06-28 2015-01-01 Applied Materials, Inc. Process kit for edge critical dimension uniformity control
US10211046B2 (en) 2013-07-19 2019-02-19 Applied Materials, Inc. Substrate support ring for more uniform layer thickness
US9236284B2 (en) 2014-01-31 2016-01-12 Applied Materials, Inc. Cooled tape frame lift and low contact shadow ring for plasma heat isolation
SG10201810390TA (en) * 2014-05-21 2018-12-28 Applied Materials Inc Thermal processing susceptor
KR101653644B1 (ko) * 2014-06-02 2016-09-02 (주)티티에스 웨이퍼 증착 디스크와 그의 이송 자동화 시스템
US20160002778A1 (en) 2014-07-03 2016-01-07 Applied Materials, Inc. Substrate support with more uniform edge purge
US10242848B2 (en) 2014-12-12 2019-03-26 Lam Research Corporation Carrier ring structure and chamber systems including the same
US10648079B2 (en) * 2014-12-19 2020-05-12 Lam Research Corporation Reducing backside deposition at wafer edge
US20160289827A1 (en) 2015-03-31 2016-10-06 Lam Research Corporation Plasma processing systems and structures having sloped confinement rings
US9738975B2 (en) 2015-05-12 2017-08-22 Lam Research Corporation Substrate pedestal module including backside gas delivery tube and method of making
US20170002465A1 (en) 2015-06-30 2017-01-05 Lam Research Corporation Separation of Plasma Suppression and Wafer Edge to Improve Edge Film Thickness Uniformity
US10475627B2 (en) 2016-03-25 2019-11-12 Lam Research Corporation Carrier ring wall for reduction of back-diffusion of reactive species and suppression of local parasitic plasma ignition
KR102641441B1 (ko) 2016-09-28 2024-02-29 삼성전자주식회사 링 어셈블리 및 이를 포함하는 척 어셈블리
US10622243B2 (en) * 2016-10-28 2020-04-14 Lam Research Corporation Planar substrate edge contact with open volume equalization pathways and side containment
US20180138074A1 (en) 2016-11-11 2018-05-17 Samsung Electronics Co., Ltd. Carrier ring and chemical vapor deposition apparatus including the same
US20180334746A1 (en) 2017-05-22 2018-11-22 Lam Research Corporation Wafer Edge Contact Hardware and Methods to Eliminate Deposition at Wafer Backside Edge and Notch
US10851457B2 (en) 2017-08-31 2020-12-01 Lam Research Corporation PECVD deposition system for deposition on selective side of the substrate
KR102037168B1 (ko) 2017-11-06 2019-10-28 세메스 주식회사 기판 처리 장치 및 기판 지지 유닛
KR102404061B1 (ko) 2017-11-16 2022-05-31 삼성전자주식회사 상부 샤워 헤드 및 하부 샤워 헤드를 포함하는 증착 장치
KR102538177B1 (ko) 2017-11-16 2023-05-31 삼성전자주식회사 상부 샤워 헤드 및 하부 샤워 헤드를 포함하는 증착 장치
KR102465538B1 (ko) * 2018-01-04 2022-11-11 삼성전자주식회사 기판 지지 유닛 및 이를 포함하는 증착 장치
US20210375591A1 (en) 2018-04-20 2021-12-02 Lam Research Corporation Edge exclusion control
US20200202291A1 (en) 2018-12-20 2020-06-25 Konica Minolta Laboratory U.S.A., Inc. Biometric authentication in product distribution
US11961756B2 (en) * 2019-01-17 2024-04-16 Asm Ip Holding B.V. Vented susceptor
CN111509095B (zh) * 2019-01-31 2022-01-04 财团法人工业技术研究院 复合式基板及其制造方法
US20200248307A1 (en) 2019-02-05 2020-08-06 Veeco Instruments, Inc. Rotating Disk Reactor with Self-Locking Carrier-to-Support Interface for Chemical Vapor Deposition
US11572618B2 (en) 2019-08-27 2023-02-07 Applied Materials, Inc. Method and chamber for backside physical vapor deposition
KR20220129045A (ko) 2020-01-17 2022-09-22 램 리써치 코포레이션 웨이퍼 에지 가스를 배기하기 위한 플로우 경로들을 갖는 배제 링
CN116288281A (zh) 2020-02-11 2023-06-23 朗姆研究公司 用于控制晶片晶边/边缘上的沉积的承载环设计
WO2021179000A1 (en) 2020-03-06 2021-09-10 Lam Research Corporation Ring structure with compliant centering fingers
KR20220104300A (ko) 2020-06-25 2022-07-26 램 리써치 코포레이션 방사상으로 가변된 플라즈마 임피던스를 갖는 캐리어 링들
JP2022125017A (ja) 2021-02-16 2022-08-26 エーエスエム・アイピー・ホールディング・ベー・フェー 流れ制御リングを有する基板処理装置、および基板処理方法
TW202243106A (zh) 2021-03-11 2022-11-01 荷蘭商Asm Ip私人控股有限公司 基板處理設備、及基板處理方法

Also Published As

Publication number Publication date
US20220108912A1 (en) 2022-04-07
US11837495B2 (en) 2023-12-05
US11830759B2 (en) 2023-11-28
CN115087758A (zh) 2022-09-20
CN116288281A (zh) 2023-06-23
US20220115261A1 (en) 2022-04-14
KR20220012999A (ko) 2022-02-04
TW202145435A (zh) 2021-12-01
KR20220010074A (ko) 2022-01-25
JP2023513154A (ja) 2023-03-30
WO2021162865A1 (en) 2021-08-19
US20240055293A1 (en) 2024-02-15

Similar Documents

Publication Publication Date Title
KR102525777B1 (ko) 기생 플라즈마를 억제하고 웨이퍼-내 불균일성을 감소시키기 위한 시스템들 및 방법들
US11443975B2 (en) Planar substrate edge contact with open volume equalization pathways and side containment
US20160211165A1 (en) Moveable edge coupling ring for edge process control during semiconductor wafer processing
US11008655B2 (en) Components such as edge rings including chemical vapor deposition (CVD) diamond coating with high purity SP3 bonds for plasma processing systems
US20190244793A1 (en) Tapered upper electrode for uniformity control in plasma processing
KR20230117632A (ko) 웨이퍼 베벨/에지 상의 증착을 제어하기 위한 캐리어 링 설계들
US10741425B2 (en) Helium plug design to reduce arcing
US20230128551A1 (en) Edge ring for localized delivery of tuning gas
US20230167552A1 (en) Showerhead designs for controlling deposition on wafer bevel/edge
US20230009859A1 (en) Asymmetric purged block beneath wafer plane to manage non-uniformity
US20230091524A1 (en) Multizone gas distribution plate for trench profile optimization
US20220162749A1 (en) Pedestals for modulating film properties in atomic layer deposition (ald) substrate processing chambers
US20230087913A1 (en) Coolant channel with internal fins for substrate processing pedestals
US20220235459A1 (en) Reduced diameter carrier ring hardware for substrate processing systems
WO2024076478A1 (en) Showerhead gas inlet mixer
WO2024076480A1 (en) Annular pumping for chamber
WO2024076477A1 (en) Showerhead for diffusion bonded, multi-zone gas dispersion
KR20230029926A (ko) 알루미늄 플루오라이드 플라즈마 노출된 표면을 가진 리모트 플라즈마 소스 샤워헤드 어셈블리

Legal Events

Date Code Title Description
A107 Divisional application of patent
E902 Notification of reason for refusal