CN115087758A - 用于控制晶片晶边/边缘上的沉积的承载环设计 - Google Patents

用于控制晶片晶边/边缘上的沉积的承载环设计 Download PDF

Info

Publication number
CN115087758A
CN115087758A CN202180014110.1A CN202180014110A CN115087758A CN 115087758 A CN115087758 A CN 115087758A CN 202180014110 A CN202180014110 A CN 202180014110A CN 115087758 A CN115087758 A CN 115087758A
Authority
CN
China
Prior art keywords
ring structure
ring
top surface
carrier ring
wafer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202180014110.1A
Other languages
English (en)
Inventor
迈克尔·J·雅尼基
布莱恩·约瑟夫·威廉姆斯
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Priority to CN202310267317.0A priority Critical patent/CN116288281A/zh
Publication of CN115087758A publication Critical patent/CN115087758A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68721Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge clamping, e.g. clamping ring
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4585Devices at or outside the perimeter of the substrate support, e.g. clamping rings, shrouds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • H01J37/32642Focus rings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32871Means for trapping or directing unwanted particles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68735Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge profile or support profile
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68785Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the mechanical construction of the susceptor, stage or support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating

Abstract

提供了各种承载环设计和配置,以控制位于晶片正面和晶边边缘处的沉积量。虽然沉积是在晶片背面进行,而在晶片正面不期望沉积,但该承载环设计可控制在晶片的诸多位置处的沉积量。这些位置包含晶边的正面、边缘和背面以及晶片的正面和背面。承载环的边缘轮廓被设计以控制处理气体流、正面清扫气体流、以及等离子体效应。在一些设计中,添加通孔至承载环以控制气流。边缘轮廓以及添加的特征可以降低或消除在晶片正面以及晶边边缘处的沉积。

Description

用于控制晶片晶边/边缘上的沉积的承载环设计
相关申请的交叉引用
本申请要求于2020年2月11日申请的美国临时申请No.62/975,146的优先权。上述引用的申请其全部公开内容都通过引用合并于此。
技术领域
本公开内容总体上涉及衬底处理系统,尤其是涉及用于控制晶片晶边(bevel)/边缘上的沉积的承载环设计。
背景技术
这里提供的背景描述是为了总体呈现本公开的背景的目的。当前指定的发明人的工作在其在此背景技术部分以及在提交申请时不能确定为现有技术的说明书的各方面中描述的范围内既不明确也不暗示地承认是针对本公开的现有技术。
衬底处理系统典型地包含多个处理室(也称为处理模块),以执行对例如半导体晶片之类的衬底的沉积、蚀刻和其他处理。可以在衬底上执行的处理的示例包含但不限于等离子体增强化学气相沉积(PECVD)处理、化学增强等离子体气相沉积(CEPVD)处理以及溅射物理气相沉积(PVD)处理。可以在衬底上执行的处理的其他例示包含但不限于蚀刻(例如化学蚀刻、等离子体蚀刻、反应性离子蚀刻等)和清洁处理。
在处理期间,将衬底布置在衬底处理系统的处理室中的例如基座之类的衬底支撑件上。在沉积期间,将包含一或多种前体的气体混合物引入处理室,并激励等离子体以激活化学反应。计算机控制的机械手典型上按照要进行处理的衬底的顺序而将衬底从一处理室转移到另一处理室。
发明内容
一种在处理室中环绕半导体衬底的环状结构,其包含具有内径的环状结构的内部以及具有外径的环状结构的外部。所述内部包含从环状结构的顶表面下伸(descend)的第一部分、从第一部分的底端水平地朝向半导体衬底延伸的第二部分、从第二部分的远端竖直下伸的第三部分、从第三部分的底端水平地朝向所述外径延伸的第四部分、从第四部分的远端以相对于第二部分呈锐角角度而朝向所述外径下伸的第五部分、以及从第五部分的底端水平地朝向所述外部延伸的第六部分。
在其他特征中,环状结构的顶表面与半导体衬底的顶表面共平面,且第一部分从环状结构的顶表面竖直下伸的距离大约为半导体衬底的厚度。
在另一特征中,第一部分与半导体衬底的外缘间隔预定距离。
在另一特征中,第二部分的远端在半导体衬底的外缘下方延伸。
在另一特征中,第三部分的第一端在第二部分的远端处形成直角。
在另一特征中,环状结构还包含从环状结构的顶表面延伸穿过环状结构的第四部分的多个通孔。
在另一特征中,通孔具有预定直径且布置在距环状结构的第一部分预定径向距离处。
在另一特征中,通孔以非90度的角度从环状结构的顶表面下伸到环状结构的第四部分。
在另一特征中,通孔从环状结构的顶表面竖直下伸到环状结构的第四部分。
在另一特征中,通孔以相对于从环状结构的顶表面竖直下伸的第一部分呈45度角而从环状结构的顶表面下伸到环状结构的第四部分。
在另一特征中,环状结构还包含多个突片以支撑半导体衬底。
在还有的其他特征中,在处理室中环绕半导体衬底的环状结构包含具有内径的环状结构的内部以及具有外径的环状结构的外部。所述内部包含从环状结构的顶表面下伸的第一部分、从第一部分的底端水平地朝向半导体衬底延伸的第二部分、从第二部分的远端竖直下伸的第三部分、从第三部分的底端以相对于第二部分呈第一锐角而朝向外部下伸的第四部分、从第四部分的底端水平朝向所述外径而延伸的第五部分、从第五部分的远端以相对于第二部分呈第二锐角而朝向外径下伸的第六部分、以及从第六部分的底端水平地朝向所述外部延伸的第七部分。
在其他特征中,环状结构的顶表面与半导体衬底的顶表面共平面,且第一部分从环状结构的顶表面竖直下伸的距离大约为半导体衬底的厚度。
在另一特征中,第一部分与半导体衬底的外缘间隔预定距离。
在另一特征中,第二部分的远端在半导体衬底的外缘下方延伸。
在另一特征中,第三部分的第一端在第二部分的远端处形成直角。
在另一特征中,第四部分相对于第二部分以30度角下伸。
在另一特征中,环状结构还包含从环状结构的顶表面延伸穿过环状结构的第五部分的多个通孔。
在另一特征中,通孔具有预定直径,且被布置在与环状结构的第一部分相距预定径向距离处。
在另一特征中,通孔从环状结构的顶表面以非90度的角度下伸至环状结构的第五部分。
在另一特征中,通孔从环状结构的顶表面下伸至环状结构的第四部分。
在另一特征中,通孔以相对于从环状结构的顶表面竖直下伸的第一部分成45度角从环状结构的顶表面下伸到环状结构的第五部分。
在另一特征中,环状结构还包含多个突片以支撑半导体衬底。
在其他特征中,在处理室中环绕半导体衬底的环状结构包含具有内径的环状结构的内部以及具有外径的环状结构的外部。所述内部包含从环状结构的顶表面下伸的第一部分、初始向上而随后从第一部分的底端朝向半导体衬底水平向外延伸的第二部分、从第二部分的远端竖直下伸的第三部分、从第三部分的底端以相对于环状结构的顶表面呈第一锐角朝向所述外部而下伸的第四部分、从第四部分的底端朝向所述外径水平延伸的第五部分、从第五部分的远端以相对于环状结构的顶表面呈第二锐角朝向所述外径而下伸的第六部分、以及从第六部分的底端朝向所述外部水平延伸的第七部分。
在其他特征中,环状结构的顶表面与半导体衬底的顶表面共平面,且第一部分从环状结构的顶表面竖直下伸的距离大于半导体衬底的厚度。
在另一特征中,第一部分与半导体衬底的外缘间隔预定距离。
在其他特征中,第二部分的水平部分与半导体衬底的底表面竖直间隔第一预定距离,且所述第三部分与半导体衬底的外缘水平间隔第二预定距离。
在另一特征中,环状结构还包含从环状结构的顶表面延伸穿过环状结构的第五部分的多个通孔。
在另一特征中,通孔具有预定直径,且被布置在与环状结构的第一部分相距预定径向距离处。
在另一特征中,通孔从环状结构的顶表面以非90度的角度下伸至环状结构的第五部分。
在另一特征中,通孔从环状结构的顶表面竖直下伸至环状结构的第四部分。
在另一特征中,通孔以相对于从环状结构的顶表面竖直下伸的第一部分成45度角从环状结构的顶表面下伸到环状结构的第五部分。
在另一特征中,环状结构还包含多个突片以支撑半导体衬底。
在又一特征中,一种在处理室中环绕半导体衬底的环状结构包含具有内径的环状结构的内部以及具有外径的环状结构的外部。所述内部包含从环状结构的顶表面下伸的第一部分、从第一部分的底端朝向环状结构的外径水平延伸的第二部分、从第二部分的远端以相对于第二部分的锐角角度朝向所述外径而下伸的第三部分、以及从第三部分的底端朝向所述外部水平延伸的第四部分。
在其他特征中,环状结构的顶表面与半导体衬底的顶表面共平面,且第一部分从环状结构的顶表面竖直下伸的距离大约为半导体衬底的厚度。
在另一特征中,第一部分与半导体衬底的外缘间隔预定距离。
在另一特征中,环状结构还包含从环状结构的顶表面延伸穿过环状结构的第二部分的多个通孔。
在另一特征中,通孔具有预定直径,且被布置在与环状结构的第一部分相距预定径向距离处。
在另一特征中,比起环状结构的第一部分,通孔更靠近第二部分的远端。
在另一特征中,通孔从环状结构的顶表面竖直下伸到环状结构的第二部分。
在另一特征中,环状结构还包含多个突片以支撑所述半导体衬底。
根据详细描述、权利要求和附图,本公开内容的适用性的进一步的范围将变得显而易见。详细描述和具体示例仅用于说明的目的,并非意在限制本公开的范围。
附图说明
根据详细描述和附图将更充分地理解本公开,其中:
图1显示了包含处理室的衬底处理系统的示例;
图2显示了半导体晶片的一部分,其指出不希望有沉积的区域并指出本公开内容的承载环防止沉积或使其最小化的区域;
图3A-3D显示了根据本公开内容的具有且不具有通孔的承载环的示例;
图4A-4C显示了根据本公开内容的具有和不具有通孔的第一承载环设计的示例;
图5A-5C显示了根据本公开内容的具有和不具有通孔的第二承载环设计的示例;
图6A-6C显示了根据本公开内容的具有和不具有通孔的第三承载环设计的示例;以及
图7A和7B显示了根据本公开内容的具有和不具有通孔的第四承载环设计的示例。
在附图中,可以重复使用附图标记来标识相似和/或相同的元件。
具体实施方式
在沉积处理期间,如果仅沉积在半导体晶片(以下称为“晶片”)的底表面上的材料有时也会沉积在晶片的正面、边缘和晶边。当前的承载环设计使得在晶片的正面和晶边上有不可接受的沉积量。在一些承载环设计中,晶片背面(即底面或与顶表面相反的表面)上的沉积物在承载环过渡处以均匀的方式耗尽。
本公开内容提供了多种承载环设计和配置,以控制在晶片的正面和晶边边缘处的沉积量。这种承载环设计能够控制在晶片所有三个位置处的沉积量:晶边正面、晶边边缘和晶边背面、以及晶片的正面和背面(不期望在正面上沉积)。具体而言,承载环边缘轮廓被设计用于控制处理气体流、正面清扫气体流、以及等离子体效应。在一些设计中,添加通孔到承载环以控制气流。边缘轮廓以及添加的特征可减少或消除在晶片正面和晶边边缘的沉积。现在将在下面详细描述根据本公开内容的承载环设计和构造的这些和其他特征。
本公开内容组织如下。首先,参考图1显示了并描述了处理室的示例。之后,参考图2显示并描述了本公开内容的承载环设计所解决的问题。随后,参照图3A-7B显示并描述了根据本公开内容的承载环的诸多设计和结构细节。
图1显示了包含处理室12的衬底处理系统10的示例,处理室12包围了衬底处理系统10的其他部件并且包含RF等离子体(如果使用的话)。处理室12包含喷头14和衬底支撑组件16。衬底18被布置在衬底支撑组件16上。如下所解释的,本发明的承载环系与衬底支撑组件16一起使用且包含多个在处理期间支撑衬底18的突片。处理气体和汽化的化学物质通过衬底支撑组件16而引入,以用于在衬底18的背(底)面沉积材料。喷头14被引入清扫气体以防止沉积在衬底18的正(顶)面上。
如果使用等离子体,则离子体可以是直接等离子体或远程等离子体。在该示例中,RF产生系统30产生并输出RF电压到喷头14。衬底支撑组件16是接地的(另一个是DC接地、AC接地或浮动的)。仅举例而言,RF产生系统30可包含RF电压产生器32,其产生由匹配网络34馈送至喷头14的RF电压。替代地,等离子体可由远程等离子体源(未显示)输送。
气体输送系统40包含一或多个气体源42-1....42-(N-l)、和42-N(统称为气体源42),其中N是正整数。气体源42供应一或多种处理气体、前体气体、清洗气体、清扫气体等至处理室12。气体源42由阀44-1…、44-(N-l)、和44-N(统称为阀44)和质量流量控制器46-1……46-(N-l)、和46-N(统称为质量流量控制器(MFC)46)而连接至歧管48。歧管48输出的气体被馈送到衬底支撑组件16。也可使用汽化的前体。对于某些汽化的前体,并不使用MFC。气体输送系统40输送清扫气体至喷头14。
加热器控制器50可以连接到布置在衬底支撑组件16和喷头14中的加热器元件(未显示)。加热器控制器50可以用于控制喷头14、衬底支撑组件16以及衬底18的温度。阀60和泵62可用于从处理室12中排出反应物。控制器70可以用来控制衬底处理系统10的部件。仅举例而言,控制器70可用于控制处理气体和清扫气体的流动,监控例如温度、压力、功率等的处理参数、激励和熄灭等离子体、移除反应物等。
图2显示了具有晶边边缘的晶片的一部分。如所指出的,在晶片的正面和晶边边缘上的沉积是不需要的。根据本公开内容的承载环设计可以防止或最小化在晶片的正面和晶边边缘上(尤其是在水平虚线上方的晶片晶边边缘的部分上)的沉积。
以下是在处理室中环绕晶片布置的承载环的多种设计。仅针对第一承载环设计显示了完整环的视图。省略了第二、第三和第四承载环设计的完整环的视图。然而,显示和描述所有四种承载环设计的几何和结构细节。
图3A-3D显示了根据本公开内容的第一种设计的承载环的示例。图3A和3B显示了根据第一种设计的没有通孔的承载环200。图3A显示了根据第一种设计的没有通孔的承载环200的俯视图。图3B显示了根据第一种设计的没有通孔的承载环200的仰视图。
如图所示,根据第一种设计(以及下文描述的具有和不具有通孔的所有其他承载环设计)的承载环200是环状的,具有内径(ID)和外径(OD)。内径(ID)通常环绕处理室中的晶片(图4A-7B中所示的元件202)。根据第一种设计的承载环200(以及下文描述的具有和不具有通孔的所有其他承载环设计)包含多个突片201(例如在示例中显示六个突片)以在处理期间支撑晶片202。承载环200的顶表面大致与晶片202的顶表面共平面。下面参考附图4A-4C详细显示和描述由虚线椭圆表示的承载环200的内缘的几何形状和结构轮廓或形状。在这四种承载环设计中,每一种的内缘的几何形状和结构轮廓或形状(即表面轮廓的设计)是不同的,如下面参照图4A-7B所详细描述。
图3C和3D显示了根据第一种设计的具有通孔的承载环250的示例。承载环200和250的唯一区别在于承载环200没有通孔,而承载环250具有通孔。承载环200和250在所有其他方面都相同。图3C显示了根据第一种设计的具有通孔的承载环250的俯视图。图3D显示了根据第一种设计的具有通孔的承载环250的仰视图。在下面参考图4A-4C详细显示和描述了由虚线椭圆表示的承载环250的内缘的几何形状和结构轮廓或形状。如下文详细描述的,对于这四种承载环设计中的每一种,其通孔的几何形状和布置都不同。
图4A-4C详细显示了根据本公开内容的承载环200和250的第一种设计(即表面轮廓的第一种设计)。承载环200和250为环状或具有环状结构以环绕处理室中的半导体衬底(例如晶片202)。图4A显示了没有通孔的承载环200。图4B显示了具有通孔的承载环250。图4C显示了承载环200和承载环250的内部的相同的结构细节。下面将参考承载环250来描述承载环200和250的结构细节。应当理解,除了通孔之外,承载环250的描述与承载环200相同。
承载环250包含具有内径(ID)的内部和具有外径(OD)的外部。承载环250的内部包含从承载环250的顶表面254下伸的第一部分252。承载环250的第二部分256从承载环250的第一部分252的底端水平地(即平行于承载环250的顶表面254)朝向晶片202延伸。承载环250的第三部分258从承载环250的第二部分256的远端竖直(即垂直于承载环250的顶表面254)下伸。承载环250的第四部分260从承载环250的第三部分258的底端水平地(即平行于承载环250的顶表面254)朝向承载环250的外径(OD)延伸。
承载环250的第五部分262从承载环250的第四部分260的远端以相对于承载环250的第二部分256和承载环250的顶表面254呈锐角而朝向承载环250的外径(OD)或该外部下伸。承载环250的第六部分264从承载环250的第五部分262的底端水平地(即平行于承载环250的顶表面254)朝向承载环250的外部或外径(OD)延伸。
承载环250的顶表面254与晶片202的顶表面共平面。承载环250的第一部分252从承载环250的顶表面254竖直地(即垂直地)下伸大约等于晶片202的厚度的距离。承载环250的第一部分252与晶片202的外缘(例如OD)间隔预定距离。承载环250的第二部分256的远端在晶片202的外缘下方延伸。承载环250的第三部分258的第一端在承载环250的第二部分256的远端形成直角(即尖锐拐角)。
承载环250(但不是承载环200)包含从承载环250的顶表面254延伸穿过承载环250的第四部分260的多个通孔270。承载环250的通孔270具有预定直径且布置在距承载环250的第一部分252预定径向距离处。承载环250的通孔270以非90度的角度从承载环250的顶表面254下伸到承载环250的第四部分260。例如,承载环250的通孔270从承载环250的顶表面254以相对于从承载环250的顶表面254竖直地(即垂直)下伸的第一部分252呈45度角而下伸到承载环250的第四部分260。换言之,承载环250的通孔270从承载环250的顶表面254以相对于承载环250的顶表面254呈45度的角度下伸到承载环250的第四部分260。
图5A-5C详细显示了根据本公开内容的承载环300和350的第二种设计(即表面轮廓的第二种设计)。承载环300和350为环形或具有环状结构以环绕处理室中的半导体衬底(例如晶片202)。图5A显示了没有通孔的承载环300。图5B显示了具有通孔的承载环350。图5C显示了承载环300和350的内部的相同的结构细节。承载环300和350的结构细节将在下面参照承载环350来进行说明。应当理解,除通孔外,承载环350的描述与承载环300相同。
承载环350包含具有内径(ID)的内部和具有外径(OD)的外部。承载环350的内部包含从承载环350的顶表面354下伸的第一部分352。承载环350的第二部分356从第一部分352的底端水平地(即平行于承载环350的顶表面354)朝向晶片202延伸。承载环350的第三部分358从承载环350的第二部分356的远端竖直地(即垂直于承载环350的顶表面354)下伸。承载环350的第四部分360从承载环350的第三部分358的底端以相对于承载环350的第二部分356或顶表面354成第一锐角角度而朝向承载环350的外径(OD)或其外部下伸。
承载环350的第五部分362从承载环350的第四部分360的底端朝向承载环350的外径(OD)或其外部水平地(即平行于承载环350的顶表面354)延伸。承载环350的第六部分364从承载环350的第五部分362的远端以相对于承载环350的第二部分356或顶表面354成第二锐角角度而朝向承载环350的外径(OD)或其外部下伸。承载环350的第七部分366从承载环350的第六部分364的底端朝向承载环350的外部或其外径(OD)水平地(即平行于承载环350的顶表面354)延伸。
承载环350的顶表面354与晶片202的顶表面共平面。承载环350的第一部分352从承载环350的顶表面354竖直地(即垂直地)下伸大约等于晶片202的厚度的距离。承载环350的第一部分352与晶片202的外缘(例如OD)间隔预定距离。承载环350的第二部分356的远端在晶片202的外缘下方延伸。承载环350的第三部分358的第一端在承载环350的第二部分356的远端处形成直角(即尖锐拐角)。承载环350的第四部分360以相对于承载环350的第二部分356和承载环350的顶表面354呈30度角而下伸。
承载环350(但不是承载环300)包含从承载环350的顶表面354延伸穿过承载环350的第五部分362的多个通孔370。承载环350的通孔370系具有预定直径且布置在距承载环350的第一部分352预定径向距离处。承载环350的通孔370以非90度的角度从承载环350的顶表面354下伸到承载环350的第五部分362。例如,通孔370从承载环350的顶表面354以相对于承载环350的从承载环350的顶表面354竖直地(即垂直)下伸的第一部分352呈45度角而下伸到承载环350的第五部分362。换言之,承载环350的通孔370从承载环350的顶表面354以相对于承载环350的顶表面354呈45度的角度下伸到承载环350的第五部分362。
图6A-6C详细显示了根据本公开内容的承载环400和450的第三种设计(即表面轮廓的第三种设计)。承载环400和450为环形或具有环状结构以环绕处理室中的半导体衬底(例如晶片202)。图6A显示了没有通孔的承载环400。图6B显示了具有通孔的承载环450。图6C显示了承载环400和承载环450的内部的相同的结构细节。承载环400和450的结构细节将参照下面承载环450来进行说明。应当理解,除通孔外,承载环450的描述与承载环400相同。
承载环450包含具有内径(ID)的内部和具有外径(OD)的外部。承载环450的内部包含从承载环450的顶表面454下伸的第一部分452。承载环450的第二部分456从承载环450的第一部分452的底端起,开始稍微向上、接着水平地(即平行于承载环450的顶表面454)向外朝向晶片202延伸。承载环450的第三部分458从承载环450的第二部分456的远端竖直地(即垂直于承载环450的顶表面454)下伸。承载环450的第四部分460从承载环450的第三部分458的底端以相对于承载环450的顶表面454成第一锐角角度而朝向承载环450的外部或其外径(OD)下伸。
承载环450的第五部分462从承载环450的第四部分460的底端朝向承载环450的外径(OD)或其外部水平地(即平行于承载环450的顶表面454)延伸。承载环450的第六部分464从承载环450的第五部分462的远端以相对于承载环450的顶表面454成第二锐角角度而朝向承载环450的外径(OD)或其外部下伸。承载环450的第七部分466从承载环450的第六部分464的底端朝向承载环450的外部或其外径(OD)水平地(即平行于承载环450的顶表面454)延伸。
承载环450的顶表面454与晶片202的顶表面共平面。第一部分452从承载环450的顶表面454竖直地(即垂直地)下伸大于晶片202的厚度的距离。承载环450的第一部分452与晶片202的外缘(例如OD)间隔预定距离。承载环450的第二部分456的水平部分与晶片202的底表面竖直间隔第一预定距离。承载环450的第三部分458与晶片202的外缘(例如OD)水平间隔第二预定距离。该第一和第二预定距离可以相等。
承载环450(但不是承载环400)包含从承载环450的顶表面454延伸穿过承载环450的第五部分462的多个通孔470。承载环450的通孔470具有预定直径且布置在距承载环450的第一部分452预定径向距离处。承载环450的通孔470以非90度的角度从承载环450的顶表面454下伸到承载环450的第五部分462。例如,承载环450的通孔470从承载环450的顶表面454以相对于从承载环450的顶表面454竖直地(即垂直)下伸的第一部分452呈45度角而下伸到承载环450的第五部分462。换言之,承载环450的通孔470从承载环450的顶表面454以相对于承载环450的顶表面454呈45度的角度下伸到承载环450的第五部分462。
图7A和7B详细显示了根据本公开内容的承载环500和550的第四种设计(即表面轮廓的第四种设计)。承载环500和550为环形或具有环状结构以环绕处理室中的半导体衬底(例如晶片202)。图7A显示了没有通孔的承载环500。图7B显示了具有通孔的承载环550。承载环500和550的结构细节除了承载环500没有通孔而承载环550具有通孔外都相同。因此下面将参照承载环550来说明承载环500和550的结构细节。应当理解,除了通孔外,承载环550的描述与承载环500相同。
承载环550包含具有内径(ID)的内部和具有外径(OD)的外部。承载环550的内部包含从承载环550的顶表面554下伸的第一部分552。承载环550的第二部分556从承载环550的第一部分552的底端水平地(即平行于承载环550的顶表面554)朝向承载环550的外径(OD)或其外部延伸。承载环550的第三部分558从承载环550的第二部分556的远端以相对于承载环550的第二部分556或承载环550的顶表面554成锐角角度而朝向承载环550的外径(OD)或其外部下伸。承载环550的第四部分560从承载环550的第三部分558的底端朝向承载环550的外部或其外径(OD)水平地(即平行于承载环550的顶表面554)延伸。
承载环550的顶表面554与晶片202的顶表面共平面。承载环550的第一部分552从承载环550的顶表面554竖直地(即垂直地)下伸约等于晶片202的厚度的距离。承载环550的第一部分552与晶片202的外缘(例如,OD)间隔开预定距离。
承载环550还包含从承载环550的顶表面554延伸穿过承载环550的第二部分556的多个通孔570。承载环550的通孔570具有预定直径且被布置在距承载环550的第一部分552预定径向距离处。比起到承载环550的第一部分552的距离,承载环550的通孔570较靠近承载环550的第二部分556的远端。承载环550的通孔570从承载环550的顶表面554竖直地(即垂直)下伸到承载环550的第二部分556。
以下描述对于上述承载环设计是通用的。承载环的内部的各个部分之间(例如在顶表面和第一部分之间、第一部分和第二部分之间等等)的所有接合处都是圆形或弧形的,除非两个部分之间的接合处被具体描述为尖锐拐角或形成尖锐拐角。
承载环的内径(ID)决定了晶片边缘和承载环ID之间的间隙。间隙越小,晶片正面沉积材料的浓度降低越多。然而,将承载环ID改变(即增加或减少)至超过最佳ID会对晶片正面的沉积材料浓度产生影响。例如,将ID增加到超过最佳ID会增加晶片正面和晶边/边缘的沉积。将ID进一步降低至超过最佳ID则会增加晶片正面沉积材料的浓度。
图5A-6C所示的承载环的内部的轮廓角(即某部分的锐角)可以介于15到45度之间,优选为30度。改变承载环的内部的下轮廓可以对于沉积在晶片的背面和晶边边缘上的材料浓度有一定的效果。该30度角会影响在晶片的整个背面上的沉积(即影响膜沉积的均匀性)。
通孔位于承载环的径向上。通孔之间彼此等距。通孔的总数可以是大约120个。通孔的径向位置使得通孔比起距离承载环的外径更靠近内径。将通孔移向承载环的外径只会最低限度地增加晶片正面和晶边/边缘的沉积材料浓度,而不会影响晶片背面的材料沉积。
通孔的直径可以大约是1mm或更小或更大。较小的直径可以降低晶片正面和晶边/边缘处沉积材料的浓度,而不会影响晶片背面的材料沉积。使通孔大于最佳直径会增加正面和晶边/边缘的沉积。通孔的直径对晶片晶边/边缘和背面的沉积材料浓度的影响大于通孔的径向位置。
增加通孔提供了对防止在晶片的晶边/边缘以及正面沉积的更好的控制而对晶片背面上的膜均匀性没有任何不利影响。通孔可以相对于承载环的顶表面竖直或倾斜。通孔相对于承载环的顶表面的角度可以在30度到90度(即竖直)之间。优选为45度角以最小化清扫气流的损失以及最小化晶片晶边/边缘和正面上的沉积。90度角更容易加工(即制造)。
前面的描述本质上仅仅是说明性的,绝不旨在限制本公开、其应用或用途。本公开的广泛教导可以以各种形式实现。因此,虽然本公开包括特定示例,但是本公开的真实范围不应当被如此限制,因为在研究附图、说明书和所附权利要求时,其他修改方案将变得显而易见。应当理解,在不改变本公开的原理的情况下,方法中的一个或多个步骤可以以不同的顺序(或同时地)执行。此外,虽然每个实施方案在上面被描述为具有某些特征,但是相对于本公开的任何实施方案描述的那些特征中的任何一个或多个,可以在任何其他实施方案的特征中实现和/或与任何其他实施方案的特征组合,即使该组合没有明确描述。换句话说,所描述的实施方案不是相互排斥的,并且一个或多个实施方案彼此的置换保持在本公开的范围内。
使用各种术语来描述元件之间(例如,模块之间、电路元件之间、半导体层之间等)的空间和功能关系,各种术语包括“连接”、“接合”、“耦合”、“相邻”、“紧挨”、“在...顶部”、“在...上面”、“在...下面”和“设置”。除非将第一和第二元件之间的关系明确地描述为“直接”,否则在上述公开中描述这种关系时,该关系可以是直接关系,其中在第一和第二元件之间不存在其他中间元件,但是也可以是间接关系,其中在第一和第二元件之间(在空间上或功能上)存在一个或多个中间元件。如本文所使用的,短语“A、B和C中的至少一个”应当被解释为意味着使用非排他性逻辑或(OR)的逻辑(A或B或C),并且不应被解释为表示“A中的至少一个、B中的至少一个和C中的至少一个”。
在一些实现方案中,控制器是系统的一部分,该系统可以是上述示例的一部分。这样的系统可以包括半导体处理设备,半导体处理设备包括一个或多个处理工具、一个或多个室、用于处理的一个或多个平台、和/或特定处理部件(晶片基座、气体流系统等)。这些系统可以与用于在半导体晶片或衬底的处理之前、期间和之后控制它们的操作的电子器件集成。电子器件可以被称为“控制器”,其可以控制一个或多个系统的各种部件或子部件。根据处理要求和/或系统类型,控制器可以被编程以控制本文公开的任何处理,包括处理气体的输送、温度设置(例如加热和/或冷却)、压力设置、真空设置、功率设置、射频(RF)产生器设置、RF匹配电路设置、频率设置、流率设置、流体输送设置、位置和操作设置、晶片转移进出与具体系统连接或通过接口连接的工具和其他转移工具和/或装载锁。
概括地说,控制器可以定义为电子器件,电子器件具有接收指令、发出指令、控制操作、启用清洁操作、启用端点测量等的各种集成电路、逻辑、存储器和/或软件。集成电路可以包括存储程序指令的固件形式的芯片、数字信号处理器(DSP)、定义为专用集成电路(ASIC)的芯片、和/或一个或多个微处理器、或执行程序指令(例如,软件)的微控制器。程序指令可以是以各种单独设置(或程序文件)的形式发送到控制器的指令,单独设置(或程序文件)定义用于在半导体晶片或系统上或针对半导体晶片或系统执行特定处理的操作参数。在一些实施方案中,操作参数可以是由工艺工程师定义的配方的一部分,以在一或多个(种)层、材料、金属、氧化物、硅、二氧化硅、表面、电路和/或晶片的管芯的制造期间完成一个或多个处理步骤。
在一些实现方案中,控制器可以是与系统集成、耦合到系统、以其他方式联网到系统或其组合的计算机的一部分或耦合到该计算机。例如,控制器可以在“云”中或是晶片厂(fab)主机系统的全部或一部分,其可以允许对晶片处理的远程访问。计算机可以实现对系统的远程访问以监视制造操作的当前进展、检查过去制造操作的历史、检查多个制造操作的趋势或性能标准,以改变当前处理的参数、设置处理步骤以跟随当前的处理、或者开始新的处理。在一些示例中,远程计算机(例如服务器)可以通过网络(其可以包括本地网络或因特网)向系统提供处理配方。远程计算机可以包括使得能够输入或编程参数和/或设置的用户界面,然后将该参数和/或设置从远程计算机发送到系统。在一些示例中,控制器接收数据形式的指令,其指定在一个或多个操作期间要执行的每个处理步骤的参数。应当理解,参数可以特定于要执行的处理的类型和工具的类型,控制器被配置为与该工具接口或控制该工具。因此,如上所述,控制器可以是例如通过包括联网在一起并朝着共同目的(例如本文所述的处理和控制)工作的一个或多个分立的控制器而呈分布式。用于这种目的的分布式控制器的示例是在与远程(例如在平台级或作为远程计算机的一部分)的一个或多个集成电路通信的室上的一个或多个集成电路,其组合以控制在室上的处理。
示例性系统可以包括但不限于等离子体蚀刻室或模块、沉积室或模块、旋转漂洗室或模块、金属电镀室或模块、清洁室或模块、晶边边缘蚀刻室或模块、物理气相沉积(PVD)室或模块、化学气相沉积(CVD)室或模块、原子层沉积(ALD)室或模块、原子层蚀刻(ALE)室或模块、离子注入室或模块、轨道室或模块、以及可以与半导体晶片的制造和/或制备相关联或用于半导体晶片的制造和/或制备的任何其他半导体处理系统。
如上所述,根据将由工具执行的一个或多个处理步骤,控制器可以与一个或多个其他工具电路或模块、其他工具部件、群集工具、其他工具接口、相邻工具、邻近工具、位于整个工厂中的工具、主计算机、另一控制器、或在将晶片容器往返半导体制造工厂中的工具位置和/或装载口运输的材料运输中使用的工具通信。

Claims (19)

1.一种环状结构,其在处理室中环绕半导体衬底,所述环状结构包含:
所述环状结构的内部,其具有内径;以及
所述环状结构的外部,其具有外径,
其中所述内部包含:
第一部分,其从所述环状结构的顶表面下伸;
第二部分,其从所述第一部分的底端水平地朝向所述环状结构的所述外径延伸;
第三部分,其从所述第二部分的远端以相对于所述第二部分呈锐角角度而朝向所述外径下伸;以及
第四部分,其从所述第三部分的底端水平地朝向所述外部延伸。
2.根据权利要求1所述的环状结构,其中:
所述环状结构的所述顶表面与所述半导体衬底的顶表面共平面;以及
所述第一部分从所述环状结构的所述顶表面竖直地下伸约所述半导体衬底的厚度的距离。
3.根据权利要求1所述的环状结构,其中所述第一部分与所述半导体衬底的外缘隔开预定距离。
4.根据权利要求1所述的环状结构,其还包含从所述环状结构的所述顶表面延伸穿过所述环状结构的所述第二部分的多个通孔。
5.根据权利要求4所述的环状结构,其中所述通孔具有预定直径,且被布置在与所述环状结构的所述第一部分相距预定径向距离处。
6.根据权利要求5所述的环状结构,其中相比于所述环状结构的所述第一部分,所述通孔较靠近所述第二部分的所述远端。
7.根据权利要求5所述的环状结构,其中所述通孔从所述环状结构的所述顶表面竖直地下伸至所述环状结构的所述第二部分。
8.根据权利要求1所述的环状结构,其还包含多个突片以支撑所述半导体衬底。
9.一种环状结构,其在处理室中环绕半导体衬底,所述环状结构包含:
所述环状结构的内部,其具有内径;以及
所述环状结构的外部,其具有外径,
其中所述内部包含:
第一部分,其从所述环状结构的顶表面下伸;
第二部分,其从所述第一部分的底端水平地朝向所述半导体衬底延伸;
第三部分,其从所述第二部分的远端竖直地下伸;
第四部分,其从所述第三部分的底端水平地朝向所述外径延伸;
第五部分,其从所述第四部分的远端以相对于所述第二部分呈锐角角度而朝向所述外径下伸;以及
第六部分,其从所述第五部分的底端水平地朝向所述外部延伸。
10.根据权利要求9所述的环状结构,其中:
所述环状结构的所述顶表面与所述半导体衬底的顶表面共平面;以及
所述第一部分从所述环状结构的所述顶表面竖直地下伸约所述半导体衬底的厚度的距离。
11.根据权利要求9所述的环状结构,其中所述第一部分与所述半导体衬底的外缘隔开预定距离。
12.根据权利要求9所述的环状结构,其中所述第二部分的所述远端在所述半导体衬底的外缘下方延伸。
13.根据权利要求9所述的环状结构,其中所述第三部分的第一端在所述第二部分的所述远端处形成直角。
14.根据权利要求9所述的环状结构,其还包含从所述环状结构的所述顶表面延伸穿过所述环状结构的所述第四部分的多个通孔。
15.根据权利要求14所述的环状结构,其中所述通孔具有预定直径,且被布置在与所述环状结构的所述第一部分相距预定径向距离处。
16.根据权利要求14所述的环状结构,其中所述通孔从所述环状结构的所述顶表面以非90度的角度下伸至所述环状结构的所述第四部分。
17.根据权利要求14所述的环状结构,其中所述通孔从所述环状结构的所述顶表面竖直地下伸至所述环状结构的所述第四部分。
18.根据权利要求14所述的环状结构,其中所述通孔从所述环状结构的所述顶表面以相对于所述第一部分呈45度的角度下伸至所述环状结构的所述第四部分,所述第一部分从所述环状结构的所述顶表面竖直地下伸。
19.根据权利要求9所述的环状结构,其还包含多个突片以支撑所述半导体衬底。
CN202180014110.1A 2020-02-11 2021-01-28 用于控制晶片晶边/边缘上的沉积的承载环设计 Pending CN115087758A (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN202310267317.0A CN116288281A (zh) 2020-02-11 2021-01-28 用于控制晶片晶边/边缘上的沉积的承载环设计

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US202062975146P 2020-02-11 2020-02-11
US62/975,146 2020-02-11
PCT/US2021/015487 WO2021162865A1 (en) 2020-02-11 2021-01-28 Carrier ring designs for controlling deposition on wafer bevel/edge

Related Child Applications (1)

Application Number Title Priority Date Filing Date
CN202310267317.0A Division CN116288281A (zh) 2020-02-11 2021-01-28 用于控制晶片晶边/边缘上的沉积的承载环设计

Publications (1)

Publication Number Publication Date
CN115087758A true CN115087758A (zh) 2022-09-20

Family

ID=77292644

Family Applications (2)

Application Number Title Priority Date Filing Date
CN202310267317.0A Pending CN116288281A (zh) 2020-02-11 2021-01-28 用于控制晶片晶边/边缘上的沉积的承载环设计
CN202180014110.1A Pending CN115087758A (zh) 2020-02-11 2021-01-28 用于控制晶片晶边/边缘上的沉积的承载环设计

Family Applications Before (1)

Application Number Title Priority Date Filing Date
CN202310267317.0A Pending CN116288281A (zh) 2020-02-11 2021-01-28 用于控制晶片晶边/边缘上的沉积的承载环设计

Country Status (6)

Country Link
US (3) US11830759B2 (zh)
JP (1) JP2023513154A (zh)
KR (3) KR20220010074A (zh)
CN (2) CN116288281A (zh)
TW (1) TW202145435A (zh)
WO (1) WO2021162865A1 (zh)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20220010074A (ko) 2020-02-11 2022-01-25 램 리써치 코포레이션 웨이퍼 베벨/에지 상의 증착을 제어하기 위한 캐리어 링 설계들
WO2023220210A1 (en) * 2022-05-13 2023-11-16 Lam Research Corporation Carrier ring with tabs
WO2024091385A1 (en) * 2022-10-28 2024-05-02 Applied Materials, Inc. Gas flow improvement for process chamber

Family Cites Families (60)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5848889A (en) * 1996-07-24 1998-12-15 Applied Materials Inc. Semiconductor wafer support with graded thermal mass
US6048403A (en) * 1998-04-01 2000-04-11 Applied Materials, Inc. Multi-ledge substrate support for a thermal processing chamber
US6280183B1 (en) * 1998-04-01 2001-08-28 Applied Materials, Inc. Substrate support for a thermal processing chamber
US6040011A (en) 1998-06-24 2000-03-21 Applied Materials, Inc. Substrate support member with a purge gas channel and pumping system
US6096135A (en) 1998-07-21 2000-08-01 Applied Materials, Inc. Method and apparatus for reducing contamination of a substrate in a substrate processing system
JP4676074B2 (ja) 2001-02-15 2011-04-27 東京エレクトロン株式会社 フォーカスリング及びプラズマ処理装置
KR20040094240A (ko) 2003-05-02 2004-11-09 삼성전자주식회사 개선된 포커스 링이 구비된 반도체 제조설비
US7244665B2 (en) 2004-04-29 2007-07-17 Micron Technology, Inc. Wafer edge ring structures and methods of formation
KR20060036846A (ko) 2004-10-26 2006-05-02 삼성전자주식회사 웨이퍼 휨 방지 장치
KR100775960B1 (ko) 2005-09-15 2007-11-16 삼성전자주식회사 마스크를 갖는 플라즈마 화학 기상 증착 막 형성 장치
US8635971B2 (en) 2006-03-31 2014-01-28 Lam Research Corporation Tunable uniformity in a plasma processing system
US20080289766A1 (en) 2007-05-22 2008-11-27 Samsung Austin Semiconductor Lp Hot edge ring apparatus and method for increased etch rate uniformity and reduced polymer buildup
CN201075384Y (zh) 2007-08-15 2008-06-18 陈汉阳 热处理用晶圆支持器
WO2010016499A1 (ja) 2008-08-05 2010-02-11 東京エレクトロン株式会社 載置台構造
JP2010045200A (ja) * 2008-08-13 2010-02-25 Tokyo Electron Ltd フォーカスリング、プラズマ処理装置及びプラズマ処理方法
JP2011035026A (ja) 2009-07-30 2011-02-17 Seiko Epson Corp ドライエッチング装置、半導体装置の製造方法、制御リング
WO2011082020A2 (en) 2009-12-31 2011-07-07 Applied Materials, Inc. Shadow ring for modifying wafer edge and bevel deposition
US8646767B2 (en) 2010-07-23 2014-02-11 Lam Research Ag Device for holding wafer shaped articles
US8744250B2 (en) * 2011-02-23 2014-06-03 Applied Materials, Inc. Edge ring for a thermal processing chamber
JP6051919B2 (ja) 2012-04-11 2016-12-27 東京エレクトロン株式会社 液処理装置
KR20130006691U (ko) 2012-05-11 2013-11-20 노벨러스 시스템즈, 인코포레이티드 개선된 moer
US8865602B2 (en) 2012-09-28 2014-10-21 Applied Materials, Inc. Edge ring lip
WO2014065955A1 (en) * 2012-10-24 2014-05-01 Applied Materials, Inc. Minimal contact edge ring for rapid thermal processing
JP6056403B2 (ja) 2012-11-15 2017-01-11 東京エレクトロン株式会社 成膜装置
US9997381B2 (en) 2013-02-18 2018-06-12 Lam Research Corporation Hybrid edge ring for plasma wafer processing
US20140273460A1 (en) * 2013-03-13 2014-09-18 Applied Materials, Inc. Passive control for through silicon via tilt in icp chamber
US9449797B2 (en) * 2013-05-07 2016-09-20 Lam Research Corporation Component of a plasma processing apparatus having a protective in situ formed layer on a plasma exposed surface
US20150001180A1 (en) 2013-06-28 2015-01-01 Applied Materials, Inc. Process kit for edge critical dimension uniformity control
US10211046B2 (en) 2013-07-19 2019-02-19 Applied Materials, Inc. Substrate support ring for more uniform layer thickness
US9236284B2 (en) 2014-01-31 2016-01-12 Applied Materials, Inc. Cooled tape frame lift and low contact shadow ring for plasma heat isolation
SG10201810390TA (en) * 2014-05-21 2018-12-28 Applied Materials Inc Thermal processing susceptor
KR101653644B1 (ko) * 2014-06-02 2016-09-02 (주)티티에스 웨이퍼 증착 디스크와 그의 이송 자동화 시스템
US20160002778A1 (en) 2014-07-03 2016-01-07 Applied Materials, Inc. Substrate support with more uniform edge purge
US10242848B2 (en) 2014-12-12 2019-03-26 Lam Research Corporation Carrier ring structure and chamber systems including the same
US10648079B2 (en) 2014-12-19 2020-05-12 Lam Research Corporation Reducing backside deposition at wafer edge
US20160289827A1 (en) 2015-03-31 2016-10-06 Lam Research Corporation Plasma processing systems and structures having sloped confinement rings
US9738975B2 (en) 2015-05-12 2017-08-22 Lam Research Corporation Substrate pedestal module including backside gas delivery tube and method of making
US20170002465A1 (en) 2015-06-30 2017-01-05 Lam Research Corporation Separation of Plasma Suppression and Wafer Edge to Improve Edge Film Thickness Uniformity
US10475627B2 (en) 2016-03-25 2019-11-12 Lam Research Corporation Carrier ring wall for reduction of back-diffusion of reactive species and suppression of local parasitic plasma ignition
KR102641441B1 (ko) 2016-09-28 2024-02-29 삼성전자주식회사 링 어셈블리 및 이를 포함하는 척 어셈블리
US10622243B2 (en) * 2016-10-28 2020-04-14 Lam Research Corporation Planar substrate edge contact with open volume equalization pathways and side containment
US20180138074A1 (en) * 2016-11-11 2018-05-17 Samsung Electronics Co., Ltd. Carrier ring and chemical vapor deposition apparatus including the same
US20180334746A1 (en) 2017-05-22 2018-11-22 Lam Research Corporation Wafer Edge Contact Hardware and Methods to Eliminate Deposition at Wafer Backside Edge and Notch
US10851457B2 (en) 2017-08-31 2020-12-01 Lam Research Corporation PECVD deposition system for deposition on selective side of the substrate
KR102037168B1 (ko) 2017-11-06 2019-10-28 세메스 주식회사 기판 처리 장치 및 기판 지지 유닛
KR102404061B1 (ko) 2017-11-16 2022-05-31 삼성전자주식회사 상부 샤워 헤드 및 하부 샤워 헤드를 포함하는 증착 장치
KR102538177B1 (ko) 2017-11-16 2023-05-31 삼성전자주식회사 상부 샤워 헤드 및 하부 샤워 헤드를 포함하는 증착 장치
KR102465538B1 (ko) * 2018-01-04 2022-11-11 삼성전자주식회사 기판 지지 유닛 및 이를 포함하는 증착 장치
US20210375591A1 (en) 2018-04-20 2021-12-02 Lam Research Corporation Edge exclusion control
US20200202291A1 (en) 2018-12-20 2020-06-25 Konica Minolta Laboratory U.S.A., Inc. Biometric authentication in product distribution
US11961756B2 (en) * 2019-01-17 2024-04-16 Asm Ip Holding B.V. Vented susceptor
CN111509095B (zh) * 2019-01-31 2022-01-04 财团法人工业技术研究院 复合式基板及其制造方法
US20200248307A1 (en) 2019-02-05 2020-08-06 Veeco Instruments, Inc. Rotating Disk Reactor with Self-Locking Carrier-to-Support Interface for Chemical Vapor Deposition
US11572618B2 (en) 2019-08-27 2023-02-07 Applied Materials, Inc. Method and chamber for backside physical vapor deposition
US20230040885A1 (en) 2020-01-17 2023-02-09 Lam Research Corporation Exclusion ring with flow paths for exhausting wafer edge gas
KR20220010074A (ko) * 2020-02-11 2022-01-25 램 리써치 코포레이션 웨이퍼 베벨/에지 상의 증착을 제어하기 위한 캐리어 링 설계들
US20230126459A1 (en) 2020-03-06 2023-04-27 Lam Research Corporation Ring structure with compliant centering fingers
US20230238223A1 (en) 2020-06-25 2023-07-27 Lam Research Corporation Carrier rings with radially-varied plasma impedance
KR20220117155A (ko) 2021-02-16 2022-08-23 에이에스엠 아이피 홀딩 비.브이. 흐름 제어 링을 갖는 기판 처리 장치 및 기판 처리 방법
TW202243106A (zh) 2021-03-11 2022-11-01 荷蘭商Asm Ip私人控股有限公司 基板處理設備、及基板處理方法

Also Published As

Publication number Publication date
KR20220012999A (ko) 2022-02-04
US20220115261A1 (en) 2022-04-14
US20220108912A1 (en) 2022-04-07
KR20230117632A (ko) 2023-08-08
US20240055293A1 (en) 2024-02-15
US11837495B2 (en) 2023-12-05
JP2023513154A (ja) 2023-03-30
KR20220010074A (ko) 2022-01-25
CN116288281A (zh) 2023-06-23
WO2021162865A1 (en) 2021-08-19
TW202145435A (zh) 2021-12-01
US11830759B2 (en) 2023-11-28

Similar Documents

Publication Publication Date Title
US11443975B2 (en) Planar substrate edge contact with open volume equalization pathways and side containment
CN115087758A (zh) 用于控制晶片晶边/边缘上的沉积的承载环设计
CN111433902A (zh) 向下游室传送自由基和前体气体以实现远程等离子体膜沉积的有改进的孔图案的集成喷头
US20210351018A1 (en) Lower plasma exclusion zone ring for bevel etcher
JP7440488B2 (ja) 半導体基板処理におけるペデスタルへの蒸着の防止
US20230332291A1 (en) Remote plasma architecture for true radical processing
KR102088596B1 (ko) Rf 플라즈마 생성기 및 리모트 플라즈마 생성기에 공급하는 rf 신호 소스
US20230167552A1 (en) Showerhead designs for controlling deposition on wafer bevel/edge
US20220235459A1 (en) Reduced diameter carrier ring hardware for substrate processing systems
US20220162749A1 (en) Pedestals for modulating film properties in atomic layer deposition (ald) substrate processing chambers
WO2024076478A1 (en) Showerhead gas inlet mixer
WO2024076477A1 (en) Showerhead for diffusion bonded, multi-zone gas dispersion
CN114830318A (zh) 用于管理不均匀性的晶片平面下方的非对称清扫块

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination