KR20190036566A - 플라즈마 프로세싱 챔버를 위한 플라즈마 스크린 - Google Patents

플라즈마 프로세싱 챔버를 위한 플라즈마 스크린 Download PDF

Info

Publication number
KR20190036566A
KR20190036566A KR1020197007682A KR20197007682A KR20190036566A KR 20190036566 A KR20190036566 A KR 20190036566A KR 1020197007682 A KR1020197007682 A KR 1020197007682A KR 20197007682 A KR20197007682 A KR 20197007682A KR 20190036566 A KR20190036566 A KR 20190036566A
Authority
KR
South Korea
Prior art keywords
plasma
cutouts
plasma screen
circular plate
chamber
Prior art date
Application number
KR1020197007682A
Other languages
English (en)
Other versions
KR102390323B1 (ko
Inventor
마이클 토마스 니콜스
이마드 유시프
존 앤서니 3세 오'말리
라진더 딘드사
스티븐 이. 바바얀
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20190036566A publication Critical patent/KR20190036566A/ko
Application granted granted Critical
Publication of KR102390323B1 publication Critical patent/KR102390323B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32807Construction (includes replacing parts of the apparatus)
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • H01J37/32495Means for protecting the vessel against plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • H01J37/32633Baffles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow

Abstract

본 개시내용의 실시예들은 개선된 유동 컨덕턴스 및 균일성을 갖는 플라즈마 프로세싱 챔버에서 사용되는 플라즈마 스크린에 관한 것이다. 일 실시예는 플라즈마 스크린을 제공한다. 플라즈마 스크린은, 중앙 개구 및 외측 직경을 갖는 원형 플레이트를 포함한다. 복수의 컷아웃들이 원형 플레이트를 관통하여 형성된다. 복수의 컷아웃들은 2개 이상의 동심 원들로 배열된다. 각각의 동심 원은 동일한 개수의 컷아웃들을 포함한다.

Description

플라즈마 프로세싱 챔버를 위한 플라즈마 스크린
[0001] 본 개시내용의 실시예들은 반도체 기판들을 프로세싱하기 위한 장치 및 방법들에 관한 것이다. 더 구체적으로, 본 개시내용의 실시예들은 플라즈마 프로세싱 챔버 내의 플라즈마 스크린(plasma screen)에 관한 것이다.
[0002] 전자 디바이스들, 이를테면 평판 디스플레이들 및 집적 회로들은 일반적으로, 일련의 프로세스들에 의해 제작되며, 그 일련의 프로세스들에서, 기판 상에 층들이 증착되고, 그리고 증착된 재료가 원하는 패턴들로 에칭된다. 프로세스들은 일반적으로, 물리 기상 증착(PVD), 화학 기상 증착(CVD), 플라즈마 강화 CVD(PECVD), 및 다른 플라즈마 프로세싱을 포함한다. 구체적으로, 플라즈마 프로세스는, 진공 챔버에 프로세스 가스 혼합물을 공급하는 것, 및 프로세스 가스를 플라즈마 상태로 여기시키기 위해 전기 또는 전자기 전력(RF 전력)을 인가하는 것을 포함한다. 플라즈마는 가스 혼합물을 이온 종으로 분해하며, 그 이온 종은 원하는 증착 또는 에칭 프로세스들을 수행한다.
[0003] 플라즈마 프로세스들에서 직면하는 하나의 문제는 프로세싱 동안 기판 표면에 걸쳐 균일한 플라즈마 밀도를 설정하는 것과 연관된 어려움이며, 이는 기판의 중앙 구역과 에지 구역 사이의 불-균일한 프로세싱 및 기판 간의 불-균일한 프로세싱을 초래한다.
[0004] 본 개시내용의 실시예들은 기판 내의 프로세싱 균일성 및 기판 간의 균일성을 개선하기 위해 플라즈마 프로세싱 챔버에서 사용되는 플라즈마 스크린에 관한 것이다.
[0005] 본 개시내용의 실시예들은 개선된 유동 컨덕턴스(conductance) 및 균일성을 갖는 플라즈마 프로세싱 챔버에서 사용되는 플라즈마 스크린에 관한 것이다.
[0006] 일 실시예는 플라즈마 스크린을 제공한다. 플라즈마 스크린은, 중앙 개구 및 외측 직경을 갖는 원형 플레이트를 포함한다. 복수의 컷아웃(cut out)들이 원형 플레이트를 관통하여 형성된다. 복수의 컷아웃들은 2개 이상의 동심 원들로 배열되며, 각각의 동심 원 내의 복수의 컷아웃들의 총 컷아웃 면적들은 실질적으로 동일하다.
[0007] 다른 실시예는 플라즈마 프로세스 챔버를 제공한다. 플라즈마 프로세스 챔버는 프로세스 구역을 정의하는 챔버 바디(body), 프로세스 구역을 향하는 기판 지지 표면을 갖는 기판 지지부, 및 기판 지지 표면 주위에 배치된 플라즈마 스크린을 포함하며, 여기서, 플라즈마 스크린은, 관통하여 형성된 복수의 컷아웃들 및 중앙 개구를 갖는 원형 플레이트를 포함하고, 원형 플레이트는 기판 지지부의 외측 구역과 챔버 바디의 내측 표면 사이에서 환상 영역에 걸쳐 연장된다.
[0008] 다른 실시예는 기판을 프로세싱하기 위한 방법을 제공한다. 방법은 플라즈마 프로세스 챔버에서 기판 지지부 상에 기판을 포지셔닝하는 단계, 및 플라즈마 챔버에서 유동 경로를 통해 하나 이상의 프로세스 가스를 유동시키는 단계를 포함하며, 여기서, 유동 경로는 기판 주위에 배치된 플라즈마 스크린 내의 복수의 컷아웃들을 포함하고, 플라즈마 스크린은 기판 지지부와 챔버 바디 사이에서 환상 영역에 걸쳐 연장된 원형 플레이트를 갖는다.
[0009] 본 개시내용의 상기 열거된 특징들이 상세히 이해될 수 있는 방식으로, 앞서 간략히 요약된 본 개시내용의 보다 구체적인 설명이 실시예들을 참조로 하여 이루어질 수 있는데, 이러한 실시예들의 일부는 첨부된 도면들에 예시되어 있다. 그러나, 첨부된 도면들은 본 개시내용의 단지 전형적인 실시예들을 예시하는 것이므로 본 개시내용의 범위를 제한하는 것으로 간주되지 않아야 한다는 것이 주목되어야 하는데, 이는 본 개시내용이 다른 균등하게 유효한 실시예들을 허용할 수 있기 때문이다.
[0010] 도 1a는 본 개시내용의 일 실시예에 따른 플라즈마 프로세스 챔버의 개략적인 단면도이다.
[0011] 도 1b는 플라즈마 스크린을 도시하는, 도 1a의 플라즈마 프로세스 챔버의 개략적인 부분 사시도이다.
[0012] 도 1c는 플라즈마 스크린과 다른 챔버 컴포넌트 사이의 전기 커플링 메커니즘을 도시하는, 도 1a의 확대 부분도이다.
[0013] 도 2a는 본 개시내용의 일 실시예에 따른 플라즈마 스크린의 개략적인 평면도이다.
[0014] 도 2b는 도 2a의 플라즈마 스크린의 개략적인 측단면도이다.
[0015] 도 2c는 도 2a의 플라즈마 스크린 내의 컷아웃들의 하나의 구성을 도시하는, 도 2a의 부분 확대도이다.
[0016] 도 2d는 컷아웃들의 다른 구성을 개략적으로 예시한다.
[0017] 도 2e는 컷아웃들의 다른 구성을 개략적으로 예시한다.
[0018] 도 3a는 본 개시내용의 다른 실시예에 따른 플라즈마 스크린의 개략적인 부분 평면도이다.
[0019] 도 3b는 도 3a의 플라즈마 스크린의 개략적인 부분 측단면도이다.
[0020] 도 3c는 대안적인 구성의 플라즈마 스크린의 개략적인 부분 평면도이다.
[0021] 도 3d는 도 3c의 플라즈마 스크린의 개략적인 부분 단면도이다.
[0022] 도 4a는 본 개시내용의 다른 실시예에 따른 플라즈마 스크린의 개략적인 평면도이다.
[0023] 도 4b는 도 4a의 플라즈마 스크린의 개략적인 측단면도이다.
[0024] 도 4c는 플라즈마 프로세스 챔버에 설치된, 도 4a의 플라즈마 스크린의 개략적인 부분 사시도이다.
[0025] 도 4d는 플라즈마 스크린과 다른 챔버 컴포넌트 사이의 전기 커플링 메커니즘을 도시하는, 도 4c의 확대 부분도이다.
[0026] 이해를 용이하게 하기 위해, 도면들에 대해 공통인 동일한 엘리먼트들을 지정하기 위해 가능한 경우 동일한 참조 번호들이 사용되었다. 일 실시예에서 개시되는 엘리먼트들이 구체적인 설명 없이 다른 실시예들에 대해 유익하게 활용될 수 있다는 것이 고려된다.
[0027] 본 개시내용은 일반적으로, 플라즈마 프로세싱 챔버에서 사용되는 플라즈마 스크린에 관한 것이다. 본 개시내용에 따른 플라즈마 스크린은 기판 내의 그리고 기판 간의 개선된 프로세스 균일성을 달성한다.
[0028] 도 1a는 본 개시내용의 일 실시예에 따른 플라즈마 프로세스 챔버(100)의 개략적인 단면도이다. 플라즈마 프로세스 챔버(100)는 플라즈마 에칭 챔버, 플라즈마 강화 화학 기상 증착 챔버, 물리 기상 증착 챔버, 플라즈마 처리 챔버, 이온 주입 챔버, 또는 다른 적합한 진공 프로세싱 챔버일 수 있다.
[0029] 플라즈마 프로세스 챔버(100)는 소스 모듈(102), 프로세스 모듈(104), 유동 모듈(106), 및 배기 모듈(108)을 포함할 수 있다. 소스 모듈(102), 프로세스 모듈(104), 및 유동 모듈(106)은 공동으로(collectively) 프로세스 구역(112)을 에워싼다. 동작 동안, 기판(116)이 기판 지지 조립체(118) 상에 포지셔닝되고, 그리고 기판(116)을 프로세싱하기 위해 프로세스 환경, 이를테면 프로세스 구역(112)에 생성되는 플라즈마에 노출된다. 플라즈마 프로세스 챔버(100)에서 수행될 수 있는 예시적인 프로세스는 에칭, 화학 기상 증착, 물리 기상 증착, 주입, 플라즈마 어닐링, 플라즈마 처리, 저감(abatement), 또는 다른 플라즈마 프로세스들을 포함할 수 있다. 배기 모듈(108)로부터 유동 모듈(106)을 통한 흡인에 의해 프로세스 구역(112)에서 진공이 유지된다. 프로세스 구역(112)은 균일한 프로세스 조건들을 설정하도록 대칭적인 전기, 가스, 및 열 유동을 제공하기 위해, 중심 축(110)을 중심으로 실질적으로 대칭적일 수 있다.
[0030] 일 실시예에서, 도 1a에 도시된 바와 같이, 소스 모듈(102)은 유도성 커플링 플라즈마 소스일 수 있다. 소스 모듈(102)은 외측 코일 조립체(120) 및 내측 코일 조립체(122)를 포함할 수 있다. 외측 코일 조립체(120) 및 내측 코일 조립체(122)는 RF(무선 주파수) 전력 소스(124)에 연결될 수 있다. 가스 유입 튜브(126)가 중심 축(110)을 따라 배치될 수 있다. 가스 유입 튜브(126)는 프로세스 구역(112)에 하나 이상의 프로세싱 가스들을 공급하기 위해 가스 소스(132)에 연결될 수 있다.
[0031] 위에서 유도성 플라즈마 소스가 설명되었지만, 소스 모듈(102)은 프로세스 요건에 따르는 임의의 적합한 가스/플라즈마 소스일 수 있다. 예컨대, 소스 모듈(102)은 용량성 커플링된 플라즈마 소스, 원격 플라즈마 소스, 또는 마이크로파 플라즈마 소스일 수 있다.
[0032] 프로세스 모듈(104)은 소스 모듈(102)에 커플링된다. 프로세스 모듈(104)은 프로세스 구역(112)을 에워싸는 챔버 바디(140)를 포함할 수 있다. 챔버 바디(140)는 프로세싱 환경들에 저항적인 전도성 재료, 이를테면 알루미늄 또는 스테인리스 강으로 제작될 수 있다. 기판 지지 조립체(118)는 챔버 바디(140) 내에서 중앙에 배치되고, 그리고 프로세스 구역(112)에서 중심 축(110)을 중심으로 대칭적으로 기판(116)을 지지하도록 포지셔닝된다.
[0033] 슬릿 밸브 개구(142)가 기판(116)의 통과를 가능하게 하기 위해 챔버 바디(140)를 관통하여 형성된다. 슬릿 밸브(144)가 슬릿 밸브 개구(142)를 선택적으로 개방 및 폐쇄하기 위해 챔버 바디(140) 외부에 배치될 수 있다.
[0034] 일 실시예에서, 프로세스 환경으로부터 챔버 바디(140)를 차폐하는 상부 라이너 조립체(146)가 챔버 바디(140)의 상부 부분 내에 배치될 수 있다. 상부 라이너 조립체(146)는, 전도성이고 프로세스 양립가능한 재료, 이를테면 알루미늄, 스테인리스 강, 및/또는 이트리아(예컨대, 이트리아 코팅된 알루미늄)으로 구성될 수 있다.
[0035] 유동 모듈(106)은 프로세스 모듈(104)에 부착된다. 유동 모듈(106)은 프로세스 구역(112)과 배기 모듈(108) 사이의 유동 경로들을 제공한다. 유동 모듈(106)은 또한, 플라즈마 프로세스 챔버(100) 외부의 대기 환경과 기판 지지 조립체(118) 사이의 인터페이스를 제공한다.
[0036] 유동 모듈(106)은 외측 벽(160), 내측 벽(162), 내측 벽(162)과 외측 벽(160) 사이를 연결하는 2개 이상의 쌍들의 반경방향 벽들(164), 및 2개 이상의 쌍들의 반경방향 벽들(164) 및 내측 벽(162)에 부착된 바닥 벽(166)을 포함한다. 외측 벽(160)은 각각의 쌍의 반경방향 벽들(164) 사이에 형성된 2개 이상의 관통 홀들(171)을 포함할 수 있다. 섀시(chassis)(154)가 2개 이상의 쌍들의 반경방향 벽들(164) 및 내측 벽(162) 위에 밀봉식으로 배치된다. 기판 지지 조립체(118)는 섀시(154) 위에 배치될 수 있다.
[0037] 외측 벽(160) 및 내측 벽(162)은 동심으로 배열된 원통형 벽들일 수 있다. 조립되는 경우, 내측 벽(162) 및 외측 벽(160)의 중심 축은 플라즈마 프로세스 챔버(100)의 중심 축(110)과 일치한다. 내측 벽(162), 바닥 벽(166), 반경방향 벽들(164), 및 섀시(154)는 외측 벽(160)의 내측 볼륨을 진공배기 채널들(114) 및 대기 볼륨(168)으로 분할한다. 진공배기 채널들(114)은 프로세스 모듈(104)의 프로세스 구역(112)과 연결된다.
[0038] 배기 모듈(108)은 대칭 유동 밸브(180), 및 펌프 포트(184)를 통해 대칭 유동 밸브(180)에 부착된 진공 펌프(182)를 포함한다. 대칭 유동 밸브(180)는 플라즈마 프로세스 챔버(100) 내의 대칭적이고 균일한 유동을 제공하기 위해, 진공배기 채널들(114)에 연결된다. 동작 동안, 프로세싱 가스는 유동 경로(186)를 따라 프로세스 챔버(100)를 통해 유동한다.
[0039] 기판 지지 조립체(118)는 중심 축(110)을 중심으로 대칭적으로 기판(116)을 포지셔닝하기 위해 중심 축(110)을 따라 포지셔닝된다. 기판 지지 조립체(118)는 섀시(154)에 의해 지지된다. 기판 지지 조립체(118)는 지지 플레이트(174) 주위에 배치된 에지 링(150)을 포함할 수 있다. 기판 지지부 라이너(152)가 프로세스 케미스트리(chemistry)로부터 기판 지지 조립체(118)를 차폐하기 위해 기판 지지 조립체(118) 주위에 배치될 수 있다.
[0040] 플라즈마 스크린(170)이 기판(116) 위로 플라즈마를 한정하기 위해 기판 지지 조립체(118) 주위에 배치될 수 있다. 일 실시예에서, 플라즈마 스크린(170)은 상부 라이너 조립체(146)와 기판 지지부 라이너(152) 사이의 환상 볼륨(113)의 입구를 덮도록 배치될 수 있다. 플라즈마 스크린(170)은 프로세스 구역(112)으로부터 환상 볼륨(113)으로 가스 유동을 지향시키도록 구성된 복수의 컷아웃들(172)을 포함한다. 일 실시예에서, 플라즈마 스크린(170)은 플랜지(flange)와 유사하게, 상부 라이너 조립체(146)에 부착될 수 있다.
[0041] 도 1b는 플라즈마 스크린(170)을 도시하는, 플라즈마 프로세스 챔버(100)의 개략적인 부분 사시도이다. 플라즈마 스크린(170)은 기판 지지 조립체(118)에 부착될 수 있다. 플라즈마 스크린(170)은, 중앙 개구(176) 및 외측 직경(178)을 갖는 원형 플레이트일 수 있다. 복수의 스크루 홀들(177)이 중앙 개구(176) 주위에 형성될 수 있다. 플라즈마 스크린(170)은 복수의 스크루들(192)에 의해 기판 지지부 라이너(152)에 부착될 수 있다. 스크루 홀들(177) 및 스크루들(192) 대신 다른 부착 피처들이 사용될 수 있다. 외측 직경(178)은 상부 라이너 조립체(146)의 내측 직경(194)과 매칭하도록 사이즈가 설정된다. 일 실시예에서, 외측 직경(178)은, 설치 동안의 표면 손상을 방지하기 위한 설치 간극(installation clearance)으로 인해, 상부 라이너 조립체(146)의 내측 직경(194)보다 약간 더 작다. 일 실시예에서, 외측 직경(178)과 내측 직경(194) 사이의 간극은 약 0.135 인치일 수 있다.
[0042] 플라즈마 스크린(170)은 플라즈마 프로세스 챔버(100)에서 RF 리턴 경로를 가능하게 하기 위해 전도성 재료로 형성될 수 있다. 예컨대, 플라즈마 스크린(170)은 금속, 이를테면 알루미늄으로 형성될 수 있다. 일 실시예에서, 플라즈마 스크린(170)은 프로세싱 케미스트리와 양립가능한 보호 코팅을 가질 수 있다. 예컨대, 플라즈마 스크린(170)은 세라믹 코팅, 이를테면 이트리아 코팅 또는 알루미나 코팅을 가질 수 있다.
[0043] 일 실시예에서, 전체 중앙 개구(176) 주위의 연속적인 전기 연결을 보장하기 위해, 전도성 개스킷(190)이 플라즈마 스크린(170)과 기판 지지부 라이너(152) 사이에 배치될 수 있다. 전도성 개스킷(190)은 금속, 이를테면 알루미늄, 구리, 강(steel)으로 형성될 수 있다. 도 1c는 전도성 개스킷(190)을 도시하는, 도 1a의 확대 부분도이다. 도 1c에서, 전도성 개스킷(190)은 기판 지지부 라이너(152)에 형성된 그루브(196)에 배치된다. 대안적으로, 전도성 개스킷(190)은 플라즈마 스크린(170)에 형성된 그루브(198)에 형성될 수 있다. 대안적으로, 기판 지지부 라이너(152)와 플라즈마 스크린(170) 둘 모두는 전도성 개스킷(190)을 내부에 하우징하기 위한 그루브를 포함할 수 있다.
[0044] 복수의 컷아웃들(172)은 플라즈마 스크린(170)을 통하는 유체 유동을 가능하게 하기 위해 플라즈마 스크린(170)을 관통하여 형성될 수 있다. 컷아웃들(172)의 총 면적은 플라즈마 스크린(170)을 통하는 유동 면적을 제공한다. 유동 면적에 따라, 플라즈마 스크린(170)은 프로세스 챔버(100) 내의 유체 유동의 유체 컨덕턴스에 영향을 미칠 수 있다. 플라즈마 스크린(170)을 통하는 유동 면적이 유동 경로(186)에서의 가장 좁은 면적, 전형적으로는 펌프 포트(184)의 면적과 동일하거나 또는 더 큰 경우, 플라즈마 스크린(170)은 프로세스 챔버(100)의 유체 컨덕턴스에 영향을 미치지 않는다. 그러나, 플라즈마 스크린(170)을 통하는 유동 면적이 유동 경로(186)에서의 가장 좁은 면적보다 더 작은 경우, 플라즈마 스크린(170)은 유동 경로(186)를 따르는 가스 유동을 초킹(choke)한다. 일 실시예에서, 복수의 컷아웃들(172)의 형상 및/또는 개수는 플라즈마 스크린(170)을 통하는 타겟 유동 면적을 획득하기 위해 선택될 수 있다.
[0045] 다른 한편으로, 플라즈마 보유에 대한 플라즈마 스크린(170)의 유효성은 플라즈마 스크린(170)의 전도성 바디의 총 면적에 따라 좌우된다. 전도성 바디의 총 면적이 더 클수록, 플라즈마 스크린(170)이 플라즈마를 보유하는 데 있어서 더 효과적이게 된다. 따라서, 플라즈마 스크린(170)을 통하는 유동 면적을 증가시키는 것은 플라즈마 스크린(170)이 플라즈마 보유에 있어서 덜 효과적이게 할 수 있는 한편, 플라즈마 스크린(170)을 통하는 유동 면적을 감소시키는 것은 플라즈마 스크린이 플라즈마 보유에 있어서 더 효과적이게 되도록 촉진할 수 있다. 프로세스 요건에 따라, 챔버 유체 유동 및 플라즈마 보유에 대한 원하는 효과를 달성하기 위해, 컷아웃들(172)의 형상 및/또는 개수가 선택될 수 있다.
[0046] 부가적으로, 컷아웃들(172)은 타겟 유체 컨덕턴스 프로파일을 달성하기 위해 다양한 패턴들로 배열될 수 있다. 일 실시예에서, 컷아웃들(172)은 균일한 유체 컨덕턴스를 제공하도록 배열될 수 있다. 대안적으로, 컷아웃들(172)은 방위각 및/또는 반경 방향을 따라 가변 유체 컨덕턴스를 갖도록 배열될 수 있다. 가변 유체 컨덕턴스는 균일한 프로세싱을 달성하도록 프로세스 챔버(100) 내의 불-균일성들을 보상하기 위해 사용될 수 있다.
[0047] 도 1b에서, 컷아웃들(172)은 행(row)들로 배열된 세장형 홀들이다. 일 실시예에서, 컷아웃들(172)은 실질적으로 동일한 형상들이고, 각각의 행에서 균등하게 분포된다. 다른 형상들 및/또는 패턴들이 유체 유동에 대한 타겟 효과를 달성하기 위해 사용될 수 있다.
[0048] 동작 동안, 가스 소스(132)로부터의 하나 이상의 프로세싱 가스들이 유입 도관(126)을 통해 프로세스 구역(112)에 진입한다. 프로세스 구역(112)에서 플라즈마를 점화시키고 유지하기 위해, RF 전력이 외측 및 내측 코일 조립체들(120, 122)에 인가될 수 있다. 기판 지지 조립체(118) 상에 배치된 기판(116)은 플라즈마에 의해 프로세싱된다. 하나 이상의 프로세싱 가스들은 프로세스 구역(112)으로 연속적으로 공급될 수 있고, 그리고 기판(116)에 걸쳐 대칭적이고 균일한 가스 유동을 생성하기 위해, 진공 펌프(182)가 유동 모듈(106) 및 대칭 유동 밸브(180)를 통해 동작한다. 플라즈마 스크린(170) 내의 컷아웃들(172)은 프로세싱 가스가 프로세스 구역(112)으로부터 환상 볼륨(113)으로 유동한 후 유동 모듈(106) 내의 진공배기 채널들(114)로 유동할 수 있게 하는 한편, 플라즈마 스크린(170)의 전도성 바디는 프로세스 구역(112)으로 플라즈마를 한정한다.
[0049] 도 2a는 본 개시내용의 일 실시예에 따른 플라즈마 스크린(170)의 개략적인 평면도이다. 도 2b는 플라즈마 스크린(170)의 개략적인 측단면도이다. 플라즈마 스크린(170)은 전도성 바디(200)를 갖는다. 전도성 바디(200)는 두께(208)를 갖는 원형 플레이트일 수 있다. 중앙 개구(176)가 전도성 바디(200)를 관통하여 형성된다. 일 실시예에서, 전도성 바디(200)는 중앙 개구(176) 주위에 립(206)을 가질 수 있다. 복수의 스크루 홀들(177)이 립(206)을 관통하여 형성될 수 있다. 립(206)은 두께(260)를 가질 수 있다. 두께(260)는 전도성 바디(200)의 두께(208)보다 더 두꺼운 두께이다. 일 실시예에서, 두께(260)는 두께(208)의 약 1.5배 내지 약 3.0배일 수 있다. 립(206)은 복수의 스크루 홀들(177)에 대해 충분한 폭(266)을 가질 수 있다.
[0050] 전도성 바디(200)는 금속, 이를테면 알루미늄으로 형성될 수 있다. 일 실시예에서, 전도성 바디(200)는 코팅을 포함할 수 있다. 코팅은 동작 동안 프로세스 케미스트리에 노출되는 전도성 바디(200)의 모든 표면들 상에 형성될 수 있다. 예컨대, 코팅은 상부 표면(250), 하부 표면(252), 및 컷아웃들(172)의 벽들(256) 상에 형성될 수 있다. 일 실시예에서, 코팅은 프로세스 케미스트리와 양립가능한 보호 코팅일 수 있다. 일 실시예에서, 코팅은 세라믹 코팅, 이를테면 이트리아 코팅 또는 알루미나 코팅일 수 있다.
[0051] 도 2b의 실시예에서, 립(206)은 전도성 바디(200)의 하부 표면(252)으로부터 연장되고, 그에 따라, 립(206)의 하부 표면(264)이 하부 표면(252) 아래에 있게 되어 숄더(262)를 형성한다. 대안적으로, 립(206)은 전도성 바디(200)의 상부 표면(250)으로부터 연장될 수 있다. 예컨대, 폭(266)은 5 mm 내지 약 15 mm일 수 있다.
[0052] 도 2c는 컷아웃들(172)의 형상 및 구성을 도시하는, 플라즈마 스크린(170)의 부분 확대도이다. 일 실시예에서, 컷아웃(172)은 둥근 단부들(202) 및 폭(204)을 갖는 세장형 슬롯일 수 있다. 일 실시예에서, 복수의 컷아웃들(172)은 형상이 실질적으로 동일할 수 있다. 복수의 컷아웃들(172)은 3개의 동심 원들(216, 218, 220)로 배열될 수 있다. 본원에서 3개의 동심 원들이 설명되지만, 더 많거나 또는 더 적은 동심 원이 사용될 수 있다. 각각의 동심 원들(216, 218, 220)에서, 복수의 컷아웃들(172)은 각각 스포크(spoke)들(210, 212, 214)에 의해 분리될 수 있다. 일 실시예에서, 복수의 컷아웃들(172)은 각각의 동심 원(216, 218, 220)에서 균등하게 분포될 수 있다.
[0053] 일 실시예에서, 각각의 동심 원(216, 218, 220) 내의 복수의 컷아웃들(172)의 총 컷아웃 면적은 실질적으로 동일하다. 예컨대, 각각의 동심 원들(216, 218, 220) 내의 컷아웃들(172)은 동일한 형상 및 동일한 개수들로 이루어진다. 결과로서, 스포크들(210, 212, 214)은 상이한 치수들로 이루어진다. 스포크들(212)은 스포크들(210)보다 더 두껍고, 스포크들(214)은 스포크들(212)보다 더 두껍다.
[0054] 위에서 논의된 바와 같이, 컷아웃들(172)은 유체 컨덕턴스를 제공하기 위해 전도성 바디(200)를 관통하여 형성된다. 플라즈마 스크린(170)의 유체 컨덕턴스 레이트는, 펌프 포트(184)의 면적, 또는 프로세스 구역(112)으로부터 진공 펌프(182)까지 가장 좁은 유동 면적으로, 컷아웃들(172)의 총 면적을 나눔으로써, 표시될 수 있다. 예컨대, 플라즈마 스크린의 유체 컨덕턴스 레이트는, 컷아웃들(172)의 총 면적이 펌프 포트(184)의 면적 이상인 경우, 100%이다. 플라즈마 스크린의 유체 컨덕턴스 레이트는, 컷아웃들(172)의 총 면적이 펌프 포트(184)의 면적의 50%인 경우, 50%이다. 플라즈마 스크린(170)의 유체 컨덕턴스 레이트는 컷아웃들(172)의 총 면적을 변화시킴으로써 변화될 수 있다. 컷아웃들(172)의 총 면적은 컷아웃들(172)의 형상 및/또는 개수를 변화시킴으로써 변화될 수 있다.
[0055] 도 2c의 구성에서, 플라즈마 스크린(170)이 프로세스 챔버 내의 유체 유동에 대해 최소의 부가적인 저항을 부과하도록, 컷아웃들(172)의 치수 및 개수는 100% 유체 컨덕턴스 레이트를 획득하기 위해 선택될 수 있다.
[0056] 도 2d는 본 개시내용의 다른 실시예에 따른, 플라즈마 스크린(170')의 부분 확대 평면도를 개략적으로 예시한다. 플라즈마 스크린(170')은, 플라즈마 스크린(170')이 상이한 치수 및 개수로 컷아웃들(172')을 갖는 것을 제외하고, 플라즈마 스크린(170)과 유사하다. 각각의 컷아웃(172')은 폭(204)보다 더 좁은 폭(224)을 갖는다. 플라즈마 스크린(170) 내의 컷아웃들(172)보다 플라즈마 스크린(170')에 더 많은 컷아웃들(172')이 있다. 결과로서, 플라즈마 스크린(170')은 플라즈마 스크린(170)보다 더 약한 유체 컨덕턴스 및 더 강한 플라즈마 보유력을 갖는다. 일 실시예에서, 폭(224)은 폭(204)의 약 40%일 수 있고, 컷아웃들(172')의 개수는 컷아웃들(172)의 개수의 2배이고, 그에 따라, 플라즈마 스크린(170')은 플라즈마 스크린(170)의 유체 컨덕턴스의 82%의 유체 컨덕턴스 레이트를 갖는다.
[0057] 도 2e는 본 개시내용의 다른 실시예에 따른, 플라즈마 스크린(170'')의 부분 확대 평면도를 개략적으로 예시한다. 플라즈마 스크린(170'')은, 플라즈마 스크린(170'')이 상이한 치수 및 개수로 컷아웃들(172'')을 갖는 것을 제외하고, 플라즈마 스크린(170, 170')과 유사하다. 각각의 컷아웃(172'')은 폭(204, 224)보다 더 좁은 폭(234)을 갖는다. 플라즈마 스크린(170, 170') 내의 컷아웃들(172, 172')보다 플라즈마 스크린(170'')에 더 많은 컷아웃들(172'')이 있다. 결과로서, 플라즈마 스크린(170'')은 플라즈마 스크린(170, 170')보다 더 약한 유체 컨덕턴스 및 더 강한 플라즈마 보유력을 갖는다. 일 실시예에서, 폭(234)은 폭(204)의 약 16% 및 폭(224)의 40%일 수 있고, 컷아웃들(172'')의 개수는 컷아웃들(172)의 개수의 3배 및 컷아웃들(172')의 개수의 1.5배이고, 그에 따라, 플라즈마 스크린(170'')은 플라즈마 스크린(170)의 유체 컨덕턴스의 53% 및 플라즈마 스크린(170')의 유체 컨덕턴스의 65%의 유체 컨덕턴스를 갖는다.
[0058] 플라즈마 스크린들(170, 170', 170'')은 프로세스 요건에 따라, 플라즈마 프로세스 챔버, 이를테면 플라즈마 프로세스 챔버(100)에서 상호 교환가능하게 사용될 수 있다.
[0059] 위에서 설명된 플라즈마 스크린들이 세장형 컷아웃들을 갖지만, 다른 형상들, 이를테면 원형, 타원형, 삼각형, 직사각형, 또는 임의의 적합한 형상들을 갖는 컷아웃들이 사용될 수 있다. 위에서 설명된 컷아웃들이 동심 원들로 배열되지만, 다른 패턴들이 원하는 효과를 달성하기 위해 사용될 수 있다.
[0060] 도 3a는 본 개시내용의 다른 실시예에 따른 플라즈마 스크린(300)의 개략적인 부분 평면도이다. 도 3b는 플라즈마 스크린(300)의 개략적인 부분 측단면도이다. 플라즈마 스크린(300)은 상부 플레이트(302) 및 하부 플레이트(304)를 포함하며, 상부 플레이트(302) 및 하부 플레이트(304)는 함께 적층된다. 상부 플레이트(302)는 평면 플레이트일 수 있다. 하부 플레이트(304)는 내측 직경 근처에 립(312)을 가질 수 있다. 플라즈마 스크린(170)과 유사하게, 상부 플레이트(302) 및 하부 플레이트(304) 각각은, 복수의 컷아웃들(306, 308)이 관통하여 형성되어 있는 전도성 바디를 갖는다. 컷아웃들(306, 308)은 형상이 동일할 수 있고, 동일한 패턴으로 배열될 수 있다. 도 3a 및 도 3b에서, 상부 플레이트(302) 내의 컷아웃들(306)은 하부 플레이트(304) 내의 컷아웃들(308)과 정렬된다. 적층된 상부 및 하부 플레이트들(302 및 304)은, 증가된 두께로 인해, 단독으로 있는 상부 플레이트(302) 또는 하부 플레이트(304)와 비교하여 개선된 플라즈마 보유력을 제공한다.
[0061] 도 3c는 컷아웃들(306)이 컷아웃들(308)과 정렬되지 않은 경우의 대안적인 포지션의 플라즈마 스크린(300)의 개략적인 부분 평면도이다. 도 3d는 도 3c의 포지션의 플라즈마 스크린(300)의 개략적인 부분 단면도이다. 도 3c 및 도 3d에서, 컷아웃들(306, 308)은 엇갈려 배치되어 있고, 그에 따라, 하부 플레이트(304) 내의 스포크들(310)이 상부 플레이트(302) 내의 각각의 컷아웃(306)의 일부를 차단하여, 플라즈마 스크린(300)의 유동 면적을 감소시킴으로써 유동 컨덕턴스를 감소시킨다. 노출된 스포크들(310)은 또한, 플라즈마 보유의 유효성을 증가시킨다.
[0062] 플라즈마 스크린(300)은 프로세스 요건에 따라, 도 3a 및 도 3b의 포지션 또는 도 3c 및 도 3d의 포지션으로 구성될 수 있다.
[0063] 도 4a는 본 개시내용의 다른 실시예에 따른 플라즈마 스크린(400)의 개략적인 평면도이다. 도 4b는 플라즈마 스크린(400)의 개략적인 측단면도이다. 플라즈마 스크린(400)은, 플라즈마 스크린(400)이 외측 립(402)을 포함하는 것을 제외하고, 플라즈마 스크린(170)과 유사하며, 그 외측 립(402)은 플라즈마 스크린(400)이 플라즈마 스크린(400)의 외측 직경(406) 근처의 챔버 컴포넌트에 전도성 커플링될 수 있게 한다. 도 4b에 도시된 바와 같이, 외측 립(402)은 상부 표면(430), 하부 표면(432), 및 상부 표면(430)과 하부 표면(432) 사이의 두께(434)를 가질 수 있다. 두께(434)는 전도성 바디(200)의 두께(208)보다 더 두꺼울 수 있다. 일 실시예에서, 두께(434)는 두께(208)의 1.5배 내지 3.0배일 수 있다.
[0064] 일 실시예에서, 외측 립(402)의 상부 표면(430)은 숄더(438)를 형성하도록 전도성 바디의 상부 표면(250)보다 더 낮을 수 있다. 숄더(438)는 챔버들과 플라즈마 스크린(400)을 정렬하기 위해 사용될 수 있다.
[0065] 일 실시예에서, 외측 직경(406) 근처에서 플라즈마 스크린(400)의 상부 표면(430) 상에 그루브(404)가 형성될 수 있다. 그루브(404)는 밀봉을 형성하기 위해 그리고/또는 연속적인 전도성 커플링을 보장하기 위해 전도성 개스킷을 수용할 수 있다. 외측 립(402)은 그루브(404)를 형성하기에 충분한 폭(436)을 가질 수 있다. 예컨대, 외측 립(402)의 폭(436)은 약 5 mm 내지 약 15 mm일 수 있다.
[0066] 도 4b에 도시된 바와 같이, 외측 립(402)은 전도성 바디(200)의 하부 표면(252)으로부터 아래로 연장되어 숄더(440)를 형성한다. 숄더(440)는 플라즈마 챔버와 플라즈마 스크린(400)을 정렬하기 위해 사용될 수 있다.
[0067] 도 4b의 실시예에서, 브리지 섹션(444)이 전도성 바디(200)와 외측 립(402) 사이에 연결될 수 있다. 브리지 섹션(444)은 상부 표면(430)과 하부 표면(446) 사이에 정의된다. 브리지 섹션(444)은 전도성 바디(200)의 두께(208)와 유사한 두께를 가질 수 있다. 브리지 섹션(444)은 전도성 바디(200)로부터 숄더들(442, 438)을 통해 반경방향 외측으로 연장될 수 있다. 브리지 섹션(444)은 중량을 증가시키지 않으면서 플라즈마 스크린(400)의 강성을 증가시킬 수 있다.
[0068] 도 4c는 플라즈마 프로세스 챔버(420)에 설치된 플라즈마 스크린(400)의 개략적인 부분 사시도이다. 플라즈마 프로세스 챔버(420)는, 플라즈마 프로세스 챔버(100) 내의 상부 라이너 조립체(146)가 상부 라이너(408) 및 하부 라이너(410)로 대체된 것을 제외하고, 플라즈마 프로세스 챔버(100)와 유사할 수 있다. 도 4c에 도시된 바와 같이, 플라즈마 스크린(400)은 중앙 개구(176) 근처에서 복수의 스크루들(192)에 의해 기판 지지부 라이너(152)에 부착될 수 있고, 외측 직경(406) 근처에서 상부 라이너(408) 및 하부 라이너(410)에 부착될 수 있다.
[0069] 도 4d는 외측 직경(406) 근처의 연결을 도시하는, 도 4c의 확대 부분도이다. 외측 립(402)은 상부 라이너(408)와 하부 라이너(410) 사이에 배치될 수 있다. 플라즈마 스크린(400)의 숄더(438)는 상부 라이너(408)의 숄더(450)와 정렬된다. 플라즈마 스크린(400)의 숄더(440)는 하부 라이너(410)의 숄더(452)와 정렬된다. 일 실시예에서, 전도성 개스킷(412)이 플라즈마 스크린(400) 내의 그루브(404)에 배치될 수 있다. 유사하게, 전도성 개스킷(414)이 플라즈마 스크린(400)과 하부 라이너(410) 사이에 있다.
[0070] 도 4c의 구성에서, 플라즈마 스크린(400)은 플라즈마 스크린(400)과 상부 라이너(408) 및 하부 라이너(410) 사이에 어떠한 갭도 없이 상부 라이너(408) 및 하부 라이너(410)에 부착되고, 그에 따라, 플라즈마 보유력을 개선한다. 부가적으로, 플라즈마 스크린(400)과 상부 라이너(408) 및 하부 라이너(410) 사이의 연결 커플링은, 플라즈마 프로세스 챔버(420) 내의 플라즈마를 위한 연속적이고 대칭적인 RF 리턴 경로를 제공함으로써, 프로세싱 균일성을 더 개선한다.
[0071] 대안적으로, 외측 립(402)의 상부 표면(430)은 상부 표면(430)이 상부 표면(250) 위에 있도록 전도성 바디(200)의 상부 표면(250)으로부터 돌출될 수 있거나, 또는 그 상부 표면(250)과 동일 평면 상에 유지될 수 있는 한편, 외측 립(402)의 하부 표면(432)은 전도성 바디(200)의 하부 표면(252)과 동일 평면 상에 유지되거나, 또는 그 하부 표면(252) 아래로 단차를 형성한다.
[0072] 본 개시내용의 실시예에 따른 플라즈마 스크린들은 프로세스 균일성을 개선한다. 특히, 본 개시내용에 따른 플라즈마 스크린들은 프로세스 구역에서 시간이 지남에 따라 일관된 플라즈마 균일성을 유지하고, 그에 따라, 시간이 지남에 따른 임계 치수 드리프트(CD 드리프트)를 감소시킴으로써, 웨이퍼간 변동을 감소시킨다. 플라즈마 스크린들은 또한, 광범위한 챔버 압력 하에서 효과적으로 기능하다.
[0073] 전술한 바가 본 개시내용의 실시예들에 관한 것이지만, 본 개시내용의 다른 및 추가적인 실시예들이 본 개시내용의 기본적인 범위로부터 벗어나지 않으면서 고안될 수 있고, 본 개시내용의 범위는 다음의 청구항들에 의해 결정된다.

Claims (15)

  1. 중앙 개구 및 외측 직경을 갖는 원형 플레이트를 포함하며,
    상기 원형 플레이트를 관통하여 복수의 컷아웃(cut out)들이 형성되고, 상기 복수의 컷아웃들은 2개 이상의 동심 원들로 배열되며, 각각의 동심 원 내의 상기 복수의 컷아웃들의 총 컷아웃 면적들은 실질적으로 동일한,
    플라즈마 스크린.
  2. 제1 항에 있어서,
    상기 컷아웃들은 세장형 슬롯들인,
    플라즈마 스크린.
  3. 제1 항에 있어서,
    상기 원형 플레이트는 전도성 재료로 형성되는,
    플라즈마 스크린.
  4. 제3 항에 있어서,
    상기 원형 플레이트의 하나 이상의 외측 표면 상에 형성된 코팅을 더 포함하는,
    플라즈마 스크린.
  5. 제1 항에 있어서,
    상기 중앙 개구 주위의 내측 립(lip)을 더 포함하며,
    상기 내측 립은 하나 이상의 커플링 피처(coupling feature)들을 갖는,
    플라즈마 스크린.
  6. 제1 항에 있어서,
    상기 외측 직경 근처에 형성된 외측 립을 더 포함하는,
    플라즈마 스크린.
  7. 제1 항에 있어서,
    상기 원형 플레이트에 대하여 적층된 하부 원형 플레이트를 더 포함하며,
    상기 하부 원형 플레이트는 상기 원형 플레이트 내의 상기 컷아웃들과 매칭하는 복수의 하부 컷아웃들을 포함하는,
    플라즈마 스크린.
  8. 프로세스 구역을 정의하는 챔버 바디(body);
    상기 프로세스 구역을 향하는 기판 지지 표면을 갖는 기판 지지부; 및
    상기 기판 지지 표면 주위에 배치된 플라즈마 스크린
    을 포함하며,
    상기 플라즈마 스크린은, 관통하여 형성된 복수의 컷아웃들 및 중앙 개구를 갖는 원형 플레이트를 포함하고, 상기 원형 플레이트는 상기 기판 지지부의 외측 구역과 상기 챔버 바디의 내측 표면 사이에서 환상 영역에 걸쳐 연장되는,
    플라즈마 프로세스 챔버.
  9. 제8 항에 있어서,
    상기 복수의 컷아웃들은 2개 이상의 동심 원들로 배열되며, 각각의 동심 원은 동일한 개수의 컷아웃들을 포함하는,
    플라즈마 프로세스 챔버.
  10. 제8 항에 있어서,
    전도성 개스킷(gasket)을 더 포함하며,
    상기 전도성 개스킷은 상기 원형 플레이트와 챔버 컴포넌트 사이의 연속적인 커플링을 형성하기 위해 상기 중앙 개구 주위에 배치되는,
    플라즈마 프로세스 챔버.
  11. 제8 항에 있어서,
    상기 원형 플레이트는 외측 직경 주위에 형성된 외측 립을 더 포함하며,
    상기 외측 립은 챔버 컴포넌트에 부착되는,
    플라즈마 프로세스 챔버.
  12. 제11 항에 있어서,
    상기 챔버 컴포넌트는 상기 챔버 바디 내부에서 상기 프로세스 구역 주위에 배치된 라이너인,
    플라즈마 프로세스 챔버.
  13. 제8 항에 있어서,
    상기 플라즈마 스크린은 상기 원형 플레이트와 함께 적층된 하부 플레이트를 포함하며,
    상기 하부 플레이트는 상기 복수의 컷아웃들과 동일한 복수의 하부 컷아웃들을 갖는,
    플라즈마 프로세스 챔버.
  14. 플라즈마 프로세스 챔버에서 기판 지지부 상에 기판을 포지셔닝(position)하는 단계; 및
    상기 플라즈마 챔버에서 유동 경로를 통해 하나 이상의 프로세스 가스를 유동시키는 단계
    를 포함하며,
    상기 유동 경로는 상기 기판 주위에 배치된 플라즈마 스크린 내의 복수의 컷아웃들을 포함하고, 상기 플라즈마 스크린은 상기 기판 지지부와 챔버 바디 사이에서 환상 영역에 걸쳐 연장된 원형 플레이트를 갖는,
    기판을 프로세싱하기 위한 방법.
  15. 제14 항에 있어서,
    상기 플라즈마 스크린을 통해 RF 리턴 경로를 제공하는 단계를 더 포함하는,
    기판을 프로세싱하기 위한 방법.
KR1020197007682A 2016-08-26 2017-08-23 플라즈마 프로세싱 챔버를 위한 플라즈마 스크린 KR102390323B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201662380151P 2016-08-26 2016-08-26
US62/380,151 2016-08-26
PCT/US2017/048170 WO2018039315A1 (en) 2016-08-26 2017-08-23 Plasma screen for plasma processing chamber

Publications (2)

Publication Number Publication Date
KR20190036566A true KR20190036566A (ko) 2019-04-04
KR102390323B1 KR102390323B1 (ko) 2022-04-22

Family

ID=61243197

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020197007682A KR102390323B1 (ko) 2016-08-26 2017-08-23 플라즈마 프로세싱 챔버를 위한 플라즈마 스크린

Country Status (6)

Country Link
US (1) US20180061618A1 (ko)
JP (1) JP6994502B2 (ko)
KR (1) KR102390323B1 (ko)
CN (1) CN109643630A (ko)
TW (1) TWI804472B (ko)
WO (1) WO2018039315A1 (ko)

Families Citing this family (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
CN208835019U (zh) * 2018-11-12 2019-05-07 江苏鲁汶仪器有限公司 一种反应腔内衬
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US20200243305A1 (en) * 2019-01-25 2020-07-30 Mattson Technology, Inc. Post Plasma Gas Injection In A Separation Grid
US20210066051A1 (en) * 2019-08-28 2021-03-04 Applied Materials, Inc. High conductance lower shield for process chamber
USD931241S1 (en) 2019-08-28 2021-09-21 Applied Materials, Inc. Lower shield for a substrate processing chamber
US11380524B2 (en) * 2020-03-19 2022-07-05 Applied Materials, Inc. Low resistance confinement liner for use in plasma chamber
USD943539S1 (en) 2020-03-19 2022-02-15 Applied Materials, Inc. Confinement plate for a substrate processing chamber
USD979524S1 (en) 2020-03-19 2023-02-28 Applied Materials, Inc. Confinement liner for a substrate processing chamber
US11499223B2 (en) 2020-12-10 2022-11-15 Applied Materials, Inc. Continuous liner for use in a processing chamber

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20110005680A1 (en) * 2009-07-08 2011-01-13 Ajit Balakrishna Tunable gas flow equalizer
US20160163569A1 (en) * 2011-04-28 2016-06-09 Lam Research Corporation Faraday Shield Having Plasma Density Decoupling Structure Between TCP Coil Zones
KR20160086770A (ko) * 2015-01-12 2016-07-20 램 리써치 코포레이션 임베딩된 전극을 갖는 세라믹 가스 분배 플레이트

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040129218A1 (en) * 2001-12-07 2004-07-08 Toshiki Takahashi Exhaust ring mechanism and plasma processing apparatus using the same
US7686918B2 (en) * 2002-06-21 2010-03-30 Tokyo Electron Limited Magnetron plasma processing apparatus
US6837966B2 (en) * 2002-09-30 2005-01-04 Tokyo Electron Limeted Method and apparatus for an improved baffle plate in a plasma processing system
TW587139B (en) * 2002-10-18 2004-05-11 Winbond Electronics Corp Gas distribution system and method for the plasma gas in the chamber
US7879182B2 (en) * 2003-12-26 2011-02-01 Foundation For Advancement Of International Science Shower plate, plasma processing apparatus, and product manufacturing method
US20090188625A1 (en) * 2008-01-28 2009-07-30 Carducci James D Etching chamber having flow equalizer and lower liner
US20110303146A1 (en) * 2009-12-28 2011-12-15 Osamu Nishijima Plasma doping apparatus
JP5597463B2 (ja) * 2010-07-05 2014-10-01 東京エレクトロン株式会社 基板処理装置及び基板処理方法
US9184028B2 (en) * 2010-08-04 2015-11-10 Lam Research Corporation Dual plasma volume processing apparatus for neutral/ion flux control
TWI568319B (zh) * 2011-10-05 2017-01-21 應用材料股份有限公司 電漿處理設備及其蓋組件(二)
CN107180738B (zh) * 2013-03-15 2019-08-27 应用材料公司 用于旋转压板式ald腔室的等离子体源
US9017526B2 (en) * 2013-07-08 2015-04-28 Lam Research Corporation Ion beam etching system
US9384949B2 (en) * 2014-08-08 2016-07-05 Taiwan Semiconductor Manufacturing Co., Ltd Gas-flow control method for plasma apparatus
CN105789015B (zh) * 2014-12-26 2018-06-29 中微半导体设备(上海)有限公司 一种实现均匀排气的等离子体处理设备

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20110005680A1 (en) * 2009-07-08 2011-01-13 Ajit Balakrishna Tunable gas flow equalizer
US20160163569A1 (en) * 2011-04-28 2016-06-09 Lam Research Corporation Faraday Shield Having Plasma Density Decoupling Structure Between TCP Coil Zones
KR20160086770A (ko) * 2015-01-12 2016-07-20 램 리써치 코포레이션 임베딩된 전극을 갖는 세라믹 가스 분배 플레이트

Also Published As

Publication number Publication date
JP6994502B2 (ja) 2022-01-14
CN109643630A (zh) 2019-04-16
JP2019533274A (ja) 2019-11-14
TW201820379A (zh) 2018-06-01
US20180061618A1 (en) 2018-03-01
WO2018039315A1 (en) 2018-03-01
KR102390323B1 (ko) 2022-04-22
TWI804472B (zh) 2023-06-11

Similar Documents

Publication Publication Date Title
KR102390323B1 (ko) 플라즈마 프로세싱 챔버를 위한 플라즈마 스크린
US10745807B2 (en) Showerhead with reduced backside plasma ignition
JP7175339B2 (ja) 周期的かつ選択的な材料の除去及びエッチングのための処理チャンバ
JP5913312B2 (ja) 中性/イオンフラックスの制御のための半導体ウエハ処理装置、半導体ウエハ処理システム、及び、ガス分配ユニット
CN107564793B (zh) 电感耦合式等离子体(icp)反应器中的功率沉积控制
US9909213B2 (en) Recursive pumping for symmetrical gas exhaust to control critical dimension uniformity in plasma reactors
US9287147B2 (en) Substrate support with advanced edge control provisions
US10727096B2 (en) Symmetric chamber body design architecture to address variable process volume with improved flow uniformity/gas conductance
KR20210044906A (ko) 내장형 rf 차폐부를 갖는 반도체 기판 지지부들
US20110162803A1 (en) Chamber with uniform flow and plasma distribution
KR102586611B1 (ko) 다중 압력 방식들을 위한 동심 펌핑을 갖는 장치
US10780447B2 (en) Apparatus for controlling temperature uniformity of a showerhead
WO2018208645A1 (en) Bevel etch profile control
KR102459959B1 (ko) 플라즈마 시스템 및 필터 장치
US10354844B2 (en) Insulator structure for avoiding abnormal electrical discharge and plasma concentration
KR20130072941A (ko) 플라즈마 식각 장치
JP2017045671A (ja) プラズマ処理装置およびプラズマ処理方法
KR20230016584A (ko) 기판 처리 장치 및 기판 처리 방법
JP2016081863A (ja) プラズマ処理装置
KR20220158778A (ko) 다중 구역 반도체 기판 지지부들
KR20210026560A (ko) 유도결합플라즈마처리장치

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant