JP5913312B2 - 中性/イオンフラックスの制御のための半導体ウエハ処理装置、半導体ウエハ処理システム、及び、ガス分配ユニット - Google Patents
中性/イオンフラックスの制御のための半導体ウエハ処理装置、半導体ウエハ処理システム、及び、ガス分配ユニット Download PDFInfo
- Publication number
- JP5913312B2 JP5913312B2 JP2013523167A JP2013523167A JP5913312B2 JP 5913312 B2 JP5913312 B2 JP 5913312B2 JP 2013523167 A JP2013523167 A JP 2013523167A JP 2013523167 A JP2013523167 A JP 2013523167A JP 5913312 B2 JP5913312 B2 JP 5913312B2
- Authority
- JP
- Japan
- Prior art keywords
- generation space
- plasma generation
- distribution unit
- gas distribution
- plasma
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Active
Links
- 238000009826 distribution Methods 0.000 title claims description 211
- 238000012545 processing Methods 0.000 title claims description 50
- 239000004065 semiconductor Substances 0.000 title claims description 17
- 230000007935 neutral effect Effects 0.000 title description 25
- 230000004907 flux Effects 0.000 title description 23
- 238000000034 method Methods 0.000 claims description 76
- 230000008569 process Effects 0.000 claims description 75
- 239000012530 fluid Substances 0.000 claims description 26
- 239000000758 substrate Substances 0.000 claims description 16
- 230000009977 dual effect Effects 0.000 claims description 13
- 238000004891 communication Methods 0.000 claims description 9
- 239000004020 conductor Substances 0.000 claims description 8
- 230000007246 mechanism Effects 0.000 claims description 4
- 230000006698 induction Effects 0.000 claims description 3
- 238000009616 inductively coupled plasma Methods 0.000 claims description 3
- 230000002093 peripheral effect Effects 0.000 claims 1
- 239000007789 gas Substances 0.000 description 300
- 235000012431 wafers Nutrition 0.000 description 39
- 150000002500 ions Chemical class 0.000 description 32
- 239000000463 material Substances 0.000 description 16
- 238000010494 dissociation reaction Methods 0.000 description 9
- 230000005593 dissociations Effects 0.000 description 9
- 229910052751 metal Inorganic materials 0.000 description 6
- 239000002184 metal Substances 0.000 description 6
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N silicon dioxide Inorganic materials O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 6
- 239000011248 coating agent Substances 0.000 description 5
- 238000000576 coating method Methods 0.000 description 5
- 238000001816 cooling Methods 0.000 description 5
- 239000000203 mixture Substances 0.000 description 5
- 229910052710 silicon Inorganic materials 0.000 description 4
- 239000010703 silicon Substances 0.000 description 4
- YCKRFDGAMUMZLT-UHFFFAOYSA-N Fluorine atom Chemical compound [F] YCKRFDGAMUMZLT-UHFFFAOYSA-N 0.000 description 3
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 3
- 229910052782 aluminium Inorganic materials 0.000 description 3
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 3
- 230000015572 biosynthetic process Effects 0.000 description 3
- 239000012809 cooling fluid Substances 0.000 description 3
- SIWVEOZUMHYXCS-UHFFFAOYSA-N oxo(oxoyttriooxy)yttrium Chemical compound O=[Y]O[Y]=O SIWVEOZUMHYXCS-UHFFFAOYSA-N 0.000 description 3
- 239000010453 quartz Substances 0.000 description 3
- 238000000926 separation method Methods 0.000 description 3
- HBMJWWWQQXIZIP-UHFFFAOYSA-N silicon carbide Chemical compound [Si+]#[C-] HBMJWWWQQXIZIP-UHFFFAOYSA-N 0.000 description 3
- 229910010271 silicon carbide Inorganic materials 0.000 description 3
- 229910052814 silicon oxide Inorganic materials 0.000 description 3
- 229910001220 stainless steel Inorganic materials 0.000 description 3
- 239000010935 stainless steel Substances 0.000 description 3
- 239000000126 substance Substances 0.000 description 3
- 239000002470 thermal conductor Substances 0.000 description 3
- 238000007792 addition Methods 0.000 description 2
- 238000013459 approach Methods 0.000 description 2
- 238000010292 electrical insulation Methods 0.000 description 2
- 238000002955 isolation Methods 0.000 description 2
- 238000004519 manufacturing process Methods 0.000 description 2
- 230000035515 penetration Effects 0.000 description 2
- 230000001681 protective effect Effects 0.000 description 2
- 238000006467 substitution reaction Methods 0.000 description 2
- XLYOFNOQVPJJNP-UHFFFAOYSA-N water Substances O XLYOFNOQVPJJNP-UHFFFAOYSA-N 0.000 description 2
- 230000002411 adverse Effects 0.000 description 1
- 238000000231 atomic layer deposition Methods 0.000 description 1
- 230000000903 blocking effect Effects 0.000 description 1
- 230000001419 dependent effect Effects 0.000 description 1
- 238000010586 diagram Methods 0.000 description 1
- 238000009792 diffusion process Methods 0.000 description 1
- 238000005516 engineering process Methods 0.000 description 1
- 238000005530 etching Methods 0.000 description 1
- 238000010438 heat treatment Methods 0.000 description 1
- 238000003780 insertion Methods 0.000 description 1
- 230000037431 insertion Effects 0.000 description 1
- 238000009434 installation Methods 0.000 description 1
- 150000002739 metals Chemical class 0.000 description 1
- 239000003566 sealing material Substances 0.000 description 1
- 230000036962 time dependent Effects 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32009—Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
- H01J37/32082—Radio frequency generated discharge
- H01J37/32091—Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/3244—Gas supply means
- H01J37/32449—Gas control, e.g. control of the gas flow
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/32697—Electrostatic control
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/32715—Workpiece holder
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/32798—Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
- H01J37/32816—Pressure
- H01J37/32834—Exhausting
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67017—Apparatus for fluid treatment
- H01L21/67063—Apparatus for fluid treatment for etching
- H01L21/67069—Apparatus for fluid treatment for etching for drying etching
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67242—Apparatus for monitoring, sorting or marking
- H01L21/67259—Position monitoring, e.g. misposition detection or presence detection
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/683—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
- H01L21/6831—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L22/00—Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
- H01L22/20—Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
- H01L22/26—Acting in response to an ongoing measurement without interruption of processing, e.g. endpoint detection, in-situ thickness measurement
Landscapes
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- Plasma & Fusion (AREA)
- Chemical & Material Sciences (AREA)
- Analytical Chemistry (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Manufacturing & Machinery (AREA)
- Computer Hardware Design (AREA)
- Power Engineering (AREA)
- General Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- Plasma Technology (AREA)
- Drying Of Semiconductors (AREA)
- Chemical Vapour Deposition (AREA)
- Particle Accelerators (AREA)
Description
本発明は、以下の適用例としても実現可能である。
[適用例1]
半導体ウエハ処理装置であって、
第1のプラズマ発生空間に暴露される第1の電極であって、無線周波数(RF)電力を前記第1のプラズマ発生空間に供給するように定められ、更に、第1のプラズマプロセスガスを前記第1のプラズマ発生空間に分配するように定められた第1の電極と、
第2のプラズマ発生空間に暴露される第2の電極であって、RF電力を第2のプラズマ発生空間に供給するように定められ、更に、基板を前記第2のプラズマ発生空間に暴露させて保持するように定められた第2の電極と、
前記第1のプラズマ発生空間と前記第2のプラズマ発生空間との間に配されたガス分配ユニットであって、前記第1のプラズマ発生空間を前記第2のプラズマ発生空間に流体接続するためにそれぞれ前記ガス分配ユニットを通って伸びる貫通穴の配列を含むように定められ、更に、第2のプラズマプロセスガスを前記第2のプラズマ発生空間に分配するように定められたガス供給ポートの配列を含むように定められたガス分配ユニットと、
を備える装置。
[適用例2]
適用例1に記載の装置であって、
前記第1の電極は、前記第1のプラズマ発生空間に面している複数の同心の放射状ゾーン内に配置された複数のガス供給ポートを含むように定められ、各同心放射状ゾーン内の前記ガス供給ポートは、各同心放射状ゾーンへの前記第1のプラズマプロセスガスの供給が独立に制御されるように、それぞれのガス流量制御機器に配管される、装置。
[適用例3]
適用例1に記載の装置であって、
前記第1の電極は、前記第1のプラズマ発生空間の上表面を形成し、
前記第2の電極は、前記第2のプラズマ発生空間の下表面を形成し、
前記ガス分配ユニットは、その上表面が前記第1のプラズマ発生空間の下方境界を提供するように及びその下表面が前記第2のプラズマ発生空間の上方境界を提供するように前記第1のプラズマ発生空間を前記第2のプラズマ発生空間から分離するために形成された板として定められ、前記貫通穴のそれぞれは、前記板を通って前記板の前記上表面から前記板の前記下表面へ伸びる、装置。
[適用例4]
適用例3に記載の装置であって、
前記板は、前記第2のプラズマプロセスガスを前記第2のプラズマ発生空間に分配するために前記板の前記下表面上に定められたガス供給ポートの配列に流体接続されたガス供給内部流路を含む、装置。
[適用例5]
適用例4に記載の装置であって、
前記ガス供給流路は、それぞれの領域における前記ガス供給ポートへの前記第2のプラズマプロセスガスの流量が独立に制御されるように、前記ガス供給ポートの配列を前記板の前記下表面全域にわたり複数の同心領域に流体的に分離するように定められる、装置。
[適用例6]
適用例1に記載の装置であって、
前記ガス分配ユニットは、導電性材料で形成され、前記第1のプラズマ発生空間及び前記第2のプラズマ発生空間の両方のための接地電極を提供するように基準接地電位に電気的に接続される、装置。
[適用例7]
適用例1に記載の装置であって、
前記第1の電極は、第1のRF電源に電気的に接続され、
前記第2の電極は、前記第1のRF電源とは別の第2のRF電源に電気的に接続される、装置。
[適用例8]
適用例1に記載の装置であって、
前記第2の電極は、静電気引力を通じて前記基板を保持するように定められる、装置。
[適用例9]
適用例1に記載の装置であって、
前記第2の電極は、前記第2の電極及び前記ガス分配ユニットの両方に垂直な方向に沿った前記第2のプラズマ発生空間の距離の制御を提供するために、前記ガス分配ユニットに近づく方向及び前記ガス分配ユニットから遠ざかる方向に移動可能である、装置。
[適用例10]
適用例1に記載の装置であって、
前記第1のプラズマ発生空間は、前記第1の電極の半径方向周縁の外側及び前記ガス分配ユニットの半径方向周縁の外側で前記第1のプラズマ発生空間を取り巻くように定められた排出流路を通して排気されるように定められ、
前記半導体ウエハ処理装置は、更に、前記第1のプラズマ発生空間から前記排出流路を通るガスの流れを絞り調整するために前記排出流路内で移動するように定められた圧力スロットルリングを備える装置。
[適用例11]
適用例10に記載の装置であって、更に、
前記第1のプラズマ発生空間内の圧力を測定するように配された圧力測定機器と、
前記圧力測定機器から得られた前記第1のプラズマ発生空間内の測定圧力に基づいて前記排出流路内における前記圧力スロットルリングの位置を制御するように定められたフィードバック制御メカニズムと、
を備える装置。
[適用例12]
適用例1に記載の装置であって、
前記第2のプラズマ発生空間は、前記第2の電極の半径方向周縁の外側及び前記ガス分配ユニットの半径方向周縁の外側で前記第2のプラズマ発生空間を取り巻くように定められたスロット付き流路群を通して排気されるように定められ、
前記半導体ウエハ処理装置は、更に、前記スロット付き流路群にあてがわれたときに前記スロット付き流路群を覆うように定められた圧力制御リングであって、前記第2のプラズマ発生空間から前記スロット付き流路群を通るガスの流れを絞り調整するために前記スロット付き流路群に近づくように及び前記スロット付き流路群から遠ざかるように移動するように定められた圧力制御リングを備える装置。
[適用例13]
適用例12に記載の装置であって、更に、
前記第2のプラズマ発生空間内の圧力を測定するように配された圧力測定機器と、
前記圧力測定機器から得られた前記第2のプラズマ発生空間内の測定圧力に基づいて前記スロット付き流路群に相対的に前記圧力制御リングの位置を制御するように定められたフィードバック制御メカニズムと、
を備える装置。
[適用例14]
適用例1に記載の装置であって、
各貫通穴は、前記第1のプラズマ発生空間に暴露されている前記ガス分配ユニットの上表面から前記第2のプラズマ発生空間に暴露されている前記ガス分配ユニットの下表面へ、前記ガス分配ユニットの前記上表面と前記下表面との間で垂直に伸びる基準方向からずれた角度で伸びるように定められ、前記角度は、各貫通穴の場所において前記基準方向に前記ガス分配ユニットを見通す視線を遮るのに十分な大きさである、装置。
[適用例15]
半導体ウエハ処理のためのシステムであって、
内部空洞と、前記内部空洞を排出ポンプに流体接続するための排出ポートとを有するように定められたチャンバと、
前記チャンバの前記内部空洞内に配された二重プラズマ処理装置であって、
上方プラズマ発生空間を含む上方プラズマチャンバと、
第1のプラズマプロセスガス及び無線周波数(RF)電力を前記上方プラズマ発生空間に供給するために前記上方プラズマ発生空間の上方に定められたシャワーヘッド電極と、
下方プラズマ発生空間を含む下方プラズマチャンバと、
前記上方プラズマ発生空間と前記下方プラズマ発生空間との間に配されたガス分配ユニットであって、第2のプラズマプロセスガスを前記下方プラズマ発生空間に供給するように定められ、更に、前記上方プラズマ発生空間と前記下方プラズマ発生空間との間で制御された流体連通を提供するように定められたガス分配ユニットと、
を含む二重プラズマ処理装置と、
前記下方プラズマ発生空間の下方において前記チャンバの前記内部空洞内に配されたチャックであって、基板を前記下方プラズマ発生空間に暴露させて保持するように定められ、更に、RF電力を前記下方プラズマ発生空間に供給するように定められたチャックと、
を備え、
前記上方プラズマチャンバ及び前記下方プラズマチャンバのそれぞれは、前記上方プラズマ発生空間及び前記下方プラズマ発生空間を前記チャンバの内部空洞内へ排気するようにそれぞれ定められる、システム。
[適用例16]
適用例15に記載のシステムであって、
前記ガス分配ユニットは、導電性材料で形成され、前記上方プラズマ発生空間及び前記下方プラズマ発生空間の両方のための接地電極として機能するように基準接地電位に電気的に接続される、システム。
[適用例17]
適用例15に記載のシステムであって、
前記ガス分配ユニットは、前記上方プラズマ発生空間と前記下方プラズマ発生空間との間で制御された流体連通を提供するために、前記上方プラズマ発生空間に面している前記ガス分配ユニットの上表面から前記下方プラズマ発生空間に面している前記ガス分配ユニットの下表面へ伸びるように形成された貫通穴の配列を含む、システム。
[適用例18]
適用例15に記載のシステムであって、
前記チャックは、前記チャックと前記ガス分配ユニットとの間で垂直方向に前記下方プラズマ発生空間の距離の制御を提供するために、前記ガス分配ユニットに近づく方向及び前記ガス分配ユニットから遠ざかる方向に移動可能である、システム。
[適用例19]
適用例15に記載のシステムであって、
前記上方プラズマチャンバは、前記シャワーヘッド電極の半径方向周縁の外側及び前記ガス分配ユニットの半径方向周縁の外側で前記下方プラズマ発生空間を取り巻くように定められた排出流路を通して排気されるように定められ、
前記システムは、更に、前記上方プラズマ発生空間から前記排出流路を通るガスの流れを絞り調整するために前記排出流路内で移動するように定められた圧力スロットルリングを備えるシステム。
[適用例20]
適用例15に記載のシステムであって、
前記下方プラズマ発生空間は、前記チャックの半径方向周縁の外側及び前記ガス分配ユニットの半径方向周縁の外側で前記下方プラズマ発生空間を取り巻くように定められたスロット付き流路群を通して排気されるように定められ、
前記システムは、更に、前記スロット付き流路群にあてがわれたときに前記スロット付き流路群を覆うように定められた圧力制御リングであって、前記下方プラズマ発生空間から前記スロット付き流路群を通るガスの流れを絞り調整するために前記スロット付き流路群に近づくように及び前記スロット付き流路群から遠ざかるように移動するように定められた圧力制御リングを備えるシステム。
[適用例21]
適用例15に記載のシステムであって、更に、
前記シャワーヘッド電極を通して前記上方プラズマ発生空間にRF電力を供給するために電気的に接続された第1のRF電源と、
前記チャックを通して前記下方プラズマ発生空間にRF電力を供給するために電気的に接続され、前記第1のRF電源から独立している第2のRF電源と、
前記シャワーヘッド電極に流体接続された第1のプラズマプロセスガス供給部と、
前記ガス分配ユニットに流体接続された第2のプラズマプロセスガス供給部と、
を備え、
前記第1のプラズマプロセスガス供給部及び前記第2のプラズマプロセスガス供給部のそれぞれは、前記上方プラズマ発生空間及び前記下方プラズマ発生空間へのプラズマプロセスガスの流量が独立に制御可能であるように、独立に制御可能である、システム。
[適用例22]
ガス分配ユニットであって、
その上表面が前記上方プラズマ発生空間の下方境界を提供するように及びその下表面が前記下方プラズマ発生空間の上方境界を提供するように前記上方プラズマ発生空間を前記下方プラズマ発生空間から分離するために形成された板を備え、
前記板は、前記上方プラズマ発生空間を前記下方プラズマ発生空間に流体接続するためにそれぞれ前記板を通って前記板の前記上表面から前記板の前記下表面へ伸びる貫通穴の配列を含み、
前記板は、プラズマプロセスガスを前記下方プラズマ発生空間に分配するために前記板の前記下表面上に定められたガス供給ポートの配列に流体接続されたガス供給内部流路を含む、ガス分配ユニット。
[適用例23]
適用例22に記載のガス分配ユニットであって、
前記板は、導電性材料で形成され、前記板は、前記上方プラズマ発生空間及び前記下方プラズマ発生空間のそれぞれのための接地電極を提供するために基準接地電位に電気的に接続される、ガス分配ユニット。
[適用例24]
適用例22に記載のガス分配ユニットであって、
前記ガス供給流路及び前記ガス供給ポートは、前記プラズマプロセスガスが前記下方プラズマ発生空間には分配されるが前記上方プラズマ発生空間には分配されないように、前記貫通穴の配列の間に定められる、ガス分配ユニット。
[適用例25]
適用例22に記載のガス分配ユニットであって、
前記ガス供給流路は、それぞれの領域における前記ガス供給ポートへの前記プラズマプロセスガスの流量が独立に制御されるように、前記ガス供給ポートの配列を前記板の前記下表面全域にわたり複数の同心領域に流体的に分離するように定められる、ガス分配ユニット。
[適用例26]
適用例22に記載のガス分配ユニットであって、
各貫通穴は、前記板の前記上表面から前記板の前記下表面へ、前記板の前記上表面と前記下表面との間で垂直に伸びる基準方向からずれた角度で伸びるように定められ、前記角度は、各貫通穴の場所において前記基準方向に前記板を見通す視線を遮るのに十分な大きさである、ガス分配ユニット。
[適用例27]
適用例22に記載のガス分配ユニットであって、更に、
前記板の前記上表面上に配されるように形成された円盤であって、前記円盤を通って伸びる複数の穴パターンを含む円盤を備え、
前記複数の穴パターンのそれぞれは、前記円盤を前記板の前記上表面に対して或る特定の回転位置で前記板の前記上表面上に配することが、前記複数の穴パターンのうちの特定の一パターンを前記板内の対応する貫通穴群と合致させることに相当するように、前記板内の異なる貫通穴群と合致しており、
前記円盤は、前記円盤と前記板との間に熱伝導及び電気伝導が存在するように前記板に固定される、ガス分配ユニット。
[適用例28]
適用例27に記載のガス分配ユニットであって、
前記円盤を通る前記複数の穴パターンのそれぞれは、前記板内の異なる数の又は異なる空間パターンの貫通穴を暴露させるように定められる、ガス分配ユニット。
[適用例29]
適用例22に記載のガス分配ユニットであって、更に、
前記板の前記上表面上に同心状に配されるように形成された、中心円盤及び複数の同心リングを備え、
前記中心円盤及び前記複数の同心リングのそれぞれは、その中を通って伸びる複数の穴パターンをそれぞれ含み、
前記複数の穴パターンのそれぞれは、前記板の前記上表面に対して或る特定の回転位置で前記中心円盤及び前記同心リングのそれぞれを前記板の前記上表面上に配することが、前記複数の穴パターンのうちの特定の一パターンを前記板内の対応する貫通穴群と合致させることに相当するように、前記板内の異なる貫通穴群と合致しており、
前記中心円盤及び前記幾つかの同心リングのそれぞれは、前記板と前記中心円盤及び前記幾つかの同心リングのそれぞれとの間に熱伝導及び電気伝導が存在するように前記板に固定される、ガス分配ユニット。
[適用例30]
適用例29に記載のガス分配ユニットであって、
前記中心円盤及び前記幾つかの同心リングを通って伸びる前記複数の穴パターンのそれぞれは、前記板内の異なる数の又は異なる空間パターンの貫通穴を暴露させるように定められる、ガス分配ユニット。
[適用例31]
適用例29に記載のガス分配ユニットであって、
前記中心円盤及び前記幾つかの同心リングは、前記板の前記上表面に対してそれぞれの回転位置へ独立に移動可能である、ガス分配ユニット。
Claims (32)
- 半導体ウエハ処理装置であって、
第1のプラズマ発生空間に暴露される第1の電極であって、無線周波数(RF)電力を前記第1のプラズマ発生空間に供給するように定められ、更に、第1のプラズマプロセスガスを前記第1のプラズマ発生空間に分配するように定められた第1の電極と、
第2のプラズマ発生空間に暴露される第2の電極であって、RF電力を第2のプラズマ発生空間に供給するように定められ、更に、基板を前記第2のプラズマ発生空間に暴露させて保持するように定められた第2の電極と、
前記第1のプラズマ発生空間と前記第2のプラズマ発生空間との間に配されたガス分配ユニットであって、前記第1のプラズマ発生空間を前記第2のプラズマ発生空間に流体接続するためにそれぞれ前記ガス分配ユニットを通って伸びる貫通穴の配列を含むように定められ、更に、第2のプラズマプロセスガスを前記第2のプラズマ発生空間に分配するように定められたガス供給ポートの配列に流体接続されたガス供給内部流路を含むように定められたガス分配ユニットと、
を備え、
前記ガス分配ユニットは、前記貫通穴の周囲と前記ガス供給ポートの一部の周囲と前記ガス供給内部流路の水平部分の下側とを定める埋め込み電極を有し、前記埋め込み電極のそれぞれは、前記ガス分配ユニットの外側にある一つ以上の直流バイアス源のいずれかに接続されるように定められ、
前記半導体ウエハ処理装置は、更に、
前記第1の電極の半径方向周縁の外側及び前記ガス分配ユニットの半径方向周縁の外側で前記第1のプラズマ発生空間を取り巻くように形成された排出流路を備える、装置。 - 請求項1に記載の装置であって、
前記第1の電極は、前記第1のプラズマ発生空間に面している複数の同心の放射状ゾーン内に配置された複数のガス供給ポートを含むように定められ、各同心放射状ゾーン内の前記ガス供給ポートは、各同心放射状ゾーンへの前記第1のプラズマプロセスガスの供給が独立に制御されるように、それぞれのガス流量制御機器に配管される、装置。 - 請求項1に記載の装置であって、
前記第1の電極は、前記第1のプラズマ発生空間の上表面を形成し、
前記第2の電極は、前記第2のプラズマ発生空間の下表面を形成し、
前記ガス分配ユニットは、その上表面が前記第1のプラズマ発生空間の下方境界を提供するように及びその下表面が前記第2のプラズマ発生空間の上方境界を提供するように前記第1のプラズマ発生空間を前記第2のプラズマ発生空間から分離するために形成された板として定められ、前記貫通穴のそれぞれは、前記板を通って前記板の前記上表面から前記板の前記下表面へ伸びる、装置。 - 請求項3に記載の装置であって、
前記ガス供給内部流路は、それぞれの領域における前記ガス供給ポートへの前記第2のプラズマプロセスガスの流量が独立に制御されるように、前記ガス供給ポートの配列を前記板の前記下表面全域にわたり複数の同心領域に流体的に分離するように定められる、装置。 - 請求項1に記載の装置であって、
前記ガス分配ユニットは、導電性材料で形成され、前記第1のプラズマ発生空間及び前記第2のプラズマ発生空間の両方のための接地電極を提供するように基準接地電位に電気的に接続される、装置。 - 請求項1に記載の装置であって、
前記第1の電極は、第1のRF電源に電気的に接続され、
前記第2の電極は、前記第1のRF電源とは別の第2のRF電源に電気的に接続される、装置。 - 請求項1に記載の装置であって、
前記第2の電極は、静電気引力を通じて前記基板を保持するように定められる、装置。 - 請求項1に記載の装置であって、
前記第2の電極は、前記第2の電極及び前記ガス分配ユニットの両方に垂直な方向に沿った前記第2のプラズマ発生空間の距離の制御を提供するために、前記ガス分配ユニットに近づく方向及び前記ガス分配ユニットから遠ざかる方向に移動可能である、装置。 - 請求項1に記載の装置であって、
更に、前記第1のプラズマ発生空間から前記排出流路を通るガスの流れを絞り調整するために前記排出流路内で制御されて移動するように構成された圧力スロットルリングを備える装置。 - 請求項9に記載の装置であって、更に、
前記第1のプラズマ発生空間内の圧力を測定するように配された圧力測定機器と、
前記圧力測定機器から得られた前記第1のプラズマ発生空間内の測定圧力に基づいて前記排出流路内における前記圧力スロットルリングの位置を制御するように定められたフィードバック制御メカニズムと、
を備える装置。 - 請求項1に記載の装置であって、
前記第2のプラズマ発生空間は、前記第2の電極の半径方向周縁の外側及び前記ガス分配ユニットの半径方向周縁の外側で前記第2のプラズマ発生空間を取り巻くように定められたスロット付き流路群を通して排気されるように定められ、
前記半導体ウエハ処理装置は、更に、前記スロット付き流路群にあてがわれたときに前記スロット付き流路群を覆うように定められた圧力制御リングであって、前記第2のプラズマ発生空間から前記スロット付き流路群を通るガスの流れを絞り調整するために前記スロット付き流路群に近づくように及び前記スロット付き流路群から遠ざかるように移動するように定められた圧力制御リングを備える装置。 - 請求項11に記載の装置であって、更に、
前記第2のプラズマ発生空間内の圧力を測定するように配された圧力測定機器と、
前記圧力測定機器から得られた前記第2のプラズマ発生空間内の測定圧力に基づいて前記スロット付き流路群に相対的に前記圧力制御リングの位置を制御するように定められたフィードバック制御メカニズムと、
を備える装置。 - 請求項1に記載の装置であって、
各貫通穴は、前記第1のプラズマ発生空間に暴露されている前記ガス分配ユニットの上表面から前記第2のプラズマ発生空間に暴露されている前記ガス分配ユニットの下表面へ、前記ガス分配ユニットの前記上表面と前記下表面との間で垂直に伸びる基準方向からずれた角度で伸びるように定められ、前記角度は、各貫通穴の場所において前記基準方向に前記ガス分配ユニットを見通す視線を遮るのに十分な大きさである、装置。 - 半導体ウエハ処理のためのシステムであって、
内部空洞と、前記内部空洞を排出ポンプに流体接続するための排出ポートとを有するように定められたチャンバと、
前記チャンバの前記内部空洞内に配された二重プラズマ処理装置であって、
上方プラズマ発生空間を含む上方プラズマチャンバと、
第1のプラズマプロセスガス及び無線周波数(RF)電力を前記上方プラズマ発生空間に供給するために前記上方プラズマ発生空間の上方に定められたシャワーヘッド電極と、
下方プラズマ発生空間を含む下方プラズマチャンバと、
前記上方プラズマ発生空間と前記下方プラズマ発生空間との間に配されたガス分配ユニットであって、第2のプラズマプロセスガスを前記下方プラズマ発生空間に供給するように定められ、前記上方プラズマ発生空間に面している前記ガス分配ユニットの上表面から前記下方プラズマ発生空間に面している前記ガス分配ユニットの下表面へ延びるように形成された貫通穴の配列を含み、前記上方プラズマ発生空間と前記下方プラズマ発生空間との間で制御された流体連通を提供するガス分配ユニットと、
を備え、
前記ガス分配ユニットは、プラズマプロセスガスを前記下方プラズマ発生空間に分配するように前記ガス分配ユニットの前記下表面に定められたガス供給ポートの配列に流体接続されたガス供給内部流路を含み、
前記ガス分配ユニットは、前記貫通穴の周囲と前記ガス供給ポートの一部の周囲と前記ガス供給内部流路の水平部分の下側とを定める埋め込み電極を有し、前記埋め込み電極のそれぞれは、前記ガス分配ユニットの外側にある一つ以上の直流バイアス源のいずれかに接続されるように定められ、
前記二重プラズマ処理装置は、更に、
前記シャワーヘッド電極の半径方向周縁の外側及び前記ガス分配ユニットの半径方向周縁の外側で前記上方プラズマ発生空間を取り巻くように形成された排出流路を含み、
前記システムは、更に、
前記下方プラズマ発生空間の下方において前記チャンバの前記内部空洞内に配されたチャックであって、基板を前記下方プラズマ発生空間に暴露させて保持するように定められ、更に、RF電力を前記下方プラズマ発生空間に供給するように定められたチャックを備える、システム。 - 請求項14に記載のシステムであって、
前記ガス分配ユニットは、導電性材料で形成され、前記上方プラズマ発生空間及び前記下方プラズマ発生空間の両方のための接地電極として機能するように基準接地電位に電気的に接続される、システム。 - 請求項14に記載のシステムであって、
前記チャックは、前記チャックと前記ガス分配ユニットとの間で垂直方向に前記下方プラズマ発生空間の距離の制御を提供するために、前記ガス分配ユニットに近づく方向及び前記ガス分配ユニットから遠ざかる方向に移動可能である、システム。 - 請求項14に記載のシステムであって、
前記システムは、更に、前記上方プラズマ発生空間から前記排出流路を通るガスの流れを絞り調整するために前記排出流路内で制御されて移動するように構成された圧力スロットルリングを備えるシステム。 - 請求項14に記載のシステムであって、
前記下方プラズマチャンバは、前記チャックの半径方向周縁の外側及び前記ガス分配ユニットの半径方向周縁の外側で前記下方プラズマ発生空間を取り巻くように定められたスロット付き流路群を通して排気されるように定められ、
前記システムは、更に、前記スロット付き流路群にあてがわれたときに前記スロット付き流路群を覆うように定められた圧力制御リングであって、前記下方プラズマ発生空間から前記スロット付き流路群を通るガスの流れを絞り調整するために前記スロット付き流路群に近づくように及び前記スロット付き流路群から遠ざかるように移動するように定められた圧力制御リングを備えるシステム。 - 請求項14に記載のシステムであって、更に、
前記シャワーヘッド電極を通して前記上方プラズマ発生空間にRF電力を供給するために電気的に接続された第1のRF電源と、
前記チャックを通して前記下方プラズマ発生空間にRF電力を供給するために電気的に接続され、前記第1のRF電源から独立している第2のRF電源と、
前記シャワーヘッド電極に流体接続された第1のプラズマプロセスガス供給部と、
前記ガス分配ユニットに流体接続された第2のプラズマプロセスガス供給部と、
を備え、
前記第1のプラズマプロセスガス供給部及び前記第2のプラズマプロセスガス供給部のそれぞれは、前記上方プラズマ発生空間及び前記下方プラズマ発生空間へのプラズマプロセスガスの流量が独立に制御可能であるように、独立に制御可能である、システム。 - ガス分配ユニットであって、
上表面と下表面とを有し、上方プラズマ発生空間を下方プラズマ発生空間から分離するために形成された板と、
前記板内に埋め込まれた電極と、
を備え、
前記板は、前記上方プラズマ発生空間を前記下方プラズマ発生空間に流体接続するためにそれぞれ前記板を通って前記板の前記上表面から前記板の前記下表面へ伸びる貫通穴の配列を含み、
前記板は、プラズマプロセスガスを前記下方プラズマ発生空間に分配するために前記板の前記下表面上に定められたガス供給ポートの配列に流体接続されたガス供給内部流路を含み、
前記電極は、前記貫通穴の周囲と前記ガス供給ポートの一部の周囲と前記ガス供給内部流路の水平部分の下側とを定め、前記電極のそれぞれは、前記板の外にある一つ以上の直流バイアス源のいずれかに接続されるように定められる、
ガス分配ユニット。 - 請求項20に記載のガス分配ユニットであって、
前記板は、導電性材料で形成され、前記板は、前記上方プラズマ発生空間及び前記下方プラズマ発生空間のそれぞれのための接地電極を提供するために基準接地電位に電気的に接続される、ガス分配ユニット。 - 請求項20に記載のガス分配ユニットであって、
前記ガス供給内部流路及び前記ガス供給ポートは、前記プラズマプロセスガスが前記下方プラズマ発生空間には分配されるが前記上方プラズマ発生空間には分配されないように、前記貫通穴の配列の間に定められる、ガス分配ユニット。 - 請求項20に記載のガス分配ユニットであって、
前記ガス供給内部流路は、それぞれの領域における前記ガス供給ポートへの前記プラズマプロセスガスの流量が独立に制御されるように、前記ガス供給ポートの配列を前記板の前記下表面全域にわたり複数の同心領域に流体的に分離するように定められる、ガス分配ユニット。 - 請求項20に記載のガス分配ユニットであって、
各貫通穴は、前記板の前記上表面から前記板の前記下表面へ、前記板の前記上表面と前記下表面との間で垂直に伸びる基準方向からずれた角度で伸びるように定められ、前記角度は、各貫通穴の場所において前記基準方向に前記板を見通す視線を遮るのに十分な大きさである、ガス分配ユニット。 - 請求項20に記載のガス分配ユニットであって、更に、
前記板の前記上表面上に配されるように形成された円盤であって、前記円盤を通って伸びる複数の穴パターンを含む円盤を備え、
前記複数の穴パターンのそれぞれは、前記円盤を前記板の前記上表面に対して或る特定の回転位置で前記板の前記上表面上に配することが、前記複数の穴パターンのうちの特定の一パターンを前記板内の対応する貫通穴群と合致させることに相当するように、前記板内の異なる貫通穴群と合致しており、
前記円盤は、前記円盤と前記板との間に熱伝導及び電気伝導が存在するように前記板に固定される、ガス分配ユニット。 - 請求項25に記載のガス分配ユニットであって、
前記円盤を通る前記複数の穴パターンのそれぞれは、前記板内の異なる数の又は異なる空間パターンの貫通穴を暴露させるように定められる、ガス分配ユニット。 - 請求項20に記載のガス分配ユニットであって、更に、
前記板の前記上表面上に同心状に配されるように形成された、中心円盤及び複数の同心リングを備え、
前記中心円盤及び前記複数の同心リングのそれぞれは、その中を通って伸びる複数の穴パターンをそれぞれ含み、
前記複数の穴パターンのそれぞれは、前記板の前記上表面に対して或る特定の回転位置で前記中心円盤及び前記同心リングのそれぞれを前記板の前記上表面上に配することが、前記複数の穴パターンのうちの特定の一パターンを前記板内の対応する貫通穴群と合致させることに相当するように、前記板内の異なる貫通穴群と合致しており、
前記中心円盤及び前記幾つかの同心リングのそれぞれは、前記板と前記中心円盤及び前記幾つかの同心リングのそれぞれとの間に熱伝導及び電気伝導が存在するように前記板に固定される、ガス分配ユニット。 - 請求項27に記載のガス分配ユニットであって、
前記中心円盤及び前記幾つかの同心リングを通って伸びる前記複数の穴パターンのそれぞれは、前記板内の異なる数の又は異なる空間パターンの貫通穴を暴露させるように定められる、ガス分配ユニット。 - 請求項27に記載のガス分配ユニットであって、
前記中心円盤及び前記幾つかの同心リングは、前記板の前記上表面に対してそれぞれの回転位置へ独立に移動可能である、ガス分配ユニット。 - 請求項1に記載の装置であって、
前記ガス分配ユニット内に配置された前記埋め込み電極は、複数の個別に制御可能なゾーン内に定められ、各ゾーンは異なる直流バイアス源に接続される、装置。 - 請求項1に記載の装置であって、更に、
前記第1のプラズマ発生空間を誘導結合プラズマチャンバとして動作させるように定められた誘導コイルを備える、装置。 - 請求項14に記載のシステムであって、
前記二重プラズマ処理装置は、前記上方プラズマチャンバを誘導結合プラズマチャンバとして動作させるように定められた誘導コイルを備える、システム。
Applications Claiming Priority (3)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US12/850,559 US9184028B2 (en) | 2010-08-04 | 2010-08-04 | Dual plasma volume processing apparatus for neutral/ion flux control |
US12/850,559 | 2010-08-04 | ||
PCT/US2011/041524 WO2012018449A2 (en) | 2010-08-04 | 2011-06-22 | Dual plasma volume processing apparatus for neutral/ion flux control |
Related Child Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2016074072A Division JP6110540B2 (ja) | 2010-08-04 | 2016-04-01 | 半導体ウエハ処理装置、及び、半導体ウェハを処理する方法 |
Publications (3)
Publication Number | Publication Date |
---|---|
JP2013541177A JP2013541177A (ja) | 2013-11-07 |
JP2013541177A5 JP2013541177A5 (ja) | 2014-08-07 |
JP5913312B2 true JP5913312B2 (ja) | 2016-04-27 |
Family
ID=45555213
Family Applications (2)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2013523167A Active JP5913312B2 (ja) | 2010-08-04 | 2011-06-22 | 中性/イオンフラックスの制御のための半導体ウエハ処理装置、半導体ウエハ処理システム、及び、ガス分配ユニット |
JP2016074072A Active JP6110540B2 (ja) | 2010-08-04 | 2016-04-01 | 半導体ウエハ処理装置、及び、半導体ウェハを処理する方法 |
Family Applications After (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2016074072A Active JP6110540B2 (ja) | 2010-08-04 | 2016-04-01 | 半導体ウエハ処理装置、及び、半導体ウェハを処理する方法 |
Country Status (7)
Country | Link |
---|---|
US (2) | US9184028B2 (ja) |
JP (2) | JP5913312B2 (ja) |
KR (2) | KR101998542B1 (ja) |
CN (2) | CN105719932B (ja) |
SG (2) | SG10201505975QA (ja) |
TW (1) | TWI605495B (ja) |
WO (1) | WO2012018449A2 (ja) |
Families Citing this family (226)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US9493875B2 (en) * | 2008-09-30 | 2016-11-15 | Eugene Technology Co., Ltd. | Shower head unit and chemical vapor deposition apparatus |
FI124414B (fi) * | 2010-04-30 | 2014-08-29 | Beneq Oy | Lähde ja järjestely substraatin käsittelemiseksi |
US9324576B2 (en) | 2010-05-27 | 2016-04-26 | Applied Materials, Inc. | Selective etch for silicon films |
US20130059448A1 (en) * | 2011-09-07 | 2013-03-07 | Lam Research Corporation | Pulsed Plasma Chamber in Dual Chamber Configuration |
US8869742B2 (en) * | 2010-08-04 | 2014-10-28 | Lam Research Corporation | Plasma processing chamber with dual axial gas injection and exhaust |
US9184028B2 (en) | 2010-08-04 | 2015-11-10 | Lam Research Corporation | Dual plasma volume processing apparatus for neutral/ion flux control |
US9793126B2 (en) | 2010-08-04 | 2017-10-17 | Lam Research Corporation | Ion to neutral control for wafer processing with dual plasma source reactor |
US20120052216A1 (en) * | 2010-08-27 | 2012-03-01 | Applied Materials, Inc. | Gas distribution showerhead with high emissivity surface |
US10283321B2 (en) | 2011-01-18 | 2019-05-07 | Applied Materials, Inc. | Semiconductor processing system and methods using capacitively coupled plasma |
US8771539B2 (en) | 2011-02-22 | 2014-07-08 | Applied Materials, Inc. | Remotely-excited fluorine and water vapor etch |
JP5661513B2 (ja) * | 2011-03-03 | 2015-01-28 | 東京エレクトロン株式会社 | プラズマ処理装置 |
WO2012122054A2 (en) * | 2011-03-04 | 2012-09-13 | Novellus Systems, Inc. | Hybrid ceramic showerhead |
US8999856B2 (en) | 2011-03-14 | 2015-04-07 | Applied Materials, Inc. | Methods for etch of sin films |
US9064815B2 (en) * | 2011-03-14 | 2015-06-23 | Applied Materials, Inc. | Methods for etch of metal and metal-oxide films |
KR101241049B1 (ko) | 2011-08-01 | 2013-03-15 | 주식회사 플라즈마트 | 플라즈마 발생 장치 및 플라즈마 발생 방법 |
US8771536B2 (en) | 2011-08-01 | 2014-07-08 | Applied Materials, Inc. | Dry-etch for silicon-and-carbon-containing films |
US8679982B2 (en) | 2011-08-26 | 2014-03-25 | Applied Materials, Inc. | Selective suppression of dry-etch rate of materials containing both silicon and oxygen |
US8679983B2 (en) | 2011-09-01 | 2014-03-25 | Applied Materials, Inc. | Selective suppression of dry-etch rate of materials containing both silicon and nitrogen |
US9039911B2 (en) | 2012-08-27 | 2015-05-26 | Lam Research Corporation | Plasma-enhanced etching in an augmented plasma processing system |
US8927390B2 (en) | 2011-09-26 | 2015-01-06 | Applied Materials, Inc. | Intrench profile |
US8808563B2 (en) | 2011-10-07 | 2014-08-19 | Applied Materials, Inc. | Selective etch of silicon by way of metastable hydrogen termination |
KR101246191B1 (ko) * | 2011-10-13 | 2013-03-21 | 주식회사 윈텔 | 플라즈마 장치 및 기판 처리 장치 |
WO2013070436A1 (en) | 2011-11-08 | 2013-05-16 | Applied Materials, Inc. | Methods of reducing substrate dislocation during gapfill processing |
WO2013078098A1 (en) * | 2011-11-23 | 2013-05-30 | Lam Research Corporation | Multi zone gas injection upper electrode system |
KR101504532B1 (ko) * | 2012-03-09 | 2015-03-24 | 주식회사 윈텔 | 플라즈마 처리 방법 및 기판 처리 장치 |
KR101332337B1 (ko) | 2012-06-29 | 2013-11-22 | 태원전기산업 (주) | 초고주파 발광 램프 장치 |
US9267739B2 (en) | 2012-07-18 | 2016-02-23 | Applied Materials, Inc. | Pedestal with multi-zone temperature control and multiple purge capabilities |
US9447365B2 (en) * | 2012-07-27 | 2016-09-20 | Applied Materials, Inc. | Enhanced cleaning process of chamber used plasma spray coating without damaging coating |
US9373517B2 (en) * | 2012-08-02 | 2016-06-21 | Applied Materials, Inc. | Semiconductor processing with DC assisted RF power for improved control |
TWI467625B (zh) * | 2012-08-30 | 2015-01-01 | Univ Chang Gung | 電漿處理裝置 |
US9034770B2 (en) | 2012-09-17 | 2015-05-19 | Applied Materials, Inc. | Differential silicon oxide etch |
US9023734B2 (en) | 2012-09-18 | 2015-05-05 | Applied Materials, Inc. | Radical-component oxide etch |
US9390937B2 (en) | 2012-09-20 | 2016-07-12 | Applied Materials, Inc. | Silicon-carbon-nitride selective etch |
US9132436B2 (en) | 2012-09-21 | 2015-09-15 | Applied Materials, Inc. | Chemical control features in wafer process equipment |
JP6002522B2 (ja) * | 2012-09-27 | 2016-10-05 | 株式会社Screenホールディングス | 薄膜形成装置、薄膜形成方法 |
US8765574B2 (en) | 2012-11-09 | 2014-07-01 | Applied Materials, Inc. | Dry etch process |
US8969212B2 (en) | 2012-11-20 | 2015-03-03 | Applied Materials, Inc. | Dry-etch selectivity |
US9064816B2 (en) | 2012-11-30 | 2015-06-23 | Applied Materials, Inc. | Dry-etch for selective oxidation removal |
US8980763B2 (en) | 2012-11-30 | 2015-03-17 | Applied Materials, Inc. | Dry-etch for selective tungsten removal |
US20140166618A1 (en) * | 2012-12-14 | 2014-06-19 | The Penn State Research Foundation | Ultra-high speed anisotropic reactive ion etching |
US9111877B2 (en) | 2012-12-18 | 2015-08-18 | Applied Materials, Inc. | Non-local plasma oxide etch |
US8921234B2 (en) | 2012-12-21 | 2014-12-30 | Applied Materials, Inc. | Selective titanium nitride etching |
US10256079B2 (en) | 2013-02-08 | 2019-04-09 | Applied Materials, Inc. | Semiconductor processing systems having multiple plasma configurations |
US9362130B2 (en) | 2013-03-01 | 2016-06-07 | Applied Materials, Inc. | Enhanced etching processes using remote plasma sources |
US9040422B2 (en) | 2013-03-05 | 2015-05-26 | Applied Materials, Inc. | Selective titanium nitride removal |
US8801952B1 (en) | 2013-03-07 | 2014-08-12 | Applied Materials, Inc. | Conformal oxide dry etch |
WO2014149883A1 (en) * | 2013-03-15 | 2014-09-25 | Applied Materials, Inc. | Chamber design for semiconductor processing |
US20140271097A1 (en) | 2013-03-15 | 2014-09-18 | Applied Materials, Inc. | Processing systems and methods for halide scavenging |
KR101451244B1 (ko) * | 2013-03-22 | 2014-10-15 | 참엔지니어링(주) | 라이너 어셈블리 및 이를 구비하는 기판 처리 장치 |
US9230819B2 (en) | 2013-04-05 | 2016-01-05 | Lam Research Corporation | Internal plasma grid applications for semiconductor fabrication in context of ion-ion plasma processing |
US9245761B2 (en) | 2013-04-05 | 2016-01-26 | Lam Research Corporation | Internal plasma grid for semiconductor fabrication |
CN103227091B (zh) * | 2013-04-19 | 2016-01-27 | 中微半导体设备(上海)有限公司 | 等离子体处理装置 |
US20140315392A1 (en) * | 2013-04-22 | 2014-10-23 | Lam Research Corporation | Cold spray barrier coated component of a plasma processing chamber and method of manufacture thereof |
US8895449B1 (en) | 2013-05-16 | 2014-11-25 | Applied Materials, Inc. | Delicate dry clean |
US9114438B2 (en) | 2013-05-21 | 2015-08-25 | Applied Materials, Inc. | Copper residue chamber clean |
US9490149B2 (en) * | 2013-07-03 | 2016-11-08 | Lam Research Corporation | Chemical deposition apparatus having conductance control |
US9017526B2 (en) | 2013-07-08 | 2015-04-28 | Lam Research Corporation | Ion beam etching system |
US9147581B2 (en) | 2013-07-11 | 2015-09-29 | Lam Research Corporation | Dual chamber plasma etcher with ion accelerator |
US9493879B2 (en) | 2013-07-12 | 2016-11-15 | Applied Materials, Inc. | Selective sputtering for pattern transfer |
US9773648B2 (en) | 2013-08-30 | 2017-09-26 | Applied Materials, Inc. | Dual discharge modes operation for remote plasma |
US8956980B1 (en) | 2013-09-16 | 2015-02-17 | Applied Materials, Inc. | Selective etch of silicon nitride |
US8951429B1 (en) | 2013-10-29 | 2015-02-10 | Applied Materials, Inc. | Tungsten oxide processing |
US9236265B2 (en) | 2013-11-04 | 2016-01-12 | Applied Materials, Inc. | Silicon germanium processing |
US9576809B2 (en) | 2013-11-04 | 2017-02-21 | Applied Materials, Inc. | Etch suppression with germanium |
US9520303B2 (en) | 2013-11-12 | 2016-12-13 | Applied Materials, Inc. | Aluminum selective etch |
US9245762B2 (en) | 2013-12-02 | 2016-01-26 | Applied Materials, Inc. | Procedure for etch rate consistency |
US9117855B2 (en) | 2013-12-04 | 2015-08-25 | Applied Materials, Inc. | Polarity control for remote plasma |
US9263278B2 (en) | 2013-12-17 | 2016-02-16 | Applied Materials, Inc. | Dopant etch selectivity control |
US9287095B2 (en) | 2013-12-17 | 2016-03-15 | Applied Materials, Inc. | Semiconductor system assemblies and methods of operation |
US9190293B2 (en) | 2013-12-18 | 2015-11-17 | Applied Materials, Inc. | Even tungsten etch for high aspect ratio trenches |
US9287134B2 (en) | 2014-01-17 | 2016-03-15 | Applied Materials, Inc. | Titanium oxide etch |
US9396989B2 (en) | 2014-01-27 | 2016-07-19 | Applied Materials, Inc. | Air gaps between copper lines |
US9293568B2 (en) | 2014-01-27 | 2016-03-22 | Applied Materials, Inc. | Method of fin patterning |
US9385028B2 (en) | 2014-02-03 | 2016-07-05 | Applied Materials, Inc. | Air gap process |
US9499898B2 (en) | 2014-03-03 | 2016-11-22 | Applied Materials, Inc. | Layered thin film heater and method of fabrication |
US9299575B2 (en) | 2014-03-17 | 2016-03-29 | Applied Materials, Inc. | Gas-phase tungsten etch |
US9299537B2 (en) | 2014-03-20 | 2016-03-29 | Applied Materials, Inc. | Radial waveguide systems and methods for post-match control of microwaves |
US9299538B2 (en) | 2014-03-20 | 2016-03-29 | Applied Materials, Inc. | Radial waveguide systems and methods for post-match control of microwaves |
US9136273B1 (en) | 2014-03-21 | 2015-09-15 | Applied Materials, Inc. | Flash gate air gap |
US9903020B2 (en) | 2014-03-31 | 2018-02-27 | Applied Materials, Inc. | Generation of compact alumina passivation layers on aluminum plasma equipment components |
US9269590B2 (en) | 2014-04-07 | 2016-02-23 | Applied Materials, Inc. | Spacer formation |
US9309598B2 (en) | 2014-05-28 | 2016-04-12 | Applied Materials, Inc. | Oxide and metal removal |
US9847289B2 (en) | 2014-05-30 | 2017-12-19 | Applied Materials, Inc. | Protective via cap for improved interconnect performance |
US10077497B2 (en) * | 2014-05-30 | 2018-09-18 | Lam Research Corporation | Hollow cathode discharge (HCD) suppressing capacitively coupled plasma electrode and gas distribution faceplate |
US9378969B2 (en) | 2014-06-19 | 2016-06-28 | Applied Materials, Inc. | Low temperature gas-phase carbon removal |
US9406523B2 (en) | 2014-06-19 | 2016-08-02 | Applied Materials, Inc. | Highly selective doped oxide removal method |
US9425058B2 (en) | 2014-07-24 | 2016-08-23 | Applied Materials, Inc. | Simplified litho-etch-litho-etch process |
US9378978B2 (en) | 2014-07-31 | 2016-06-28 | Applied Materials, Inc. | Integrated oxide recess and floating gate fin trimming |
US9496167B2 (en) | 2014-07-31 | 2016-11-15 | Applied Materials, Inc. | Integrated bit-line airgap formation and gate stack post clean |
US9159606B1 (en) | 2014-07-31 | 2015-10-13 | Applied Materials, Inc. | Metal air gap |
US9165786B1 (en) | 2014-08-05 | 2015-10-20 | Applied Materials, Inc. | Integrated oxide and nitride recess for better channel contact in 3D architectures |
US9659753B2 (en) | 2014-08-07 | 2017-05-23 | Applied Materials, Inc. | Grooved insulator to reduce leakage current |
US9553102B2 (en) | 2014-08-19 | 2017-01-24 | Applied Materials, Inc. | Tungsten separation |
CN105448635B (zh) * | 2014-08-28 | 2018-01-09 | 北京北方华创微电子装备有限公司 | 原子层刻蚀装置及采用其的原子层刻蚀方法 |
US9355856B2 (en) | 2014-09-12 | 2016-05-31 | Applied Materials, Inc. | V trench dry etch |
US9478434B2 (en) | 2014-09-24 | 2016-10-25 | Applied Materials, Inc. | Chlorine-based hardmask removal |
US9368364B2 (en) | 2014-09-24 | 2016-06-14 | Applied Materials, Inc. | Silicon etch process with tunable selectivity to SiO2 and other materials |
US9613822B2 (en) | 2014-09-25 | 2017-04-04 | Applied Materials, Inc. | Oxide etch selectivity enhancement |
JP2016081945A (ja) * | 2014-10-09 | 2016-05-16 | 株式会社ニューフレアテクノロジー | 気相成長装置および気相成長方法 |
US9355922B2 (en) | 2014-10-14 | 2016-05-31 | Applied Materials, Inc. | Systems and methods for internal surface conditioning in plasma processing equipment |
US9966240B2 (en) | 2014-10-14 | 2018-05-08 | Applied Materials, Inc. | Systems and methods for internal surface conditioning assessment in plasma processing equipment |
US11637002B2 (en) | 2014-11-26 | 2023-04-25 | Applied Materials, Inc. | Methods and systems to enhance process uniformity |
US9299583B1 (en) | 2014-12-05 | 2016-03-29 | Applied Materials, Inc. | Aluminum oxide selective etch |
US10573496B2 (en) | 2014-12-09 | 2020-02-25 | Applied Materials, Inc. | Direct outlet toroidal plasma source |
US10224210B2 (en) * | 2014-12-09 | 2019-03-05 | Applied Materials, Inc. | Plasma processing system with direct outlet toroidal plasma source |
US9502258B2 (en) | 2014-12-23 | 2016-11-22 | Applied Materials, Inc. | Anisotropic gap etch |
US9343272B1 (en) | 2015-01-08 | 2016-05-17 | Applied Materials, Inc. | Self-aligned process |
US11257693B2 (en) | 2015-01-09 | 2022-02-22 | Applied Materials, Inc. | Methods and systems to improve pedestal temperature control |
US9373522B1 (en) | 2015-01-22 | 2016-06-21 | Applied Mateials, Inc. | Titanium nitride removal |
JP6600990B2 (ja) * | 2015-01-27 | 2019-11-06 | 東京エレクトロン株式会社 | プラズマ処理装置 |
US9449846B2 (en) | 2015-01-28 | 2016-09-20 | Applied Materials, Inc. | Vertical gate separation |
US9793104B2 (en) | 2015-01-29 | 2017-10-17 | Aixtron Se | Preparing a semiconductor surface for epitaxial deposition |
US20160225652A1 (en) | 2015-02-03 | 2016-08-04 | Applied Materials, Inc. | Low temperature chuck for plasma processing systems |
US9728437B2 (en) | 2015-02-03 | 2017-08-08 | Applied Materials, Inc. | High temperature chuck for plasma processing systems |
US20210343509A9 (en) * | 2015-02-11 | 2021-11-04 | Applied Materials, Inc. | Conditioned semiconductor system parts |
US9881805B2 (en) | 2015-03-02 | 2018-01-30 | Applied Materials, Inc. | Silicon selective removal |
US10049862B2 (en) * | 2015-04-17 | 2018-08-14 | Lam Research Corporation | Chamber with vertical support stem for symmetric conductance and RF delivery |
US10023959B2 (en) | 2015-05-26 | 2018-07-17 | Lam Research Corporation | Anti-transient showerhead |
CN107835868B (zh) * | 2015-06-17 | 2020-04-10 | 应用材料公司 | 在处理腔室中的气体控制 |
CN107109618B (zh) * | 2015-06-29 | 2019-10-22 | 株式会社爱发科 | 基板处理装置 |
US9793097B2 (en) * | 2015-07-27 | 2017-10-17 | Lam Research Corporation | Time varying segmented pressure control |
US9741593B2 (en) | 2015-08-06 | 2017-08-22 | Applied Materials, Inc. | Thermal management systems and methods for wafer processing systems |
US9691645B2 (en) | 2015-08-06 | 2017-06-27 | Applied Materials, Inc. | Bolted wafer chuck thermal management systems and methods for wafer processing systems |
US9349605B1 (en) * | 2015-08-07 | 2016-05-24 | Applied Materials, Inc. | Oxide etch selectivity systems and methods |
US10504700B2 (en) * | 2015-08-27 | 2019-12-10 | Applied Materials, Inc. | Plasma etching systems and methods with secondary plasma injection |
US11004661B2 (en) | 2015-09-04 | 2021-05-11 | Applied Materials, Inc. | Process chamber for cyclic and selective material removal and etching |
KR102340973B1 (ko) | 2015-09-18 | 2021-12-17 | 삼성전자주식회사 | 반도체 테스트 장치 및 방법과 데이터 분석 장치 |
JP6784530B2 (ja) * | 2016-03-29 | 2020-11-11 | 東京エレクトロン株式会社 | 被処理体を処理する方法 |
US10622189B2 (en) * | 2016-05-11 | 2020-04-14 | Lam Research Corporation | Adjustable side gas plenum for edge rate control in a downstream reactor |
US10504754B2 (en) | 2016-05-19 | 2019-12-10 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US10522371B2 (en) | 2016-05-19 | 2019-12-31 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US9865484B1 (en) | 2016-06-29 | 2018-01-09 | Applied Materials, Inc. | Selective etch using material modification and RF pulsing |
DE102016008775A1 (de) | 2016-07-22 | 2018-01-25 | Oliver Feddersen-Clausen | Beschichtungsvorrichtung insbesondere für Radical Enhanced Atomic Layer Deposition |
WO2018039315A1 (en) * | 2016-08-26 | 2018-03-01 | Applied Materials, Inc. | Plasma screen for plasma processing chamber |
US10062575B2 (en) | 2016-09-09 | 2018-08-28 | Applied Materials, Inc. | Poly directional etch by oxidation |
US10629473B2 (en) | 2016-09-09 | 2020-04-21 | Applied Materials, Inc. | Footing removal for nitride spacer |
US9934942B1 (en) | 2016-10-04 | 2018-04-03 | Applied Materials, Inc. | Chamber with flow-through source |
US9721789B1 (en) | 2016-10-04 | 2017-08-01 | Applied Materials, Inc. | Saving ion-damaged spacers |
US10062585B2 (en) | 2016-10-04 | 2018-08-28 | Applied Materials, Inc. | Oxygen compatible plasma source |
US10546729B2 (en) | 2016-10-04 | 2020-01-28 | Applied Materials, Inc. | Dual-channel showerhead with improved profile |
KR102625574B1 (ko) * | 2016-10-06 | 2024-01-16 | 주성엔지니어링(주) | 기판 처리 장치의 샤워 헤드 |
US10062579B2 (en) | 2016-10-07 | 2018-08-28 | Applied Materials, Inc. | Selective SiN lateral recess |
US9947549B1 (en) | 2016-10-10 | 2018-04-17 | Applied Materials, Inc. | Cobalt-containing material removal |
CN106507576A (zh) * | 2016-11-04 | 2017-03-15 | 中国工程物理研究院流体物理研究所 | 金属氢化物离子源的离子过滤装置、方法及中子发生器 |
CN106455282A (zh) * | 2016-11-04 | 2017-02-22 | 中国工程物理研究院流体物理研究所 | 离子过滤方法、具有离子过滤功能的栅网及中子发生器 |
US10403476B2 (en) * | 2016-11-09 | 2019-09-03 | Lam Research Corporation | Active showerhead |
US10163696B2 (en) | 2016-11-11 | 2018-12-25 | Applied Materials, Inc. | Selective cobalt removal for bottom up gapfill |
US9768034B1 (en) | 2016-11-11 | 2017-09-19 | Applied Materials, Inc. | Removal methods for high aspect ratio structures |
US10242908B2 (en) | 2016-11-14 | 2019-03-26 | Applied Materials, Inc. | Airgap formation with damage-free copper |
US10026621B2 (en) | 2016-11-14 | 2018-07-17 | Applied Materials, Inc. | SiN spacer profile patterning |
JP6764771B2 (ja) * | 2016-11-28 | 2020-10-07 | 東京エレクトロン株式会社 | 基板処理装置及び遮熱板 |
US10280519B2 (en) | 2016-12-09 | 2019-05-07 | Asm Ip Holding B.V. | Thermal atomic layer etching processes |
US10604841B2 (en) * | 2016-12-14 | 2020-03-31 | Lam Research Corporation | Integrated showerhead with thermal control for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition |
US11694911B2 (en) * | 2016-12-20 | 2023-07-04 | Lam Research Corporation | Systems and methods for metastable activated radical selective strip and etch using dual plenum showerhead |
US10283319B2 (en) | 2016-12-22 | 2019-05-07 | Asm Ip Holding B.V. | Atomic layer etching processes |
US10566206B2 (en) | 2016-12-27 | 2020-02-18 | Applied Materials, Inc. | Systems and methods for anisotropic material breakthrough |
US10431429B2 (en) | 2017-02-03 | 2019-10-01 | Applied Materials, Inc. | Systems and methods for radial and azimuthal control of plasma uniformity |
US10403507B2 (en) | 2017-02-03 | 2019-09-03 | Applied Materials, Inc. | Shaped etch profile with oxidation |
US10043684B1 (en) | 2017-02-06 | 2018-08-07 | Applied Materials, Inc. | Self-limiting atomic thermal etching systems and methods |
US10319739B2 (en) | 2017-02-08 | 2019-06-11 | Applied Materials, Inc. | Accommodating imperfectly aligned memory holes |
US10943834B2 (en) | 2017-03-13 | 2021-03-09 | Applied Materials, Inc. | Replacement contact process |
US10319649B2 (en) | 2017-04-11 | 2019-06-11 | Applied Materials, Inc. | Optical emission spectroscopy (OES) for remote plasma monitoring |
US11276590B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Multi-zone semiconductor substrate supports |
US11276559B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Semiconductor processing chamber for multiple precursor flow |
US10497579B2 (en) | 2017-05-31 | 2019-12-03 | Applied Materials, Inc. | Water-free etching methods |
US10049891B1 (en) | 2017-05-31 | 2018-08-14 | Applied Materials, Inc. | Selective in situ cobalt residue removal |
US10920320B2 (en) | 2017-06-16 | 2021-02-16 | Applied Materials, Inc. | Plasma health determination in semiconductor substrate processing reactors |
US10541246B2 (en) | 2017-06-26 | 2020-01-21 | Applied Materials, Inc. | 3D flash memory cells which discourage cross-cell electrical tunneling |
US10727080B2 (en) | 2017-07-07 | 2020-07-28 | Applied Materials, Inc. | Tantalum-containing material removal |
US10541184B2 (en) | 2017-07-11 | 2020-01-21 | Applied Materials, Inc. | Optical emission spectroscopic techniques for monitoring etching |
US10354889B2 (en) | 2017-07-17 | 2019-07-16 | Applied Materials, Inc. | Non-halogen etching of silicon-containing materials |
US10170336B1 (en) | 2017-08-04 | 2019-01-01 | Applied Materials, Inc. | Methods for anisotropic control of selective silicon removal |
US10043674B1 (en) | 2017-08-04 | 2018-08-07 | Applied Materials, Inc. | Germanium etching systems and methods |
US10297458B2 (en) | 2017-08-07 | 2019-05-21 | Applied Materials, Inc. | Process window widening using coated parts in plasma etch processes |
US10283324B1 (en) | 2017-10-24 | 2019-05-07 | Applied Materials, Inc. | Oxygen treatment for nitride etching |
US10128086B1 (en) | 2017-10-24 | 2018-11-13 | Applied Materials, Inc. | Silicon pretreatment for nitride removal |
US11236422B2 (en) | 2017-11-17 | 2022-02-01 | Lam Research Corporation | Multi zone substrate support for ALD film property correction and tunability |
US11015247B2 (en) | 2017-12-08 | 2021-05-25 | Lam Research Corporation | Integrated showerhead with improved hole pattern for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition |
US10256112B1 (en) | 2017-12-08 | 2019-04-09 | Applied Materials, Inc. | Selective tungsten removal |
US10903054B2 (en) * | 2017-12-19 | 2021-01-26 | Applied Materials, Inc. | Multi-zone gas distribution systems and methods |
US11328909B2 (en) | 2017-12-22 | 2022-05-10 | Applied Materials, Inc. | Chamber conditioning and removal processes |
US10854426B2 (en) | 2018-01-08 | 2020-12-01 | Applied Materials, Inc. | Metal recess for semiconductor structures |
US10964512B2 (en) | 2018-02-15 | 2021-03-30 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus and methods |
US10679870B2 (en) | 2018-02-15 | 2020-06-09 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus |
TWI716818B (zh) | 2018-02-28 | 2021-01-21 | 美商應用材料股份有限公司 | 形成氣隙的系統及方法 |
US10593560B2 (en) | 2018-03-01 | 2020-03-17 | Applied Materials, Inc. | Magnetic induction plasma source for semiconductor processes and equipment |
US10319600B1 (en) | 2018-03-12 | 2019-06-11 | Applied Materials, Inc. | Thermal silicon etch |
US10497573B2 (en) | 2018-03-13 | 2019-12-03 | Applied Materials, Inc. | Selective atomic layer etching of semiconductor materials |
US10573527B2 (en) | 2018-04-06 | 2020-02-25 | Applied Materials, Inc. | Gas-phase selective etching systems and methods |
US10490406B2 (en) | 2018-04-10 | 2019-11-26 | Appled Materials, Inc. | Systems and methods for material breakthrough |
US10699879B2 (en) | 2018-04-17 | 2020-06-30 | Applied Materials, Inc. | Two piece electrode assembly with gap for plasma control |
US10886137B2 (en) | 2018-04-30 | 2021-01-05 | Applied Materials, Inc. | Selective nitride removal |
US10633742B2 (en) | 2018-05-07 | 2020-04-28 | Lam Research Foundation | Use of voltage and current measurements to control dual zone ceramic pedestals |
JP7126381B2 (ja) | 2018-05-21 | 2022-08-26 | 東京エレクトロン株式会社 | 成膜装置および成膜方法 |
KR102436079B1 (ko) * | 2018-06-20 | 2022-08-25 | 가부시키가이샤 아루박 | 진공 처리장치, 지지 샤프트 |
CN112368415B (zh) | 2018-07-05 | 2024-03-22 | 朗姆研究公司 | 衬底处理系统中的衬底支撑件的动态温度控制 |
US10755941B2 (en) | 2018-07-06 | 2020-08-25 | Applied Materials, Inc. | Self-limiting selective etching systems and methods |
US10872778B2 (en) | 2018-07-06 | 2020-12-22 | Applied Materials, Inc. | Systems and methods utilizing solid-phase etchants |
US10672642B2 (en) | 2018-07-24 | 2020-06-02 | Applied Materials, Inc. | Systems and methods for pedestal configuration |
KR20200015264A (ko) | 2018-08-03 | 2020-02-12 | 삼성전자주식회사 | 웨이퍼 접합 방법 및 웨이퍼 접합 시스템 |
US10872747B2 (en) * | 2018-08-08 | 2020-12-22 | Lam Research Corporation | Controlling showerhead heating via resistive thermal measurements |
US11183400B2 (en) | 2018-08-08 | 2021-11-23 | Lam Research Corporation | Progressive heating of components of substrate processing systems using TCR element-based heaters |
US11049755B2 (en) | 2018-09-14 | 2021-06-29 | Applied Materials, Inc. | Semiconductor substrate supports with embedded RF shield |
US10892198B2 (en) | 2018-09-14 | 2021-01-12 | Applied Materials, Inc. | Systems and methods for improved performance in semiconductor processing |
US11062887B2 (en) | 2018-09-17 | 2021-07-13 | Applied Materials, Inc. | High temperature RF heater pedestals |
US11417534B2 (en) | 2018-09-21 | 2022-08-16 | Applied Materials, Inc. | Selective material removal |
US11682560B2 (en) | 2018-10-11 | 2023-06-20 | Applied Materials, Inc. | Systems and methods for hafnium-containing film removal |
US11121002B2 (en) | 2018-10-24 | 2021-09-14 | Applied Materials, Inc. | Systems and methods for etching metals and metal derivatives |
US11437242B2 (en) | 2018-11-27 | 2022-09-06 | Applied Materials, Inc. | Selective removal of silicon-containing materials |
US11721527B2 (en) | 2019-01-07 | 2023-08-08 | Applied Materials, Inc. | Processing chamber mixing systems |
US10920319B2 (en) | 2019-01-11 | 2021-02-16 | Applied Materials, Inc. | Ceramic showerheads with conductive electrodes |
US20200243305A1 (en) * | 2019-01-25 | 2020-07-30 | Mattson Technology, Inc. | Post Plasma Gas Injection In A Separation Grid |
KR102208815B1 (ko) * | 2019-05-10 | 2021-01-28 | 주식회사 뉴파워 프라즈마 | 기판 처리 시스템의 제어 방법 |
JP2021019201A (ja) | 2019-07-18 | 2021-02-15 | エーエスエム アイピー ホールディング ビー.ブイ. | 半導体処理システム用シャワーヘッドデバイス |
KR102217160B1 (ko) * | 2019-08-07 | 2021-02-19 | 세메스 주식회사 | 기판 처리 장치 및 기판 처리 방법 |
KR20210027601A (ko) | 2019-08-29 | 2021-03-11 | 삼성전자주식회사 | 플라즈마 표면처리 장치 및 이를 구비하는 기판 처리 시스템과 이를 이용한 플라즈마 표면처리 방법 |
CN112530774B (zh) * | 2019-09-17 | 2024-04-05 | 中微半导体设备(上海)股份有限公司 | 等离子体处理设备 |
CN112908819B (zh) * | 2019-12-03 | 2022-04-01 | 长鑫存储技术有限公司 | 气体分布器及其加工方法 |
US11574813B2 (en) | 2019-12-10 | 2023-02-07 | Asm Ip Holding B.V. | Atomic layer etching |
CN113838730B (zh) * | 2020-06-08 | 2024-05-14 | 中微半导体设备(上海)股份有限公司 | 气体遮挡环、等离子体处理装置及调控聚合物分布的方法 |
KR102635841B1 (ko) * | 2020-10-13 | 2024-02-13 | 에이피시스템 주식회사 | 박막 제조 장치 및 방법 |
KR102592414B1 (ko) * | 2020-11-23 | 2023-10-20 | 세메스 주식회사 | 전극 제어 유닛을 구비하는 기판 처리 장치 |
KR20220097202A (ko) * | 2020-12-31 | 2022-07-07 | 세메스 주식회사 | 기판 처리 방법 및 기판 처리 장치 |
US11685996B2 (en) * | 2021-03-05 | 2023-06-27 | Sky Tech Inc. | Atomic layer deposition device |
CN114171363B (zh) * | 2021-12-01 | 2024-02-06 | Tcl华星光电技术有限公司 | 反应室及刻蚀装置 |
US20230335377A1 (en) * | 2022-04-15 | 2023-10-19 | Applied Materials, Inc. | Showerhead assembly with heated showerhead |
Family Cites Families (87)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
DE2610556C2 (de) * | 1976-03-12 | 1978-02-02 | Siemens AG, 1000 Berlin und 8000 München | Vorrichtung zum Verteilen strömender Medien über einen Strömungsquerschnitt |
US4209357A (en) | 1979-05-18 | 1980-06-24 | Tegal Corporation | Plasma reactor apparatus |
US4579618A (en) | 1984-01-06 | 1986-04-01 | Tegal Corporation | Plasma reactor apparatus |
US4590042A (en) | 1984-12-24 | 1986-05-20 | Tegal Corporation | Plasma reactor having slotted manifold |
US4780169A (en) | 1987-05-11 | 1988-10-25 | Tegal Corporation | Non-uniform gas inlet for dry etching apparatus |
US5246526A (en) | 1989-06-29 | 1993-09-21 | Hitachi, Ltd. | Surface treatment apparatus |
DE4011933C2 (de) | 1990-04-12 | 1996-11-21 | Balzers Hochvakuum | Verfahren zur reaktiven Oberflächenbehandlung eines Werkstückes sowie Behandlungskammer hierfür |
DE4025396A1 (de) | 1990-08-10 | 1992-02-13 | Leybold Ag | Einrichtung fuer die herstellung eines plasmas |
JP2987663B2 (ja) | 1992-03-10 | 1999-12-06 | 株式会社日立製作所 | 基板処理装置 |
US5248371A (en) | 1992-08-13 | 1993-09-28 | General Signal Corporation | Hollow-anode glow discharge apparatus |
US5487785A (en) | 1993-03-26 | 1996-01-30 | Tokyo Electron Kabushiki Kaisha | Plasma treatment apparatus |
US5891350A (en) | 1994-12-15 | 1999-04-06 | Applied Materials, Inc. | Adjusting DC bias voltage in plasma chambers |
EP0756309A1 (en) | 1995-07-26 | 1997-01-29 | Applied Materials, Inc. | Plasma systems for processing substrates |
US5614026A (en) | 1996-03-29 | 1997-03-25 | Lam Research Corporation | Showerhead for uniform distribution of process gas |
JP3360265B2 (ja) | 1996-04-26 | 2002-12-24 | 東京エレクトロン株式会社 | プラズマ処理方法及びプラズマ処理装置 |
US5976261A (en) | 1996-07-11 | 1999-11-02 | Cvc Products, Inc. | Multi-zone gas injection apparatus and method for microelectronics manufacturing equipment |
JP3317209B2 (ja) | 1997-08-12 | 2002-08-26 | 東京エレクトロンエイ・ティー株式会社 | プラズマ処理装置及びプラズマ処理方法 |
US6074488A (en) * | 1997-09-16 | 2000-06-13 | Applied Materials, Inc | Plasma chamber support having an electrically coupled collar ring |
US6537418B1 (en) | 1997-09-19 | 2003-03-25 | Siemens Aktiengesellschaft | Spatially uniform gas supply and pump configuration for large wafer diameters |
US6020458A (en) * | 1997-10-24 | 2000-02-01 | Quester Technology, Inc. | Precursors for making low dielectric constant materials with improved thermal stability |
KR100505310B1 (ko) | 1998-05-13 | 2005-08-04 | 동경 엘렉트론 주식회사 | 성막 장치 및 방법 |
US6565661B1 (en) | 1999-06-04 | 2003-05-20 | Simplus Systems Corporation | High flow conductance and high thermal conductance showerhead system and method |
US6245192B1 (en) | 1999-06-30 | 2001-06-12 | Lam Research Corporation | Gas distribution apparatus for semiconductor processing |
CN100371491C (zh) | 1999-08-17 | 2008-02-27 | 东京电子株式会社 | 脉冲等离子体处理方法及其设备 |
JP4487338B2 (ja) | 1999-08-31 | 2010-06-23 | 東京エレクトロン株式会社 | 成膜処理装置及び成膜処理方法 |
US6350317B1 (en) * | 1999-12-30 | 2002-02-26 | Lam Research Corporation | Linear drive system for use in a plasma processing system |
US6261408B1 (en) * | 2000-02-16 | 2001-07-17 | Applied Materials, Inc. | Method and apparatus for semiconductor processing chamber pressure control |
JP3578398B2 (ja) | 2000-06-22 | 2004-10-20 | 古河スカイ株式会社 | 成膜用ガス分散プレート及びその製造方法 |
AU2001288225A1 (en) | 2000-07-24 | 2002-02-05 | The University Of Maryland College Park | Spatially programmable microelectronics process equipment using segmented gas injection showerhead with exhaust gas recirculation |
CN1328766C (zh) | 2001-01-22 | 2007-07-25 | 东京毅力科创株式会社 | 处理装置和处理方法 |
JP3924483B2 (ja) | 2001-03-19 | 2007-06-06 | アイピーエス リミテッド | 化学気相蒸着装置 |
US20030000924A1 (en) | 2001-06-29 | 2003-01-02 | Tokyo Electron Limited | Apparatus and method of gas injection sequencing |
AU2002366921A1 (en) | 2001-12-13 | 2003-07-09 | Tokyo Electron Limited | Ring mechanism, and plasma processing device using the ring mechanism |
US20040025791A1 (en) * | 2002-08-09 | 2004-02-12 | Applied Materials, Inc. | Etch chamber with dual frequency biasing sources and a single frequency plasma generating source |
KR100465877B1 (ko) | 2002-08-23 | 2005-01-13 | 삼성전자주식회사 | 반도체 식각 장치 |
US6963043B2 (en) | 2002-08-28 | 2005-11-08 | Tokyo Electron Limited | Asymmetrical focus ring |
US6821563B2 (en) | 2002-10-02 | 2004-11-23 | Applied Materials, Inc. | Gas distribution system for cyclical layer deposition |
US20040082251A1 (en) | 2002-10-29 | 2004-04-29 | Applied Materials, Inc. | Apparatus for adjustable gas distribution for semiconductor substrate processing |
TW589396B (en) | 2003-01-07 | 2004-06-01 | Arima Optoelectronics Corp | Chemical vapor deposition reactor |
US7316761B2 (en) * | 2003-02-03 | 2008-01-08 | Applied Materials, Inc. | Apparatus for uniformly etching a dielectric layer |
WO2004088729A1 (en) | 2003-03-26 | 2004-10-14 | Tokyo Electron Limited | Chemical processing system and method |
US7601223B2 (en) | 2003-04-29 | 2009-10-13 | Asm International N.V. | Showerhead assembly and ALD methods |
US7976673B2 (en) * | 2003-05-06 | 2011-07-12 | Lam Research Corporation | RF pulsing of a narrow gap capacitively coupled reactor |
US7408225B2 (en) * | 2003-10-09 | 2008-08-05 | Asm Japan K.K. | Apparatus and method for forming thin film using upstream and downstream exhaust mechanisms |
US20050103265A1 (en) | 2003-11-19 | 2005-05-19 | Applied Materials, Inc., A Delaware Corporation | Gas distribution showerhead featuring exhaust apertures |
US20050230350A1 (en) | 2004-02-26 | 2005-10-20 | Applied Materials, Inc. | In-situ dry clean chamber for front end of line fabrication |
US7273526B2 (en) | 2004-04-15 | 2007-09-25 | Asm Japan K.K. | Thin-film deposition apparatus |
US7785672B2 (en) | 2004-04-20 | 2010-08-31 | Applied Materials, Inc. | Method of controlling the film properties of PECVD-deposited thin films |
US7845309B2 (en) | 2004-07-13 | 2010-12-07 | Nordson Corporation | Ultra high speed uniform plasma processing system |
JP4550507B2 (ja) * | 2004-07-26 | 2010-09-22 | 株式会社日立ハイテクノロジーズ | プラズマ処理装置 |
US7381291B2 (en) | 2004-07-29 | 2008-06-03 | Asm Japan K.K. | Dual-chamber plasma processing apparatus |
US7552521B2 (en) | 2004-12-08 | 2009-06-30 | Tokyo Electron Limited | Method and apparatus for improved baffle plate |
US7608549B2 (en) | 2005-03-15 | 2009-10-27 | Asm America, Inc. | Method of forming non-conformal layers |
US8366829B2 (en) * | 2005-08-05 | 2013-02-05 | Advanced Micro-Fabrication Equipment, Inc. Asia | Multi-station decoupled reactive ion etch chamber |
US20070042131A1 (en) * | 2005-08-22 | 2007-02-22 | Applied Materials, Inc., A Delaware Corporation | Non-intrusive plasma monitoring system for arc detection and prevention for blanket CVD films |
JP4700441B2 (ja) | 2005-08-29 | 2011-06-15 | 東ソー・クォーツ株式会社 | 斜め貫通孔を有する開口体の製造方法 |
JP2007088199A (ja) * | 2005-09-22 | 2007-04-05 | Canon Inc | 処理装置 |
US7718030B2 (en) | 2005-09-23 | 2010-05-18 | Tokyo Electron Limited | Method and system for controlling radical distribution |
KR100752622B1 (ko) * | 2006-02-17 | 2007-08-30 | 한양대학교 산학협력단 | 원거리 플라즈마 발생장치 |
US7794546B2 (en) | 2006-03-08 | 2010-09-14 | Tokyo Electron Limited | Sealing device and method for a processing system |
US7837826B2 (en) | 2006-07-18 | 2010-11-23 | Lam Research Corporation | Hybrid RF capacitively and inductively coupled plasma source using multifrequency RF powers and methods of use thereof |
US8187679B2 (en) | 2006-07-29 | 2012-05-29 | Lotus Applied Technology, Llc | Radical-enhanced atomic layer deposition system and method |
US7416677B2 (en) | 2006-08-11 | 2008-08-26 | Tokyo Electron Limited | Exhaust assembly for plasma processing system and method |
US7909961B2 (en) * | 2006-10-30 | 2011-03-22 | Applied Materials, Inc. | Method and apparatus for photomask plasma etching |
US20080193673A1 (en) | 2006-12-05 | 2008-08-14 | Applied Materials, Inc. | Method of processing a workpiece using a mid-chamber gas distribution plate, tuned plasma flow control grid and electrode |
US8262847B2 (en) | 2006-12-29 | 2012-09-11 | Lam Research Corporation | Plasma-enhanced substrate processing method and apparatus |
US7789961B2 (en) | 2007-01-08 | 2010-09-07 | Eastman Kodak Company | Delivery device comprising gas diffuser for thin film deposition |
US8123902B2 (en) * | 2007-03-21 | 2012-02-28 | Applied Materials, Inc. | Gas flow diffuser |
WO2008129977A1 (ja) * | 2007-04-17 | 2008-10-30 | Ulvac, Inc. | 成膜装置 |
US8216419B2 (en) | 2008-03-28 | 2012-07-10 | Bridgelux, Inc. | Drilled CVD shower head |
KR100888652B1 (ko) | 2007-08-14 | 2009-03-13 | 세메스 주식회사 | 배기 가능한 플라즈마 발생 장치 및 이를 구비하는 상압플라즈마 장치 |
CN101809717B (zh) | 2007-09-25 | 2012-10-10 | 朗姆研究公司 | 用于等离子处理设备的喷头电极总成的温度控制模块 |
US8211231B2 (en) | 2007-09-26 | 2012-07-03 | Eastman Kodak Company | Delivery device for deposition |
US8282735B2 (en) | 2007-11-27 | 2012-10-09 | Asm Genitech Korea Ltd. | Atomic layer deposition apparatus |
US8075728B2 (en) | 2008-02-28 | 2011-12-13 | Applied Materials, Inc. | Gas flow equalizer plate suitable for use in a substrate process chamber |
US8066895B2 (en) * | 2008-02-28 | 2011-11-29 | Applied Materials, Inc. | Method to control uniformity using tri-zone showerhead |
JP5202050B2 (ja) | 2008-03-14 | 2013-06-05 | 東京エレクトロン株式会社 | シャワーヘッド及び基板処理装置 |
JP5179389B2 (ja) | 2008-03-19 | 2013-04-10 | 東京エレクトロン株式会社 | シャワーヘッド及び基板処理装置 |
EP2122657B8 (en) | 2008-03-20 | 2011-06-22 | Ruhr-Universität Bochum | Method for controlling ion energy in radio frequency plasmas |
KR100982842B1 (ko) | 2008-04-25 | 2010-09-16 | 주식회사 케이씨텍 | 원자층 증착 장치 |
JP5102706B2 (ja) | 2008-06-23 | 2012-12-19 | 東京エレクトロン株式会社 | バッフル板及び基板処理装置 |
KR100978859B1 (ko) * | 2008-07-11 | 2010-08-31 | 피에스케이 주식회사 | 할로우 캐소드 플라즈마 발생장치 및 할로우 캐소드플라즈마를 이용한 대면적 기판 처리장치 |
US8540844B2 (en) | 2008-12-19 | 2013-09-24 | Lam Research Corporation | Plasma confinement structures in plasma processing systems |
US8293013B2 (en) | 2008-12-30 | 2012-10-23 | Intermolecular, Inc. | Dual path gas distribution device |
US9728429B2 (en) | 2010-07-27 | 2017-08-08 | Lam Research Corporation | Parasitic plasma prevention in plasma processing chambers |
US8869742B2 (en) | 2010-08-04 | 2014-10-28 | Lam Research Corporation | Plasma processing chamber with dual axial gas injection and exhaust |
US9184028B2 (en) | 2010-08-04 | 2015-11-10 | Lam Research Corporation | Dual plasma volume processing apparatus for neutral/ion flux control |
-
2010
- 2010-08-04 US US12/850,559 patent/US9184028B2/en active Active
-
2011
- 2011-06-22 CN CN201610101378.XA patent/CN105719932B/zh active Active
- 2011-06-22 WO PCT/US2011/041524 patent/WO2012018449A2/en active Application Filing
- 2011-06-22 JP JP2013523167A patent/JP5913312B2/ja active Active
- 2011-06-22 KR KR1020187030107A patent/KR101998542B1/ko active IP Right Grant
- 2011-06-22 SG SG10201505975QA patent/SG10201505975QA/en unknown
- 2011-06-22 KR KR1020137002961A patent/KR101911562B1/ko active IP Right Grant
- 2011-06-22 CN CN201180037768.0A patent/CN103053011B/zh active Active
- 2011-06-22 SG SG2013006317A patent/SG187610A1/en unknown
- 2011-07-27 TW TW100126592A patent/TWI605495B/zh active
-
2015
- 2015-11-10 US US14/937,477 patent/US20160079039A1/en not_active Abandoned
-
2016
- 2016-04-01 JP JP2016074072A patent/JP6110540B2/ja active Active
Also Published As
Publication number | Publication date |
---|---|
TWI605495B (zh) | 2017-11-11 |
KR101998542B1 (ko) | 2019-07-09 |
CN105719932B (zh) | 2018-01-02 |
TW201222635A (en) | 2012-06-01 |
SG10201505975QA (en) | 2015-09-29 |
SG187610A1 (en) | 2013-03-28 |
WO2012018449A3 (en) | 2012-04-12 |
US20160079039A1 (en) | 2016-03-17 |
CN103053011A (zh) | 2013-04-17 |
KR20180118235A (ko) | 2018-10-30 |
JP2013541177A (ja) | 2013-11-07 |
CN103053011B (zh) | 2016-03-23 |
JP6110540B2 (ja) | 2017-04-05 |
JP2016167606A (ja) | 2016-09-15 |
KR101911562B1 (ko) | 2019-01-04 |
CN105719932A (zh) | 2016-06-29 |
US9184028B2 (en) | 2015-11-10 |
US20120031559A1 (en) | 2012-02-09 |
KR20130136962A (ko) | 2013-12-13 |
WO2012018449A2 (en) | 2012-02-09 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
JP6110540B2 (ja) | 半導体ウエハ処理装置、及び、半導体ウェハを処理する方法 | |
US8869742B2 (en) | Plasma processing chamber with dual axial gas injection and exhaust | |
KR102390323B1 (ko) | 플라즈마 프로세싱 챔버를 위한 플라즈마 스크린 | |
CN108962715B (zh) | 用于多前体流的半导体处理腔室 | |
KR102594473B1 (ko) | 내장형 rf 차폐부를 갖는 반도체 기판 지지부들 | |
TWI704845B (zh) | 用於循環與選擇性材料移除與蝕刻的處理腔室 | |
KR20230057316A (ko) | 개선된 프리커서 유동을 위한 반도체 처리 챔버 | |
KR101522251B1 (ko) | 고 종횡비 피쳐들을 식각하기에 적합한 식각 반응기 | |
JP2013541177A5 (ja) | 中性/イオンフラックスの制御のための半導体ウエハ処理装置、半導体ウエハ処理システム、及び、ガス分配ユニット | |
JP2018082150A (ja) | 改善したプロファイルを有するデュアルチャネルシャワーヘッド | |
TW201841208A (zh) | 基板處理設備 | |
WO2012054200A2 (en) | Dual delivery chamber design | |
KR20180126393A (ko) | 다중 구역 반도체 기판 지지체 | |
JP2022511063A (ja) | 温度の影響を受けやすいプロセスのための改善された熱的結合を有する静電チャック | |
US20210032753A1 (en) | Methods and apparatus for dual channel showerheads | |
JP2023530563A (ja) | マルチゾーン半導体基板支持体 | |
CN116598180A (zh) | 等离子体处理装置 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20140619 |
|
A621 | Written request for application examination |
Free format text: JAPANESE INTERMEDIATE CODE: A621 Effective date: 20140619 |
|
A131 | Notification of reasons for refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A131 Effective date: 20150324 |
|
A977 | Report on retrieval |
Free format text: JAPANESE INTERMEDIATE CODE: A971007 Effective date: 20150326 |
|
A601 | Written request for extension of time |
Free format text: JAPANESE INTERMEDIATE CODE: A601 Effective date: 20150622 |
|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20150918 |
|
TRDD | Decision of grant or rejection written | ||
A01 | Written decision to grant a patent or to grant a registration (utility model) |
Free format text: JAPANESE INTERMEDIATE CODE: A01 Effective date: 20160315 |
|
A61 | First payment of annual fees (during grant procedure) |
Free format text: JAPANESE INTERMEDIATE CODE: A61 Effective date: 20160401 |
|
R150 | Certificate of patent or registration of utility model |
Ref document number: 5913312 Country of ref document: JP Free format text: JAPANESE INTERMEDIATE CODE: R150 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |