CN105719932B - 用于中性粒子/离子流通量控制的双等离子体容积处理装置 - Google Patents

用于中性粒子/离子流通量控制的双等离子体容积处理装置 Download PDF

Info

Publication number
CN105719932B
CN105719932B CN201610101378.XA CN201610101378A CN105719932B CN 105719932 B CN105719932 B CN 105719932B CN 201610101378 A CN201610101378 A CN 201610101378A CN 105719932 B CN105719932 B CN 105719932B
Authority
CN
China
Prior art keywords
plasma
gas distribution
distribution member
volume
gas
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201610101378.XA
Other languages
English (en)
Other versions
CN105719932A (zh
Inventor
拉金德尔·德辛德萨
阿列克谢·马拉哈托夫
安德鲁·D·贝利三世
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN105719932A publication Critical patent/CN105719932A/zh
Application granted granted Critical
Publication of CN105719932B publication Critical patent/CN105719932B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32697Electrostatic control
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32816Pressure
    • H01J37/32834Exhausting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67259Position monitoring, e.g. misposition detection or presence detection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • H01L22/26Acting in response to an ongoing measurement without interruption of processing, e.g. endpoint detection, in-situ thickness measurement

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Plasma Technology (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • Particle Accelerators (AREA)

Abstract

一种半导体晶片处理装置包括暴露于第一等离子体产生容积的第一电极、暴露于第二等离子体产生容积的第二电极以及布置在所述第一和第二等离子体产生容积之间的气体分配单元。所述第一电极被限定为将射频(RF)功率传送到第一等离子体产生容积并且将第一等离子体处理气体分配到第一等离子体产生容积。第二电极被限定为将RF功率传送到第二等离子体容积,并且保持暴露于第二等离子体产生容积的衬底。气体分配单元包括被限定为将第一等离子体产生容积与第二等离子体产生容积流体连接的通孔布置。气体分配单元还包括被限定为将第二等离子体处理气体分配到第二等离子体产生容积的气体供给端口布置。

Description

用于中性粒子/离子流通量控制的双等离子体容积处理装置
本申请是申请日为2011年06月22日、中国专利申请号为201180037768.0(对应国际申请号为PCT/US2011/041524)、发明名称为“用于中性粒子/离子流通量控制的双等离子体容积处理装置”的发明专利申请的分案申请。
技术领域
本发明一般涉及半导体技术领域,更具体地涉及半导体晶片处理装置和用于处理半导体晶片的方法。
背景技术
目前用于半导体晶片制造的等离子体处理系统依赖于非常独立的控制参数来控制输送到晶片的自由基分离、自由基流通量、离子能量和离子流通量。例如,当前的等离子体处理系统试图通过控制在存在晶片时产生的单等离子体来实现必要的自由基分离、自由基流通量、离子能量和离子流通量。不幸的是,化学离解和自由基形成与离子生产和等离子体密度结合并且通常不能协同工作以实现期望的等离子体处理条件。
例如,在当前的等离子体处理系统中难以在同一等离子体中同时获得较高的化学离解和较低的离子密度,因为较高的化学离解需要施加较高的功率,这进而致使产生较高的离子密度。而且,在目前的等离子体处理系统中,控制参数的高的相互依赖性限制了较小的技术节点应用处理窗口和/或制造能力。鉴于上述情况,对于提供自由基/中性粒子流通量相对于离子流通量的独立控制的等离子体处理系统存在需求。
发明内容
在一个实施例中,公开了半导体晶片处理装置。所述装置包括暴露于第一等离子体产生容积(volume)的第一电极。所述第一电极被限定为将射频(RF)功率传送到所述第一等离子体产生容积。所述第一电极被进一步限定为将第一等离子体处理气体分配到所述第一等离子体产生容积。所述装置还包括暴露于第二等离子体产生容积的第二电极。所述第二电极被限定为将RF功率传送到所述第二等离子体产生容积。所述第二电极被进一步限定为保持暴露于所述第二等离子体产生容积的衬底。所述装置进一步包括布置在所述第一等离子体产生容积和所述第二等离子体产生容积之间的气体分配单元。所述气体分配单元被限定为包括各自贯通所述气体分配单元以将所述第一等离子体产生容积与所述第二等离子体产生容积流体连接的通孔布置(arrangement)。所述气体分配单元被进一步限定为包括被限定为将第二等离子体处理气体分配到所述第二等离子体产生容积的气体供给端口布置。
在另一实施例中,公开了用于半导体晶片处理的系统。所述系统包括室,所述室被限定为具有内腔和排放口,所述排放口提供所述内腔与排放泵的流体连接。所述系统还包括布置在所述室的所述内腔内的双等离子体处理装置。所述双等离子体处理装置包括上方等离子体室,所述上方等离子体室包括上方等离子体产生容积。所述双等离子体处理装置还包括喷头电极,所述喷头电极被限定在所述上方等离子体产生容积的上方以将第一等离子体处理气体和RF功率供给到所述上方等离子体产生容积。所述双等离子体处理装置还包括下方等离子体室,所述下方等离子体室包括下方等离子体产生容积。所述双等离子体处理装置还包括布置在所述上方等离子体产生容积和所述下方等离子体产生容积之间的气体分配单元。所述气体分配单元被限定为将第二等离子体处理气体供给到所述下方等离子体产生容积。所述气体分配单元被进一步限定为提供所述上方等离子体产生容积和所述下方等离子体产生容积之间的受控流体连通。所述系统进一步包括卡盘,所述卡盘布置在所述室的所述内腔内所述下方等离子体产生容积的下方。所述卡盘被限定为保持暴露于所述下方等离子体产生容积的衬底。所述卡盘被进一步限定为将RF功率供给到所述下方等离子体产生容积。所述上方等离子体室和所述下方等离子体室中的每个被分别限定为将所述上方等离子体产生容积和所述下方等离子体产生容积排放到所述室的所述内腔中。
在另一实施例中,公开了气体分配单元。所述气体分配单元包括板,所述板被形成为将上方等离子体产生容积与所述下方等离子体产生容积分离。所述板的上表面提供所述上方等离子体产生容积的下边界。所述板的下表面提供所述下方等离子体产生容积的上边界。所述板包括各自从所述板的上表面到所述板的下表面贯通所述板的通孔布置,从而将所述上方等离子体产生容积与所述下方等离子体产生容积流体连接。所述板还包括内部气体供给通道,所述内部气体供给通道与被限定在所述板的下表面上的气体供给端口布置流体连接以将等离子体处理气体分配到所述下方等离子体产生容积。
本发明的其它方案和优点将通过下面以举例的方式阐述本发明的、结合附图进行的详述而变得更加明显。
附图说明
图1示出了根据本发明的一个实施例的半导体晶片处理装置;
图2示出了根据本发明的一个实施例的喷头电极的仰视图;
图3A示出了根据本发明的一个实施例的气体分配单元的仰视图;
图3B示出了根据本发明的一个实施例的气体分配单元的俯视图;
图3C示出了根据本发明的一个实施例的气体供给端口的截面;
图3D示出了根据本发明的一个实施例的被限定为贯通气体分配单元的通孔的截面和角度;
图4A示出了根据本发明的一个实施例的布置在气体分配单元的上表面上的流控制板;
图4B示出了根据本发明的一个实施例的被定位成使得限定在其中的孔式样允许流经限定在下伏的气体分配单元内的全部通孔的流控制板的俯视图;
图4C示出了根据本发明的一个实施例的被定位成使得限定在其中的孔式样允许仅流经限定在下伏的气体分配单元内的有角通孔的流控制板的俯视图;
图4D示出了根据本发明的一个实施例的由多个同心的可旋转流控制板限定的流控制板组件的俯视图;以及
图5示出了根据本发明的一个实施例的具有上方等离子体和下方等离子体的图1的室。
具体实施方式
在下面的说明中,为了提供对本发明的全面理解,阐述了多个具体的细节。然而,对于本领域技术人员显而易见的是,可以不通过这些具体细节中的一些或全部来实施本发明。在其它情形下,为了避免不必要地使本发明变得不清楚,未详细描述公知的处理操作。
本文公开了一种半导体晶片处理装置,其使中性物质上自由基产生与等离子体内的离子产生能解耦,使得在半导体晶片处理过程中能够相对于带电的离子物质独立地控制自由基/中性物质。该装置包括上方(即,下游)等离子体产生容积,在该上方等离子体产生容积中无需涉及相关的离子产生来产生自由基/中性物质。该装置还包括下方等离子体产生容积,在下方等离子体产生容积内产生暴露于衬底(即,晶片)的适当离子密度的分离的等离子体。上方等离子体产生容积内的自由基/中性物质以受控的方式流过气体分配单元而到达下方等离子体产生容积,从而提供用于晶片处理的自由基/中性物质成分。
允许自由基/中性物质从上方等离子体产生容积行进通过将上方和下方等离子体产生容积分离的气体分配单元而到达下方等离子体产生容积。然而,通过气体分配单元来防止在上方等离子体产生容积内产生的离子行进到下方等离子体产生容积中。因此,气体分配单元用作离子过滤器。从上方等离子体产生容积贡献的自由基/中性物质用于下方等离子体产生容积中的晶片处理。在下方等离子体产生容积内产生的离子表示用于晶片处理的带电物质。
上方和下方等离子体产生容积能够独立控制,使得贡献用于晶片处理的自由基/中性粒子流通量独立于暴露于晶片而产生的电离等离子体而产生。因此,本文公开的装置的上方和下方等离子体产生容积提供了晶片处理期间自由基/中性粒子流通量与离子流通量的解耦。因此,能够与离子流通量分离地控制自由基/中性物质。
图1示出了根据本发明的一个实施例的半导体晶片处理装置。该装置包括室100,室100由顶板100A、底板100B和壁100C形成。在一个实施例中,壁100C形成了邻接的圆柱形壁100C。在其它实施例中,壁100C可具有其它构造,只要室100的内腔100D能够与室100外部的外界环境隔离即可。多个密封件139布置在室顶板100A、底板100B和壁100C之间以有利于室100的内腔100D与外界环境的隔离。
在各个实施例中,室100的顶板100A、底板100B和壁100C可由这样的金属形成:该金属具有良好的导热性和导电性,并且能够与在晶片处理期间暴露于内腔100D的处理气体化学兼容。例如,在各个实施例中,诸如铝、不锈钢等金属可用于形成室100的构件。而且,密封件139可以是弹性密封件或可熔化的(consumable)金属密封件,或任何其它类型的密封材料,只要密封件139能够与暴露于内腔100D的处理材料化学兼容并且密封件139提供内腔100D与室100外部的外界环境的充分隔离即可。
应当理解的是,在其它实施例中,一个或多个额外的板或部件可根据需要布置在顶板100A、底板100B或壁100C中的任一个或多个的外部,以满足室100的特定部署条件或其它因素。另外,顶板100A、底板100B和/或壁100C能够适当地紧固到这些额外的板或部件上以用于特定的实施方式。包括顶板100A、底板100B和壁100C的室100的结构由导电材料形成并且与基准地电位电连接。
室100包括排放口135,排放口135提供内腔100D与外部的排放泵137之间的流体连接,使得负压能够通过排放口135施加以从内腔100D内移除气体和/或颗粒。在一个实施例中,室100还包括闸阀102,闸阀102形成在室壁100C的剖面内以使能将晶片113插入到内腔100D中以及相应地从内腔100D中移除晶片113。在其闭合位置处,闸阀102被限定为保持内腔100D与外界环境的隔离。在各个实施例中,排放泵137能够以不同的方式来实现,只要排放泵137能够在排放口135处施加抽吸力以从室100的内腔100D中抽出流体流即可。
双等离子体处理装置被布置在室100的内腔100D内。双等离子体处理装置包括上方等离子体室112,上方等离子体室112包括上方等离子体产生容积103。双等离子体粗略装置还包括下方等离子体室114,下方等离子体室114包括下方等离子体产生容积109。上方和下方等离子体室112/114通过气体分配单元115通过物理方式流体连接,气体分配单元115被布置成使上方和下方等离子体产生容积103/109分离。
上方等离子体室112部分地由外结构部件104形成,外结构部件104围绕上方等离子体室112的周边限定并且与顶板100A连接。上方等离子体室112还包括喷头电极101,喷头电极101被布置在外结构部件104内上方等离子体产生容积103的上方。喷头电极101通过绝缘部件141紧固到顶板100A上。绝缘部件141被限定为提供电绝缘。然而,绝缘部件141还被限定为提供喷头电极101和与绝缘部件141相接的其它构件之间的热传导。
在操作过程中,射频(RF)功率从RF功率源105传送到喷头电极101。在一个实施例中,RF功率源105被限定为提供多个频率的RF功率。在一个实施例中,RF功率源105的频率被设定在从1kHz延伸到100MHz的范围内。在另一实施例中,RF功率源105的频率被设定在从400kHz延伸到60MHz的范围内。主要是通过RF功率源105来控制等离子体密度。
另外,在一个实施例中,喷头电极101连接至DC偏压源120以使能独立于等离子体密度来控制上方等离子体产生容积103内的等离子体电位。DC偏压源120被限定为在从接地向上延伸的各种电压设定处控制喷头电极101的偏压。在一个实施例中,喷头电极101的DC偏压源120能够被限定为以脉冲方式工作以使上方等离子体产生容积103中的等离子体与下方等离子体产生容积109中的等离子体同步。更具体地,DC偏压源120的该脉冲控制能够用于控制上方和下方等离子体产生容积103和109中的等离子体之间的时间相关的电压差。
加热器143被布置在绝缘部件141和外结构部件104的上方并且与绝缘部件141和外结构部件104两者相接触。加热器143也紧固到顶板100A。另外,多个冷却通道145被限定在顶板100A内。冷却剂流体流经冷却通道145以将热从顶板100A吸走。在一个实施例中,冷却剂流体为水。然而,其它实施例可以使用除了水以外的冷却剂流体,只要冷却剂流体能够与顶板100A的材料化学兼容即可。在一个实施例中,从室100的各个部分通过热电偶测量到的温度反馈用来经由加热器143和冷却通道145控制顶板100A的温度。通过加热器143和冷却通道145,能够控制喷头电极101的温度以及因此控制上方等离子体产生容积103。
喷头电极101通过绝缘环147与外结构部件104电隔离。在一个实施例中,绝缘环147和/或绝缘部件141由石英形成。在其它实施例中,绝缘环147和/或绝缘部件141可由除了石英以外的材料形成,只要该材料在提供热传导的同时也提供电绝缘即可。
图2示出了根据本发明的一个实施例的喷头电极101的仰视图。喷头电极101包括被限定为将等离子体处理气体供给到上方等离子体产生容积101的气体供给端口121的布置。等离子体处理气体从一个或多个等离子体处理气体供给源116供给到喷头电极101。应当理解的是,在一些实施例中,气体供给源116表示提供流经喷头电极101的适当气体和/或气体混合物的选择的多个气体供给源和/或气体盒。喷头电极101被限定为:随着第一等离子体处理气体流经喷头电极101而到达气体供给端口121的布置以便分配给上方等离子体产生容积103,将RF功率传送到第一等离子体处理气体。
在各个实施例中,喷头电极101可由这样的金属形成:这种金属是良好的导电体和导热体,并且与在上方等离子体产生容积103中进行的处理化学兼容,诸如铝、不锈钢等。在一个实施例中,喷头电极101的暴露于上方等离子体产生容积103的等离子体的部分受到耐等离子体材料覆盖物的保护。在一个实施例中,耐等离子体材料形成为涂层。在另一实施例中,耐等离子体材料形成为保护结构,例如板,其保形地覆盖喷头电极101。在这些实施例中的任一实施例中,耐等离子体材料被紧固到喷头电极101以确保耐等离子体材料和喷头电极101之间的充分的电传导和热传导。在各个实施例中,用于保护喷头电极101的耐等离子体涂层/覆盖物可由硅、碳化硅、氧化硅、氧化钇等形成。
在一个实施例中,诸如图2中所描绘的,喷头电极101的气体供给端口121被设置在朝向上方等离子体产生容积103的多个同心径向区101A、101B、101C中。每个同心径向区101A、101B、101C内的气体供给端口121与相应的气流控制装置201A、201B、201C接通,使得能够独立地控制等离子体处理气体供给到每个同心径向区101A、101B、101C。应当理解的是,独立控制到喷头电极101的多个同心气体供给区101A、101B、101C的等离子体处理气体供给提供了增强的中央到边缘的等离子体均匀性控制。尽管图2的示例性实施例示出了三个同心气体供给区101A、101B、101C,但是应当理解的是,喷头电极101可被限定为包括更多或更少的独立可控的气体供给区。例如,在另一实施例中,喷头电极101被限定为包括两个独立可控的同心气体供给区。
如前面所讨论的,喷头电极101形成了上方等离子体产生容积103的上表面,气体分配单元115形成了上方等离子体产生容积103的下表面。在一个实施例中,气体分配单元115提供了用于上方等离子体产生容积103的接地电极。在一个实施例中,喷头电极101和气体分配单元115形成了适当的一对一的电源到地的表面区域。
在图1的具有喷头电极101的实施例中,上方等离子体室112为电容耦合等离子体室。在该实施例中,跨过上方等离子体产生容积103的垂直距离(在喷头电极101的下表面和气体分配单元115的上表面之间垂直地测量到的)被设定在从大约1cm延伸到大约5cm的范围内。在一个实施例中,跨过上方等离子体产生容积103的垂直距离为大约2cm。在另一实施例中,喷头电极101在功能上可由感应线圈替代,使得上方等离子体室112为感应耦合等离子体室。在该实施例中,跨过上方等离子体产生容积103的垂直距离可以长达大约12cm。
下方等离子体室114部分地由围绕下方等离子体室114的周边限定的外结构部件106形成。在一个实施例中,下方等离子体室114的外结构部件106通过多个结构连接部件刚性地连接到上方等离子体室112的外结构部件104,使得下方等离子体室114的外结构部件106借助于上方等离子体室112的外结构部件104从顶板100A有效地悬挂。在该实施例中,结构连接部件能够延伸贯通排放通道125,但是被限定为避免流体流经排放通道125的不利中断。
气体分配单元115被布置在上方等离子体产生容积103和下方等离子体产生容积109之间。气体分配单元115被限定为形成为将上方等离子体产生容积103与下方等离子体产生容积109分离的板,使得气体分配单元115的板的上表面提供上方等离子体产生容积103的下边界,并且使得气体分配单元115的板的下表面提供下方等离子体产生容积109的上边界。
气体分配单元115被下方等离子体室114的外结构部件106保持在固定位置上。气体分配单元115被限定为通过气体供给端口119的布置将等离子体处理气体供给到下方等离子体产生容积109。气体分配单元115被进一步限定为包括提供上方等离子体产生容积103和下方等离子体产生容积109之间的受控流体连通的通孔117的布置。通孔117中的每个均延伸贯通气体分配单元115的板,从其上表面贯通到其下表面。
图3A示出了根据本发明的一个实施例的气体分配单元115的仰视图。气体供给端口119和通孔117中的每个均被限定为通过气体分配单元115的下表面开放式流体连通。气体供给端口119的布置散布在通孔117的布置之间。气体供给端口119通过气体分配单元115与一个或多个等离子体处理气体供给源118接通,使得在气体供给端口119和气体分配单元115内的通孔117之间不存在直接的流体连通。
图3B示出了根据本发明的一个实施例的气体分配单元115的俯视图。通孔117中的每个均被限定为通过气体分配单元115的上表面开放式流体连通。然而,气体供给端口119不通过气体分配单元115的上表面流体暴露。因此,气体供给端口119被限定为使等离子体处理气体仅流入下方等离子体产生容积109。相反,通孔117被限定为使能上方和下方等离子体产生容积103/109之间的流体连通。流体流经气体分配单元115的通孔117主要是通过上方等离子体产生容积103和下方等离子体产生容积109之间的压差来控制的。
应当理解的是,气体分配单元115充当RF回路电极、等离子体处理气体歧管、流体流动挡板和离子过滤器。在各个实施例中,气体分配单元115可由这样的金属形成:这种金属为良好的导电体和导热体,并且与在上方和下方等离子体产生容积103/109中进行的处理化学兼容,诸如铝、不锈钢、硅、碳化硅、氧化硅、氧化钇或基本上任何其它材料,该任何其它材料针对向其暴露的等离子体处理提供充分的等离子体耐受性、电传导和热传导。
在各个实施例中,气体分配单元115连接至其自身的DC偏压源124和/或RF功率源122以使气体分配单元115能够提供用于RF功率源105和111的适当的接地回路,同时还提供影响在上方等离子体产生容积103中产生的离子的适当的偏压。RF功率源122还可被限定为提供多个频率的RF功率。另外,在一个实施例中,电极130嵌置到气体分配单元115内并且连接至DC偏压源124以提供用于影响在上方等离子体产生容积103中产生的离子的偏压。在一个实施例中,嵌置在气体分配单元115内的电极130被限定在通孔117的周围,使得施加到嵌置电极130上的偏压能够用于使通过通孔117的离子加速或减速。而且,在一个实施例中,嵌置到气体分配单元115内的电极130被限定在多个单独可控的区中,每个区连接至其自身的DC偏压源124。该实施例使得能形成跨过气体分配单元115的独立的区域性偏压,以提供跨过气体分配单元115的独立区域性离子控制。
在一个实施例中,气体分配单元115的暴露于上方或下方等离子体产生容积103/109中的等离子体的部分受到耐等离子体材料覆盖物的保护。在一个实施例中,耐等离子体材料形成为涂层。在另一实施例中,耐等离子体材料形成为保护结构,例如板,其保形地覆盖气体分配单元115。在这些实施例中的任一实施例中,耐等离子体材料被紧固到气体分配单元115以确保耐等离子体材料和气体分配单元115之间的充分的电传导和热传导。在耐等离子体保护结构的实施例中,保护结构可通过上方和下方等离子体产生容积103/109之间的压差、通过数个紧固件或它们的组合而紧固到气体分配单元115上。在各个实施例中,用于保护气体分配单元115的耐等离子体涂层/保护结构可由硅、碳化硅、氧化硅、氧化钇或基本上任何其它材料形成,该任何其它的材料针对向其暴露的等离子体处理提供充分的等离子体耐受性、电传导和热传导。
气体分配单元115被限定为可替换构件。不同版式/构造的气体分配单元115可被限定为具有不同的气体供给端口119和通孔117的布置。另外,在等离子体使气体分配单元115或其功能劣化的情形下,可以更换气体分配单元115。
气体供给端口119和通孔117中的每个均被限定为使通过其中的流体流最优化,同时防止等离子体不利地侵入到其中。经气体供给端口119和通孔117中的每个的流体流率以及到气体供给端口119和通孔117中的每个的等离子体侵入率与其尺寸成正比。因此,需要限定气体供给端口119和通孔117中的每个以使其尺寸足够小从而防止等离子体不利地侵入到其中,同时保持足够大从而提供足够的通过其中的流体流。在各个实施例中,气体供给端口119的直径的尺寸在从大约0.1mm延伸到大约3mm的范围内。在各个实施例中,通孔117的直径的尺寸在从大约0.5mm延伸到大约5mm的范围内。然而,应当理解的是,在各个实施例中,气体供给端口119和通孔117可分别被限定为具有基本上任何直径尺寸,只要直径尺寸在提供充分抑制等离子体侵入其中的同时提供足够的通过其中的流体流即可。
因为通往气体供给端口119的流体流压力直接可控,所以可以将气体供给端口119限定为具有足够小的尺寸以基本上防止等离子体侵入到气体供给端口119中。然而,正确的是避免将气体供给端口119限定为如此小以至于导致通过气体供给端口119的超声波流体流。为了避免来自气体供给端口119的超声波流体流,气体供给端口119可被限定为其在气体分配单元115的下表面的出口处具有扩散器的形状。图3C示出了根据本发明的一个实施例的气体供给端口119的截面。气体供给端口119被显示为在其从气体分配单元115的出口位置处具有扩散器形状307。
气体分配单元115包括与气体供给端口119的布置流体连接的内部气体供给通道。这些内部气体供给通道与一个或多个等离子体处理气体供给源118流体连接。应当理解的是,内部气体供给通道和相关的气体供给端口119被限定在通孔117的布置之间,使得等离子体处理气体被分配到下方等离子体产生容积109而不分配到上方等离子体产生容积103。在一个实施例中,用于下方等离子体产生容积109的等离子体处理气体供给源118与用于上方等离子体产生容积103的等离子体处理气体供给源116分离,从而能够独立地控制等离子体处理气体到上方和下方等离子体产生容积103/109的流率。在一个实施例中,一个或多个共用的等离子体处理气体供给源能够用于上方和下方等离子体产生容积103/109。然而,在该实施例中,对于上方和下方等离子体产生容积103/109中的每个分别单独控制来自每个共用的等离子体处理气体供给源的等离子体处理气体流。而且,应当理解的是,在一些实施例中,气体供给源118表示提供流经气体分配单元115的适当的气体和/或气体混合物的选择的多个气体供给源和/或气体盒。
在一个实施例中,诸如图3A中所描绘的,气体分配单元115内的内部气体供给通道被限定为跨越气体分配单元115的下表面将气体供给端口119的布置流体分离成多个同心区域/区115A、115B、115C,使得能够单独地控制等离子体处理气体到多个同心区域/区115A、115B、115C中的每个内的气体供给端口119的流率。在一个实施例中,每个同心径向区域/区115A、115B、115C内的气体供给端口119与相应的气流控制装置305A、305B、305C接通,使得能够独立地控制到每个同心径向区域/区115A、115B、115C的等离子体处理气体的供给。
将气体供给端口119分离成独立可控的多个同心区域/区115A、115B、115C提供了下方等离子体产生容积109内的中央到边缘的气体供给控制,这进而有利于下方等离子体产生容积109内的中央到边缘的等离子体均匀性控制。尽管图3A的示例性实施例示出了三个同心的气体供给区域/区115A、115B、115C,但是应当理解的是,气体分配单元115可被限定为包括更多或更少的独立可控的气体供给区域/区。例如,在另一实施例中,气体分配单元115被限定为包括两个独立可控的同心的气体供给区域/区。
在一个实施例中,通孔117的数量大于气体供给端口119的数量,以提供从上方等离子体产生容积103到下方等离子体产生容积109的足够的自由基/中性粒子流。而且,通孔117可被限定为具有比气体供给端口119大的尺寸,以提供从上方等离子体产生容积103到下方等离子体产生容积109的足够的自由基/中性粒子流。然而,如之前所讨论的,通孔117的尺寸被限定以防止等离子体从上方或下方等离子体产生容积103/109中的任一个不利地侵入到通孔117中。
在一个实施例中,通孔117中的一些或全部被限定以成角度地贯通气体分配单元。图3D示出了根据本发明的一个实施例的被限定以贯通气体分配单元115的通孔117的截面和角度303。通孔117被限定为以偏离于在气体分配单元115的上下表面302/304之间垂直延伸的基准方向301的角度303从气体分配单元115的上表面302延伸到气体分配单元115的下表面304
通孔117有角度以增加上方等离子体产生容积103内的带电成分(即,离子)在穿过通孔117时将遇到电接地的气体分配单元115的可能性,从而通过通孔117从穿过气体分配单元117的自由基/中性粒子流通量中移除该带电成分。在一个实施例中,角度303足够大以防止沿基准方向301通过气体分配单元115的通孔117内的不间断视线。
在一个实施例中,气体分配单元115内的全部通孔117有角度以确保在上方等离子体产生容积103内产生的离子基本上均不被允许通过气体分配单元115而到达下方等离子体产生容积109。该实施例借助于通孔117提供了进入下方等离子体产生容积109的基本上纯的自由基/中性粒子流通量导入。在另一实施例中,通孔117的部分有角度,而通孔117的其余部分被限定为以与基准方向301一致的大致笔直方式延伸。该实施例提供了一些离子以使其与从上方等离子体产生容积103流到下方等离子体产生容积109的自由基/中性粒子流通量混合。在该实施例中,笔直的通孔117相对于有角度的通孔117的数量和分布可被限定为实现自由基/中性粒子流通量内的期望离子浓度。
在一个实施例中,流控制板被布置在气体分配单元115的上表面上以控制哪个通孔117暴露于上方等离子体产生容积103。图4A示出了根据本发明的一个实施例的布置在气体分配单元115的上表面302上的流控制板401。在一个实施例中,流控制板401被限定为具有在从大约3mm延伸至大约6mm的范围内的厚度403的盘。流控制板401盘被限定为具有足以覆盖通孔117以控制通过通孔117的流的直径。在一个实施例中,流控制板401盘被限定为具有覆盖气体分配单元115的上表面以保持将上方等离子体产生容积103中的等离子体均匀地暴露于由气体分配单元115提供的RF回路的直径。
在一个实施例中,流控制板401由导电且导热材料形成,并且被紧固到气体分配单元115以确保流控制板401和气体分配单元115之间充分的电传导和热传导。在一个实施例中,流控制板401可通过上方和下方等离子体产生容积103/109之间的压差、通过多个紧固件或者通过它们的组合而被紧固到气体分配单元115。而且,在各个实施例中,流控制板401可由诸如上文针对气体分配单元115所讨论的耐等离子体涂层覆盖并保护。
在一个实施例中,多种式样的孔被限定为贯通流控制板401。流控制板401内的多种式样的孔中的每个与气体分配单元115内的不同组通孔117对准。流控制板401在气体分配单元115的上表面上在流控制板401相对于气体分配单元115的上表面的特定旋转位置处的布置对应于流控制板401内的多种式样的孔中的特定一个与气体分配单元115内的其相应组通孔117的对准。延伸贯通流控制板401的多种式样的孔中的每个被限定为暴露气体分配单元115内的不同数量或不同空间式样的通孔117。因此,能够通过将流控制板401设定在相对于气体分配单元115的上表面的特定旋转位置处来控制通过流控制板401并且因此通过气体分配单元115的自由基/中性粒子流。
在一个实施例中,流控制板401被限定为包括提供沿基准方向301径直贯通气体分配单元115的通孔117的关闭的孔式样,从而使能关断通过气体分配单元115的离子流。图4B示出了根据本发明的一个实施例的被定位成使得限定于其中的孔405能让流通过限定在下伏的气体分配单元115内的全部通孔117的流控制板401的俯视图。图4C示出了根据本发明的一个实施例的被定位成使得限定于其中的孔405仅能让流通过限定在下伏的气体分配单元115内的有角度通孔117的流控制板401的俯视图。而且,在其它实施例中,流控制板401中的多种式样的孔405被限定为提供通过气体分配单元115的不同空间式样的自由基/中性粒子流。
图4D示出了根据本发明的一个实施例的由多个同心可旋转流控制板407A、407B、407C限定的流控制板组件401A的俯视图。每个同心可旋转流控制板407A、407B、407C能够被独立地设定以提供中央到边缘的控制,通过中央到边缘的控制使得气体分配单元117内的通孔117打开或关闭。具体地,流控制板组件401A包括中央盘407A和多个同心环407B/407C,多个同心环407B/407C以同心方式布置在气体分配单元115的上表面上。应当理解的是,图4D的特定构造是通过举例的方式提供的。其它实施例可以包括与图4D所示不同的多个同心可旋转流控制板。
中央盘407A和多个同心环407B/407C中的每个分别包括贯通其中的多种式样的孔405A/405B/405C。多种式样的孔405A/405B/405C中的每个与气体分配单元115内的不同组通孔117对准,使得中央盘407A和同心环407B/407C中的每个在气体分配单元115的上表面上相对于气体分配单元115的上表面的特定旋转位置处的布置对应于多种式样的孔405A/405B/405C中的特定一个与气体分配单元115内的其相应组通孔117的对准。贯通中央盘407A和同心环407B/407C的多种式样的孔405A/405B/405C中的每个被限定为暴露气体分配单元115内的不同数量或不同空间式样的通孔117。
再次参照图1,卡盘107被布置在室100的内腔100D内且在下方等离子体产生容积109的下方。在一个实施例中,卡盘107从室100的壁100C悬臂式连接。在一个实施例中,卡盘107为静电卡盘并且提供用于将RF功率传送到下方等离子体产生容积109的电极。卡盘107被限定为保持暴露于下方等离子体产生容积109的衬底113(即,晶片113)。在一个实施例中,晶片边缘环149被布置在卡盘107上且位于卡盘107上的衬底113接纳/保持区域的周边周围。在各个实施例中,晶片边缘环由石英或硅形成。而且,在一个实施例中,导体148被布置在晶片边缘环149的下方,并且通过晶片边缘环149连接至驱动DC偏压。卡盘107也被限定为包括冷却通道和/或加热元件的构造,从而使能进行衬底113和下方等离子体产生容积109的温度控制。
卡盘107被限定为在内腔100D内垂直地移动,如箭头123所指示。通过这种方式,卡盘107能够被降低以通过闸阀102来接受/提供衬底113,并且能够被提升以形成下方等离子体产生容积109的下表面。而且,能够通过控制卡盘107的垂直位置来设定和控制跨过下方等离子体产生容积109的垂直距离,垂直于卡盘107和气体分配单元115测量得到该垂直距离。跨过下方等离子体产生容积109的垂直距离能够被设定以实现充分的中央到边缘的等离子体均匀性和密度,并且还能够被设定以避免通过流自气体供给端口119和/或通孔117的气体射流打印到晶片113上。在各个实施例中,跨过下方等离子体产生容积109的垂直距离能够被设定在从大约1cm延伸到大约5cm或者从大约2cm延伸到大约3.6cm的范围内。
卡盘107被进一步限定为将RF功率从RF功率源111供给到下方等离子体产生容积109,使得卡盘107用作下方等离子体产生容积109的电极。应当理解的是,下方等离子体室的RF功率源111与上方等离子体室的RF功率源105分离且独立。因此,能够单独且独立地控制供给到上方和下方等离子体产生容积103/109的RF功率。在一个实施例中,RF功率源111被限定为提供RF功率和多个频率。例如,RF功率源111能够被限定为以2MHz、27MHz和60MHz的频率提供RF功率。应当理解的是,上方和下方等离子体室112/114的RF功率源105/111中的每个分别通过其自身的匹配网络连接以使能分别将RF功率传送到喷头电极101和卡盘107。如之前所讨论的,在一个实施例中,气体分配单元115用作上方和下方等离子体产生容积103/109两者的RF功率回路中的基准接地电极。
上方等离子体室被限定为包括排放通道125,上方等离子体产生容积103内的气体通过排放通道125排放到室100的内腔100D中。排放通道125被限定为在喷头电极101的径向周边外部和气体分配单元115的径向周边外部环绕上方等离子体产生容积103。在该构造中,排放通道125沿径向在上方等离子体室的外结构部件104的下表面与下方等离子体室的气体分配单元115和外结构部件106两者的上表面之间延伸。
压力节气环127被限定为在排放通道125内移动以调节从上方等离子体产生容积103通过排放通道125到达室100的内腔100D的流体流(即,气流)。在一个实施例中,压力节气环127被限定为在上方等离子体室112的外结构部件104内的保形限定的凹部区域内垂直地移动。在该实施例中,压力节气环127能够以受控的方式下移到排放通道125中以减少通过排放通道125的流动区域并且因此调节来自上方等离子体产生容积103的流体流。在一个实施例中,压力节气环127被限定为使能完全截断从上方等离子体产生容积103通过排放通道125而进入室100的内腔100D中的流。
应当理解的是,图1中所描绘的压力节气环127的构造是其实现的一个示例性实施例。在其它实施例中,能够以不同的方式来实现压力节气环127,只要压力节气环127提供通过对排放通道125的流体流的控制即可。在一个实施例中,测压计还被布置以测量上方等离子体产生容积103内的压力。在该实施例中,上方等离子体产生容积103内的该测量压力用于产生控制压力节气环127的位置的反馈信号,反馈信号进而提供对上方等离子体产生容积103内的压力的主动控制。
下方等离子体室被限定为包括成组的带槽排放通道129,下方等离子体产生容积109内的气体通过带槽排放通道129排放到室100的内腔100D中。成组的带槽排放通道129被限定为在卡盘107的径向周边外部和气体分配单元115的径向周边外部环绕下方等离子体产生容积109。在一个实施例中,如图1所示,成组的带槽排放通道129被限定在位于靠近保持有衬底113的卡盘107的顶面的垂直位置处的下方等离子体室114的外结构部件106的水平取向部分中。在该实施例中,成组的带槽排放通道129垂直地延伸通过下方等离子体室114的外结构部件106的水平取向部分。
压力控制环131被限定为朝向和远离成组的带槽排放通道129移动以调节从下方等离子体产生容积109通过成组的带槽排放通道129而进入室100的内腔100D中的流体流(即,气流)。在一个实施例中,压力控制环131被限定为能够沿垂直方向朝向和远离成组的带槽排放通道129的水平取向的环状盘。压力控制环131被限定为当抵靠成组的带槽排放通道129放置时(即,当抵靠外结构部件106的形成有成组的带槽排放通道129的水平取向部分的下表面放置时)覆盖成组的带槽排放通道129(在内腔100D侧)
能够通过压力控制环131朝向和远离成组的带槽排放通道129的垂直运动来调节(即,控制)从下方等离子体产生容积109通过成组的带槽排放通道129到达室100的内腔100D的流体流。在一个实施例中,压力控制环131被限定为使能完全截断从下方等离子体产生容积109通过成组的带槽排放通道129而进入室100的内腔100D的流。在一个实施例中,测压计还被布置为测量下方等离子体产生容积109内的压力。在该实施例中,下方等离子体产生容积109内的该测量压力用于产生控制压力控制环131的位置的反馈信号,反馈信号进而提供下方等离子体产生容积109内的压力的主动控制。
应当理解的是,上方等离子体室112和下方等离子体室114两者均封闭各自的约束等离子体。约束等离子体的有益之处在于,能够通过控制等离子体区域内(即,上方和下方等离子体产生容积103/109内)的容积、压力和流动来控制其驻留时间。等离子体驻留时间影响离解过程,其为自由基/中性粒子形成的因素。上方和下方等离子体产生容积103/109小且其压力和温度可被很好地控制。
如之前所讨论的,上方和下方等离子体室112/114具有其自身相应的RF功率源/控制、压力控制、温度控制、等离子体处理气体源/控制和气流控制。在各个实施例中,上方等离子体处理容积103内的压强能够被控制在从大约100mTorr延伸到大约1Torr或者从大约200mTorr延伸到大约600mTorr的范围内。在各个实施例中,下方等离子体处理容积109内的压强能够被控制在从大约5mTorr延伸到大约100mTorr或者从大约10mTorr延伸到大约30mTorr的范围内。
图5示出了根据本发明的一个实施例的图1的具有上方等离子体501和下方等离子体503的室100。来自上方等离子体501的处理气体从上方等离子体产生容积103通过排放通道125排放到室100的内腔100D中,如箭头505所指示的。来自下方等离子体503的处理气体从下方等离子体产生容积109通过成组的带槽排放通道129排放到室100的内腔100D中,如箭头507所指示的。处理气体通过排放口135从室100的内腔100D中排出,如箭头509所指示。
应当理解的是,对上方和下方等离子体室112/114的独立控制提供了对于晶片处理配方的广泛的可能性,尤其是关于自由基/中性粒子流通量相对于离子流通量的独立控制。下面提供了几个示例性的晶片处理。然而,应当理解的是,本文所公开的示例性的晶片处理仅作为示例提供,绝不表示对本文公开的双等离子体处理室100的使用的任何限制。
在一个示例性实施例中,室100用于执行使用在晶片处理等离子体中具有低离解度的CxFy(C4F8、C4F6等)的高氟自由基/中性粒子流通量的晶片处理。在该示例性实施例中,Ar和NF3的混合物作为等离子体处理气体被供给到上方等离子体产生容积103。上方等离子体产生容积103在高压和高的RF频率(60MHz)下工作。高氟自由基/中性粒子流通量产生于上方等离子体室103中并且流经气体分配单元115的通孔117。产生于上方等离子体处理容积103中的离子由气体分配单元115过滤。
此外,在该示例性实施例中,Ar和CxFy气体的混合物作为等离子体处理气体被供给到下方等离子体产生容积109。下方等离子体产生容积109在低压和低到中等的RF频率(2MHz和27MHz)下工作。下方等离子体产生容积109的低的RF频率对应于暴露于晶片113的等离子体中的CxFy的低离解度。应当理解的是,上方等离子体产生容积103中产生必需的氟自由基/中性粒子流通量所要求的高功率将导致施加到下方等离子体产生容积109的CxFy的高离解度。因此,双等离子体室100使能实现上述处理的性能。
在另一示例性实施例中,室100用于执行利用高压容积中的高离解度的CxFy(C4F8、C4F6等)以及低压容积中的高密度Ar等离子体的晶片处理。在该示例性实施例中,CxFy和Ar的混合物作为等离子体处理气体被供给到上方等离子体产生容积103。上方等离子体产生容积103在高压和高的RF频率(60MHz)下工作以造成CxFy的高离解度。产生于上方等离子体室103中的高离解度的CxFy流经气体分配单元115的通孔117。产生于上方等离子体处理容积103中的离子由气体分配单元115过滤。此外,在该示例性实施例中,Ar气体作为等离子体处理气体被供给到下方等离子体产生容积109。下方等离子体产生容积109在低压以及低到中等的RF频率(2MHz和27MHz)下工作以产生具有高离子流通量的高密度Ar等离子体。
在一个操作实施例中,下方等离子体室114的压力控制环131关闭,并且上方等离子体室112被设定为仅排放构造。在该实施例中,在上方等离子体产生容积103中不产生等离子体。在该实施例中,等离子体处理气体流经气体分配单元115的气体供给端口119而进入下方等离子体产生容积109。此外,在该实施例中,等离子体处理气体从下方等离子体产生容积109通过气体分配单元115的通孔117而排放到上方等离子体产生容积103中,并且然后从排放通道125中排出而进入室100的内腔100D中。
该操作实施例提供了等离子体处理气体沿轴向泵入/泵出下方等离子体产生容积109。在该实施例中,因为气体被垂直(与径向相对)地泵出,所以能够在晶片113上实现精确的压力均匀性控制。应当理解的是,排放气体的径向泵出导致晶片113上的径向压力分配。该实施例还使得能在要求短的等离子体驻留时间(例如小于一毫秒)的低流动应用(诸如原子层沉积或原子层蚀刻)中对驻留时间进行精确控制。
应当理解的是,双等离子体室100被限定将自由基/中性粒子流通量产生/应用与离子等离子体产生/应用分离。此外,在一个实施例中,下方等离子体室114可以是非活跃的(即,仅排放),使得来自上方等离子体室112的自由基/中性粒子流通量能够施加到晶片113上而不会将晶片113暴露于等离子体。
尽管已经参照多个实施例对本发明进行了说明,应理解的是,本领域技术人员在阅读前面的说明书并研究附图时将实现其各种改动、添加、替换和等同方案。因此,本发明旨在包含落在本发明的真正主旨和范围内的所有这样的改动、添加、替换和等同方案。

Claims (20)

1.一种半导体晶片处理装置,其包括:
静电卡盘,其具有被配置成保持衬底的上表面;
气体分配单元,其定位于所述静电卡盘上方并与所述静电卡盘间隔开,所述气体分配单元具有下表面,所述下表面被定向在与所述静电卡盘的所述上表面基本上平行的方向,在所述静电卡盘的所述上表面与所述气体分配单元的所述下表面之间的空间形成下方等离子体产生容积,所述气体分配单元被配置成接收并分配处理气体到所述下方等离子体产生容积,所述气体分配单元包括通孔布置,所述通孔布置从所述气体分配单元的所述下表面延伸到所述气体分配单元的上表面;
下方外结构部件,其被配置成围绕所述气体分配单元并且将所述气体分配单元支撑在其位于所述静电卡盘上方并与所述静电卡盘间隔开的位置;
喷头电极,其定位于所述气体分配单元上方并与所述气体分配单元间隔开,所述喷头电极具有下表面,所述下表面被定向在与所述气体分配单元的上表面基本上平行的方向,在所述喷头电极的下表面和所述气体分配单元的上表面之间的空间形成上方等离子体产生容积;
上方外结构部件,其被配置成围绕所述喷头电极并且将所述喷头电极支撑在其位于所述气体分配单元上方并与所述气体分配单元间隔开的位置;
排放通道,其被配置成在径向方向上从所述上方等离子体产生容积的外围向外延伸,所述排放通道被配置成围绕所述上方等离子体产生容积并且在所述上方外结构部件和下方外结构部件之间径向向外延伸;以及
节气环,其被配置成在所述上方外结构部件内的保形限定的凹部区域内垂直地移动,从而以受控的距离量垂直延伸到所述排放通道内。
2.如权利要求1所述的半导体晶片处理装置,其中,所述排放通道被配置成除在所述节气环下方的位置之外沿着其在径向方向的延伸上具有基本上均匀的垂直高度。
3.如权利要求1所述的半导体晶片处理装置,其还包括:
第一绝缘环,其被配置成围绕所述喷头电极的外围,所述第一绝缘环布置在形成于所述下方外结构部件内的水平槽内,所述第一绝缘环被配置成沿着所述喷头电极的垂直高度的一部分延伸,所述第一绝缘环被配置成物理地接触所述喷头电极的外围。
4.如权利要求3所述的半导体晶片处理装置,其中,所述第一绝缘环具有向下延伸并远离所述喷头电极的下表面的锥形下表面。
5.如权利要求3所述的半导体晶片处理装置,其还包括:
第二绝缘环,其被配置成围绕所述喷头电极的所述外围,所述第二绝缘环被布置在所述第一绝缘环的上表面上,所述第二绝缘环被定位在所述喷头电极的外围和所述上方外结构部件之间,所述第二绝缘环被配置成沿着位于所述第一绝缘环上方的所述喷头电极的垂直高度的剩余部分延伸,所述第二绝缘环被定位成接触所述喷头电极和所述上方外结构部件两者。
6.如权利要求1所述的半导体晶片处理装置,其中,所述通孔布置的第一部分在相对于在所述气体分配单元的所述上表面和下表面之间垂直延伸的基准方向成角度的方向上从所述气体分配单元的所述下表面延伸到所述气体分配单元的所述上表面。
7.如权利要求6所述的半导体晶片处理装置,其中,所述通孔布置的第一部分相对于所述基准方向的角度足够大以防止沿所述基准方向通过所述气体分配单元的不间断视线。
8.如权利要求6所述的半导体晶片处理装置,其中,所述通孔布置的第二部分在基准方向上从所述气体分配单元的所述下表面延伸到所述气体分配单元的所述上表面。
9.如权利要求8所述的半导体晶片处理装置,其中,所述通孔布置的所述第一部分和第二部分以基本上混合的方式分配在所述气体分配单元上。
10.如权利要求1所述的半导体晶片处理装置,其中,所述节气环被配置成当所述节气环完全下降到所述排放通道中时完全切断从所述上方等离子体产生容积通过所述排放通道的流。
11.如权利要求1所述的半导体晶片处理装置,其中,所述下方外结构部件刚性地连接到所述静电卡盘。
12.如权利要求11所述的半导体晶片处理装置,其中,所述下方外结构部件包括上方水平部分、下方水平部分以及在所述下方水平部分和上方水平部分之间的垂直部分,并且其中所述上方水平部分抵靠所述气体分配单元的外围密封,并且其中所述下方水平部分抵靠所述静电卡盘的外围密封,并且其中,除了通过所述下方水平部分形成的成组的带槽排放通道之外,所述下方外结构部件的所述上方水平部分、所述下方水平部分以及所述垂直部分中的每一个形成不透性屏障,其中所述成组的带槽排放通道形成下方等离子体产生容积外部的流体流通路径。
13.如权利要求12所述的半导体晶片处理装置,其进一步包括:
压力控制环,其布置在所述成组的带槽排放通道的下方,所述压力控制环被配置成为水平取向的环形形状的实心盘,其能以受控的方式在垂直方向上朝向和远离所述成组的带槽排放通道移动。
14.如权利要求13所述的半导体晶片处理装置,其中,所述压力控制环被配置成当所述压力控制环完全上升到接触所述下方外结构部件的所述下方水平部分时完全切断从所述下方等离子体产生容积通过所述成组的带槽排放通道的流。
15.一种用于处理半导体晶片的方法,其包括:
放置半导体晶片在半导体处理装置内的静电卡盘的上表面上,其中所述半导体晶片处理装置包括:
静电卡盘,其具有被配置成保持衬底的上表面;
气体分配单元,其定位于所述静电卡盘上方并与所述静电卡盘间隔开,所述气体分配单元具有下表面,所述下表面被定向在与所述静电卡盘的所述上表面基本上平行的方向,在所述静电卡盘的所述上表面与所述气体分配单元的所述下表面之间的空间形成下方等离子体产生容积,所述气体分配单元被配置成接收并分配处理气体到所述下方等离子体产生容积,所述气体分配单元包括通孔布置,所述通孔布置从所述气体分配单元的所述下表面延伸到所述气体分配单元的上表面;
下方外结构部件,其被配置成围绕所述气体分配单元并且将所述气体分配单元支撑在其位于所述静电卡盘上方并与所述静电卡盘间隔开的位置;
喷头电极,其定位于所述气体分配单元上方并与所述气体分配单元间隔开,所述喷头电极具有下表面,所述下表面被定向在与所述气体分配单元的上表面基本上平行的方向,在所述喷头电极的下表面和所述气体分配单元的上表面之间的空间形成上方等离子体产生容积;
上方外结构部件,其被配置成围绕所述喷头电极并且将所述喷头电极支撑在其位于所述气体分配单元上方并与所述气体分配单元间隔开的位置;
排放通道,其被配置成在径向方向上从所述上方等离子体产生容积的外围向外延伸,所述排放通道被配置成围绕所述上方等离子体产生容积并且在所述上方外结构部件和下方外结构部件之间径向向外延伸;以及
节气环,其被配置成在所述上方外结构部件内的保形限定的凹部区域内垂直地移动,从而以受控的距离量垂直延伸到所述排放通道内;
操作所述静电卡盘以保持所述衬底;
使第一处理气体流动通过所述喷头电极到所述上方等离子体产生容积内;
供应射频功率给所述喷头电极以在所述上方等离子体产生容积内将所述第一处理气体转换成等离子体,其中所述上方等离子体产生容积内的所述等离子体的活性组分行进通过所述气体分配单元内的所述通孔布置并进入到所述下方等离子体产生容积内;
使第二处理气体流动通过所述气体分配单元进入下方等离子体产生容积内;以及
供应射频功率给所述静电卡盘以在所述下方等离子体产生容积内将所述第二处理气体转换成等离子体。
16.如权利要求15所述的方法,其进一步包括:
控制所述节气环在所述上方外结构部件内的保形限定的凹部区域内的垂直部分,以控制从所述上方等离子体产生容积通过所述排放通道的流量。
17.如权利要求16所述的方法,其进一步包括:
操作压力计来测量所述上方等离子体产生容积内的压力;
生成用于控制所述节气环的所述垂直部分的反馈信号;以及
使用生成的所述反馈信号来控制所述节气环的所述垂直部分,以提供对所述上方等离子体产生容积内的压力的实时控制。
18.如权利要求15所述的方法,其中,所述下方外结构部件包括成组的带槽排放通道,所述成组的带槽排放通道形成所述下方等离子体产生容积外部的流体流通路径,并且其中所述半导体晶片处理装置包括压力控制环,所述压力控制环被配置成为水平取向的环形形状的实心盘,其能以受控的方式在垂直方向上朝向和远离所述成组的带槽排放通道移动,所述方法进一步包括控制所述压力控制环的垂直部分以控制从所述下方等离子体产生容积通过所述成组的带槽排放通道的流量。
19.如权利要求18所述的方法,其进一步包括:
使所述节气环在所述排放通道内完全地下降以切断从所述上方等离子体产生容积通过所述排放通道的流,从而从所述上方等离子体产生容积的排放被迫流动通过所述气体分配单元内的所述通孔布置并且通过所述下方等离子体产生容积并且经过所述压力控制环通过所述成组的带槽排放通道。
20.如权利要求18所述的方法,其进一步包括:
使所述压力控制环完全地上升以接触所述下方外结构部件以切断从所述下方等离子体产生容积通过所述成组的带槽排放通道的流,从而从所述下方等离子体产生容积的排放被迫流动通过所述气体分配单元内的所述通孔布置并且通过所述上方等离子体产生容积并且经过所述节气环通过所述排放通道。
CN201610101378.XA 2010-08-04 2011-06-22 用于中性粒子/离子流通量控制的双等离子体容积处理装置 Active CN105719932B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US12/850,559 2010-08-04
US12/850,559 US9184028B2 (en) 2010-08-04 2010-08-04 Dual plasma volume processing apparatus for neutral/ion flux control
CN201180037768.0A CN103053011B (zh) 2010-08-04 2011-06-22 用于中性粒子/离子流通量控制的双等离子体容积处理装置

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
CN201180037768.0A Division CN103053011B (zh) 2010-08-04 2011-06-22 用于中性粒子/离子流通量控制的双等离子体容积处理装置

Publications (2)

Publication Number Publication Date
CN105719932A CN105719932A (zh) 2016-06-29
CN105719932B true CN105719932B (zh) 2018-01-02

Family

ID=45555213

Family Applications (2)

Application Number Title Priority Date Filing Date
CN201180037768.0A Active CN103053011B (zh) 2010-08-04 2011-06-22 用于中性粒子/离子流通量控制的双等离子体容积处理装置
CN201610101378.XA Active CN105719932B (zh) 2010-08-04 2011-06-22 用于中性粒子/离子流通量控制的双等离子体容积处理装置

Family Applications Before (1)

Application Number Title Priority Date Filing Date
CN201180037768.0A Active CN103053011B (zh) 2010-08-04 2011-06-22 用于中性粒子/离子流通量控制的双等离子体容积处理装置

Country Status (7)

Country Link
US (2) US9184028B2 (zh)
JP (2) JP5913312B2 (zh)
KR (2) KR101998542B1 (zh)
CN (2) CN103053011B (zh)
SG (2) SG187610A1 (zh)
TW (1) TWI605495B (zh)
WO (1) WO2012018449A2 (zh)

Families Citing this family (226)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9493875B2 (en) * 2008-09-30 2016-11-15 Eugene Technology Co., Ltd. Shower head unit and chemical vapor deposition apparatus
FI124414B (fi) * 2010-04-30 2014-08-29 Beneq Oy Lähde ja järjestely substraatin käsittelemiseksi
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US20130059448A1 (en) * 2011-09-07 2013-03-07 Lam Research Corporation Pulsed Plasma Chamber in Dual Chamber Configuration
US9793126B2 (en) * 2010-08-04 2017-10-17 Lam Research Corporation Ion to neutral control for wafer processing with dual plasma source reactor
US8869742B2 (en) * 2010-08-04 2014-10-28 Lam Research Corporation Plasma processing chamber with dual axial gas injection and exhaust
US9184028B2 (en) 2010-08-04 2015-11-10 Lam Research Corporation Dual plasma volume processing apparatus for neutral/ion flux control
US20120052216A1 (en) * 2010-08-27 2012-03-01 Applied Materials, Inc. Gas distribution showerhead with high emissivity surface
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
JP5661513B2 (ja) * 2011-03-03 2015-01-28 東京エレクトロン株式会社 プラズマ処理装置
KR101937115B1 (ko) 2011-03-04 2019-01-09 노벨러스 시스템즈, 인코포레이티드 하이브리드 세라믹 샤워헤드
US9064815B2 (en) * 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
KR101241049B1 (ko) 2011-08-01 2013-03-15 주식회사 플라즈마트 플라즈마 발생 장치 및 플라즈마 발생 방법
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US9039911B2 (en) 2012-08-27 2015-05-26 Lam Research Corporation Plasma-enhanced etching in an augmented plasma processing system
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
KR101246191B1 (ko) * 2011-10-13 2013-03-21 주식회사 윈텔 플라즈마 장치 및 기판 처리 장치
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
WO2013078098A1 (en) * 2011-11-23 2013-05-30 Lam Research Corporation Multi zone gas injection upper electrode system
KR101504532B1 (ko) * 2012-03-09 2015-03-24 주식회사 윈텔 플라즈마 처리 방법 및 기판 처리 장치
KR101332337B1 (ko) 2012-06-29 2013-11-22 태원전기산업 (주) 초고주파 발광 램프 장치
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9447365B2 (en) * 2012-07-27 2016-09-20 Applied Materials, Inc. Enhanced cleaning process of chamber used plasma spray coating without damaging coating
US9373517B2 (en) * 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
TWI467625B (zh) * 2012-08-30 2015-01-01 Univ Chang Gung 電漿處理裝置
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
JP6002522B2 (ja) * 2012-09-27 2016-10-05 株式会社Screenホールディングス 薄膜形成装置、薄膜形成方法
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
WO2014092856A1 (en) * 2012-12-14 2014-06-19 The Penn State Research Foundation Ultra-high speed anisotropic reactive ion etching
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US11004663B2 (en) * 2013-03-15 2021-05-11 Applied Materials, Inc. Chamber design for semiconductor processing
KR101451244B1 (ko) * 2013-03-22 2014-10-15 참엔지니어링(주) 라이너 어셈블리 및 이를 구비하는 기판 처리 장치
US9245761B2 (en) 2013-04-05 2016-01-26 Lam Research Corporation Internal plasma grid for semiconductor fabrication
US9230819B2 (en) 2013-04-05 2016-01-05 Lam Research Corporation Internal plasma grid applications for semiconductor fabrication in context of ion-ion plasma processing
CN103227091B (zh) * 2013-04-19 2016-01-27 中微半导体设备(上海)有限公司 等离子体处理装置
US20140315392A1 (en) * 2013-04-22 2014-10-23 Lam Research Corporation Cold spray barrier coated component of a plasma processing chamber and method of manufacture thereof
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9490149B2 (en) * 2013-07-03 2016-11-08 Lam Research Corporation Chemical deposition apparatus having conductance control
US9017526B2 (en) 2013-07-08 2015-04-28 Lam Research Corporation Ion beam etching system
US9147581B2 (en) 2013-07-11 2015-09-29 Lam Research Corporation Dual chamber plasma etcher with ion accelerator
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US10077497B2 (en) * 2014-05-30 2018-09-18 Lam Research Corporation Hollow cathode discharge (HCD) suppressing capacitively coupled plasma electrode and gas distribution faceplate
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
CN105448635B (zh) * 2014-08-28 2018-01-09 北京北方华创微电子装备有限公司 原子层刻蚀装置及采用其的原子层刻蚀方法
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
JP2016081945A (ja) * 2014-10-09 2016-05-16 株式会社ニューフレアテクノロジー 気相成長装置および気相成長方法
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) * 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10224210B2 (en) * 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
JP6600990B2 (ja) * 2015-01-27 2019-11-06 東京エレクトロン株式会社 プラズマ処理装置
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9793104B2 (en) 2015-01-29 2017-10-17 Aixtron Se Preparing a semiconductor surface for epitaxial deposition
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20210343509A9 (en) * 2015-02-11 2021-11-04 Applied Materials, Inc. Conditioned semiconductor system parts
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10049862B2 (en) * 2015-04-17 2018-08-14 Lam Research Corporation Chamber with vertical support stem for symmetric conductance and RF delivery
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
CN107835868B (zh) * 2015-06-17 2020-04-10 应用材料公司 在处理腔室中的气体控制
CN107109618B (zh) * 2015-06-29 2019-10-22 株式会社爱发科 基板处理装置
US9793097B2 (en) * 2015-07-27 2017-10-17 Lam Research Corporation Time varying segmented pressure control
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) * 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US11004661B2 (en) 2015-09-04 2021-05-11 Applied Materials, Inc. Process chamber for cyclic and selective material removal and etching
KR102340973B1 (ko) 2015-09-18 2021-12-17 삼성전자주식회사 반도체 테스트 장치 및 방법과 데이터 분석 장치
JP6784530B2 (ja) * 2016-03-29 2020-11-11 東京エレクトロン株式会社 被処理体を処理する方法
US10622189B2 (en) * 2016-05-11 2020-04-14 Lam Research Corporation Adjustable side gas plenum for edge rate control in a downstream reactor
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
DE102016008775A1 (de) 2016-07-22 2018-01-25 Oliver Feddersen-Clausen Beschichtungsvorrichtung insbesondere für Radical Enhanced Atomic Layer Deposition
WO2018039315A1 (en) * 2016-08-26 2018-03-01 Applied Materials, Inc. Plasma screen for plasma processing chamber
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
KR102625574B1 (ko) * 2016-10-06 2024-01-16 주성엔지니어링(주) 기판 처리 장치의 샤워 헤드
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
CN106455282A (zh) * 2016-11-04 2017-02-22 中国工程物理研究院流体物理研究所 离子过滤方法、具有离子过滤功能的栅网及中子发生器
CN106507576A (zh) * 2016-11-04 2017-03-15 中国工程物理研究院流体物理研究所 金属氢化物离子源的离子过滤装置、方法及中子发生器
US10403476B2 (en) * 2016-11-09 2019-09-03 Lam Research Corporation Active showerhead
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
JP6764771B2 (ja) * 2016-11-28 2020-10-07 東京エレクトロン株式会社 基板処理装置及び遮熱板
KR102292077B1 (ko) 2016-12-09 2021-08-23 에이에스엠 아이피 홀딩 비.브이. 열적 원자층 식각 공정
US10604841B2 (en) 2016-12-14 2020-03-31 Lam Research Corporation Integrated showerhead with thermal control for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition
US11694911B2 (en) * 2016-12-20 2023-07-04 Lam Research Corporation Systems and methods for metastable activated radical selective strip and etch using dual plenum showerhead
US10283319B2 (en) 2016-12-22 2019-05-07 Asm Ip Holding B.V. Atomic layer etching processes
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US11236422B2 (en) 2017-11-17 2022-02-01 Lam Research Corporation Multi zone substrate support for ALD film property correction and tunability
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US11015247B2 (en) 2017-12-08 2021-05-25 Lam Research Corporation Integrated showerhead with improved hole pattern for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition
US10903054B2 (en) * 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10633742B2 (en) 2018-05-07 2020-04-28 Lam Research Foundation Use of voltage and current measurements to control dual zone ceramic pedestals
JP7126381B2 (ja) 2018-05-21 2022-08-26 東京エレクトロン株式会社 成膜装置および成膜方法
WO2019244790A1 (ja) * 2018-06-20 2019-12-26 株式会社アルバック 真空処理装置、支持シャフト
US11908715B2 (en) 2018-07-05 2024-02-20 Lam Research Corporation Dynamic temperature control of substrate support in substrate processing system
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
KR20200015264A (ko) 2018-08-03 2020-02-12 삼성전자주식회사 웨이퍼 접합 방법 및 웨이퍼 접합 시스템
US11183400B2 (en) 2018-08-08 2021-11-23 Lam Research Corporation Progressive heating of components of substrate processing systems using TCR element-based heaters
US10872747B2 (en) * 2018-08-08 2020-12-22 Lam Research Corporation Controlling showerhead heating via resistive thermal measurements
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
CN116884826A (zh) * 2019-01-25 2023-10-13 玛特森技术公司 隔栅中的等离子体后气体注入
KR102208815B1 (ko) * 2019-05-10 2021-01-28 주식회사 뉴파워 프라즈마 기판 처리 시스템의 제어 방법
JP2021019201A (ja) 2019-07-18 2021-02-15 エーエスエム アイピー ホールディング ビー.ブイ. 半導体処理システム用シャワーヘッドデバイス
KR102217160B1 (ko) * 2019-08-07 2021-02-19 세메스 주식회사 기판 처리 장치 및 기판 처리 방법
KR20210027601A (ko) 2019-08-29 2021-03-11 삼성전자주식회사 플라즈마 표면처리 장치 및 이를 구비하는 기판 처리 시스템과 이를 이용한 플라즈마 표면처리 방법
CN112530774B (zh) * 2019-09-17 2024-04-05 中微半导体设备(上海)股份有限公司 等离子体处理设备
CN112908819B (zh) * 2019-12-03 2022-04-01 长鑫存储技术有限公司 气体分布器及其加工方法
US11574813B2 (en) 2019-12-10 2023-02-07 Asm Ip Holding B.V. Atomic layer etching
CN113838730B (zh) * 2020-06-08 2024-05-14 中微半导体设备(上海)股份有限公司 气体遮挡环、等离子体处理装置及调控聚合物分布的方法
KR102635841B1 (ko) * 2020-10-13 2024-02-13 에이피시스템 주식회사 박막 제조 장치 및 방법
KR102592414B1 (ko) * 2020-11-23 2023-10-20 세메스 주식회사 전극 제어 유닛을 구비하는 기판 처리 장치
KR20220097202A (ko) * 2020-12-31 2022-07-07 세메스 주식회사 기판 처리 방법 및 기판 처리 장치
US11685996B2 (en) * 2021-03-05 2023-06-27 Sky Tech Inc. Atomic layer deposition device
CN114171363B (zh) * 2021-12-01 2024-02-06 Tcl华星光电技术有限公司 反应室及刻蚀装置
US20230335377A1 (en) * 2022-04-15 2023-10-19 Applied Materials, Inc. Showerhead assembly with heated showerhead

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6261408B1 (en) * 2000-02-16 2001-07-17 Applied Materials, Inc. Method and apparatus for semiconductor processing chamber pressure control
US20040149394A1 (en) * 2003-02-03 2004-08-05 Applied Materials, Inc. Apparatus for uniformly etching a dielectric layer
CN1675738A (zh) * 2002-08-09 2005-09-28 应用材料有限公司 具双频偏压源及单频等离子体产生源的蚀刻腔室
US20060021701A1 (en) * 2004-07-29 2006-02-02 Asm Japan K.K. Dual-chamber plasma processing apparatus
CN1816893A (zh) * 2003-05-06 2006-08-09 兰姆研究有限公司 窄隙电容耦合反应器的射频脉冲调制

Family Cites Families (82)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE2610556C2 (de) * 1976-03-12 1978-02-02 Siemens AG, 1000 Berlin und 8000 München Vorrichtung zum Verteilen strömender Medien über einen Strömungsquerschnitt
US4209357A (en) 1979-05-18 1980-06-24 Tegal Corporation Plasma reactor apparatus
US4579618A (en) 1984-01-06 1986-04-01 Tegal Corporation Plasma reactor apparatus
US4590042A (en) 1984-12-24 1986-05-20 Tegal Corporation Plasma reactor having slotted manifold
US4780169A (en) 1987-05-11 1988-10-25 Tegal Corporation Non-uniform gas inlet for dry etching apparatus
US5246526A (en) 1989-06-29 1993-09-21 Hitachi, Ltd. Surface treatment apparatus
DE4011933C2 (de) 1990-04-12 1996-11-21 Balzers Hochvakuum Verfahren zur reaktiven Oberflächenbehandlung eines Werkstückes sowie Behandlungskammer hierfür
DE4025396A1 (de) 1990-08-10 1992-02-13 Leybold Ag Einrichtung fuer die herstellung eines plasmas
JP2987663B2 (ja) 1992-03-10 1999-12-06 株式会社日立製作所 基板処理装置
US5248371A (en) 1992-08-13 1993-09-28 General Signal Corporation Hollow-anode glow discharge apparatus
US5487785A (en) 1993-03-26 1996-01-30 Tokyo Electron Kabushiki Kaisha Plasma treatment apparatus
US5891350A (en) 1994-12-15 1999-04-06 Applied Materials, Inc. Adjusting DC bias voltage in plasma chambers
EP0756309A1 (en) 1995-07-26 1997-01-29 Applied Materials, Inc. Plasma systems for processing substrates
US5614026A (en) 1996-03-29 1997-03-25 Lam Research Corporation Showerhead for uniform distribution of process gas
JP3360265B2 (ja) 1996-04-26 2002-12-24 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US5976261A (en) 1996-07-11 1999-11-02 Cvc Products, Inc. Multi-zone gas injection apparatus and method for microelectronics manufacturing equipment
JP3317209B2 (ja) 1997-08-12 2002-08-26 東京エレクトロンエイ・ティー株式会社 プラズマ処理装置及びプラズマ処理方法
US6074488A (en) * 1997-09-16 2000-06-13 Applied Materials, Inc Plasma chamber support having an electrically coupled collar ring
US6537418B1 (en) 1997-09-19 2003-03-25 Siemens Aktiengesellschaft Spatially uniform gas supply and pump configuration for large wafer diameters
US6020458A (en) * 1997-10-24 2000-02-01 Quester Technology, Inc. Precursors for making low dielectric constant materials with improved thermal stability
US6126753A (en) 1998-05-13 2000-10-03 Tokyo Electron Limited Single-substrate-processing CVD apparatus and method
US6565661B1 (en) 1999-06-04 2003-05-20 Simplus Systems Corporation High flow conductance and high thermal conductance showerhead system and method
US6245192B1 (en) 1999-06-30 2001-06-12 Lam Research Corporation Gas distribution apparatus for semiconductor processing
EP1214459B1 (en) 1999-08-17 2009-01-07 Tokyo Electron Limited Pulsed plasma processing method and apparatus
JP4487338B2 (ja) 1999-08-31 2010-06-23 東京エレクトロン株式会社 成膜処理装置及び成膜処理方法
US6350317B1 (en) * 1999-12-30 2002-02-26 Lam Research Corporation Linear drive system for use in a plasma processing system
JP3578398B2 (ja) 2000-06-22 2004-10-20 古河スカイ株式会社 成膜用ガス分散プレート及びその製造方法
AU2001288225A1 (en) 2000-07-24 2002-02-05 The University Of Maryland College Park Spatially programmable microelectronics process equipment using segmented gas injection showerhead with exhaust gas recirculation
EP1361604B1 (en) 2001-01-22 2009-03-18 Tokyo Electron Limited Device and method for treatment
CN1302152C (zh) 2001-03-19 2007-02-28 株式会社Ips 化学气相沉积设备
US20030000924A1 (en) 2001-06-29 2003-01-02 Tokyo Electron Limited Apparatus and method of gas injection sequencing
US7882800B2 (en) 2001-12-13 2011-02-08 Tokyo Electron Limited Ring mechanism, and plasma processing device using the ring mechanism
KR100465877B1 (ko) 2002-08-23 2005-01-13 삼성전자주식회사 반도체 식각 장치
US6963043B2 (en) 2002-08-28 2005-11-08 Tokyo Electron Limited Asymmetrical focus ring
US6821563B2 (en) 2002-10-02 2004-11-23 Applied Materials, Inc. Gas distribution system for cyclical layer deposition
US20040082251A1 (en) 2002-10-29 2004-04-29 Applied Materials, Inc. Apparatus for adjustable gas distribution for semiconductor substrate processing
TW589396B (en) 2003-01-07 2004-06-01 Arima Optoelectronics Corp Chemical vapor deposition reactor
WO2004088729A1 (en) 2003-03-26 2004-10-14 Tokyo Electron Limited Chemical processing system and method
US7601223B2 (en) 2003-04-29 2009-10-13 Asm International N.V. Showerhead assembly and ALD methods
US7408225B2 (en) * 2003-10-09 2008-08-05 Asm Japan K.K. Apparatus and method for forming thin film using upstream and downstream exhaust mechanisms
US20050103265A1 (en) 2003-11-19 2005-05-19 Applied Materials, Inc., A Delaware Corporation Gas distribution showerhead featuring exhaust apertures
US20050230350A1 (en) 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US7273526B2 (en) 2004-04-15 2007-09-25 Asm Japan K.K. Thin-film deposition apparatus
US7785672B2 (en) 2004-04-20 2010-08-31 Applied Materials, Inc. Method of controlling the film properties of PECVD-deposited thin films
US7845309B2 (en) 2004-07-13 2010-12-07 Nordson Corporation Ultra high speed uniform plasma processing system
JP4550507B2 (ja) * 2004-07-26 2010-09-22 株式会社日立ハイテクノロジーズ プラズマ処理装置
US7552521B2 (en) 2004-12-08 2009-06-30 Tokyo Electron Limited Method and apparatus for improved baffle plate
US7608549B2 (en) 2005-03-15 2009-10-27 Asm America, Inc. Method of forming non-conformal layers
US8366829B2 (en) * 2005-08-05 2013-02-05 Advanced Micro-Fabrication Equipment, Inc. Asia Multi-station decoupled reactive ion etch chamber
US20070042131A1 (en) * 2005-08-22 2007-02-22 Applied Materials, Inc., A Delaware Corporation Non-intrusive plasma monitoring system for arc detection and prevention for blanket CVD films
JP4700441B2 (ja) 2005-08-29 2011-06-15 東ソー・クォーツ株式会社 斜め貫通孔を有する開口体の製造方法
JP2007088199A (ja) * 2005-09-22 2007-04-05 Canon Inc 処理装置
US7718030B2 (en) 2005-09-23 2010-05-18 Tokyo Electron Limited Method and system for controlling radical distribution
KR100752622B1 (ko) * 2006-02-17 2007-08-30 한양대학교 산학협력단 원거리 플라즈마 발생장치
US7794546B2 (en) 2006-03-08 2010-09-14 Tokyo Electron Limited Sealing device and method for a processing system
US7837826B2 (en) 2006-07-18 2010-11-23 Lam Research Corporation Hybrid RF capacitively and inductively coupled plasma source using multifrequency RF powers and methods of use thereof
WO2008016836A2 (en) 2006-07-29 2008-02-07 Lotus Applied Technology, Llc Radical-enhanced atomic layer deposition system and method
US7416677B2 (en) 2006-08-11 2008-08-26 Tokyo Electron Limited Exhaust assembly for plasma processing system and method
US7909961B2 (en) * 2006-10-30 2011-03-22 Applied Materials, Inc. Method and apparatus for photomask plasma etching
US20080193673A1 (en) 2006-12-05 2008-08-14 Applied Materials, Inc. Method of processing a workpiece using a mid-chamber gas distribution plate, tuned plasma flow control grid and electrode
US8262847B2 (en) 2006-12-29 2012-09-11 Lam Research Corporation Plasma-enhanced substrate processing method and apparatus
US7789961B2 (en) 2007-01-08 2010-09-07 Eastman Kodak Company Delivery device comprising gas diffuser for thin film deposition
US8123902B2 (en) * 2007-03-21 2012-02-28 Applied Materials, Inc. Gas flow diffuser
JP5179476B2 (ja) * 2007-04-17 2013-04-10 株式会社アルバック 成膜装置
US8216419B2 (en) 2008-03-28 2012-07-10 Bridgelux, Inc. Drilled CVD shower head
KR100888652B1 (ko) 2007-08-14 2009-03-13 세메스 주식회사 배기 가능한 플라즈마 발생 장치 및 이를 구비하는 상압플라즈마 장치
WO2009042137A2 (en) 2007-09-25 2009-04-02 Lam Research Corporation Temperature control modules for showerhead electrode assemblies for plasma processing apparatuses
US8211231B2 (en) 2007-09-26 2012-07-03 Eastman Kodak Company Delivery device for deposition
US8282735B2 (en) 2007-11-27 2012-10-09 Asm Genitech Korea Ltd. Atomic layer deposition apparatus
US8066895B2 (en) * 2008-02-28 2011-11-29 Applied Materials, Inc. Method to control uniformity using tri-zone showerhead
US8075728B2 (en) 2008-02-28 2011-12-13 Applied Materials, Inc. Gas flow equalizer plate suitable for use in a substrate process chamber
JP5202050B2 (ja) 2008-03-14 2013-06-05 東京エレクトロン株式会社 シャワーヘッド及び基板処理装置
JP5179389B2 (ja) 2008-03-19 2013-04-10 東京エレクトロン株式会社 シャワーヘッド及び基板処理装置
US8643280B2 (en) 2008-03-20 2014-02-04 RUHR-UNIVERSITäT BOCHUM Method for controlling ion energy in radio frequency plasmas
KR100982842B1 (ko) 2008-04-25 2010-09-16 주식회사 케이씨텍 원자층 증착 장치
JP5102706B2 (ja) 2008-06-23 2012-12-19 東京エレクトロン株式会社 バッフル板及び基板処理装置
KR100978859B1 (ko) * 2008-07-11 2010-08-31 피에스케이 주식회사 할로우 캐소드 플라즈마 발생장치 및 할로우 캐소드플라즈마를 이용한 대면적 기판 처리장치
US8540844B2 (en) 2008-12-19 2013-09-24 Lam Research Corporation Plasma confinement structures in plasma processing systems
US8293013B2 (en) 2008-12-30 2012-10-23 Intermolecular, Inc. Dual path gas distribution device
US9728429B2 (en) 2010-07-27 2017-08-08 Lam Research Corporation Parasitic plasma prevention in plasma processing chambers
US9184028B2 (en) 2010-08-04 2015-11-10 Lam Research Corporation Dual plasma volume processing apparatus for neutral/ion flux control
US8869742B2 (en) 2010-08-04 2014-10-28 Lam Research Corporation Plasma processing chamber with dual axial gas injection and exhaust

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6261408B1 (en) * 2000-02-16 2001-07-17 Applied Materials, Inc. Method and apparatus for semiconductor processing chamber pressure control
CN1675738A (zh) * 2002-08-09 2005-09-28 应用材料有限公司 具双频偏压源及单频等离子体产生源的蚀刻腔室
US20040149394A1 (en) * 2003-02-03 2004-08-05 Applied Materials, Inc. Apparatus for uniformly etching a dielectric layer
CN1816893A (zh) * 2003-05-06 2006-08-09 兰姆研究有限公司 窄隙电容耦合反应器的射频脉冲调制
US20060021701A1 (en) * 2004-07-29 2006-02-02 Asm Japan K.K. Dual-chamber plasma processing apparatus

Also Published As

Publication number Publication date
JP2016167606A (ja) 2016-09-15
TW201222635A (en) 2012-06-01
KR20130136962A (ko) 2013-12-13
US9184028B2 (en) 2015-11-10
KR101911562B1 (ko) 2019-01-04
SG10201505975QA (en) 2015-09-29
JP2013541177A (ja) 2013-11-07
US20160079039A1 (en) 2016-03-17
JP6110540B2 (ja) 2017-04-05
CN103053011B (zh) 2016-03-23
TWI605495B (zh) 2017-11-11
CN103053011A (zh) 2013-04-17
KR20180118235A (ko) 2018-10-30
JP5913312B2 (ja) 2016-04-27
KR101998542B1 (ko) 2019-07-09
CN105719932A (zh) 2016-06-29
WO2012018449A2 (en) 2012-02-09
SG187610A1 (en) 2013-03-28
WO2012018449A3 (en) 2012-04-12
US20120031559A1 (en) 2012-02-09

Similar Documents

Publication Publication Date Title
CN105719932B (zh) 用于中性粒子/离子流通量控制的双等离子体容积处理装置
CN105845535B (zh) 具有双轴向气体注入和排放的等离子体处理室
US9982343B2 (en) Apparatus for providing plasma to a process chamber
CN103382551B (zh) 半导体膜形成装置和工艺
JP6154390B2 (ja) 静電チャック
JP2013541177A5 (ja) 中性/イオンフラックスの制御のための半導体ウエハ処理装置、半導体ウエハ処理システム、及び、ガス分配ユニット
US20120097330A1 (en) Dual delivery chamber design
TW201123291A (en) Method and apparatus for high efficiency gas dissociation in inductive coupled plasma reactor
TWI579911B (zh) 具有複數之去耦合電漿源的半導體處理系統及半導體處理方法
US20210032753A1 (en) Methods and apparatus for dual channel showerheads
KR101171988B1 (ko) 플라즈마 처리장치

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant