KR20180118235A - 중성입자/이온 플럭스 제어를 위한 듀얼 플라즈마 볼륨 프로세싱 장치 - Google Patents

중성입자/이온 플럭스 제어를 위한 듀얼 플라즈마 볼륨 프로세싱 장치 Download PDF

Info

Publication number
KR20180118235A
KR20180118235A KR1020187030107A KR20187030107A KR20180118235A KR 20180118235 A KR20180118235 A KR 20180118235A KR 1020187030107 A KR1020187030107 A KR 1020187030107A KR 20187030107 A KR20187030107 A KR 20187030107A KR 20180118235 A KR20180118235 A KR 20180118235A
Authority
KR
South Korea
Prior art keywords
distribution unit
gas distribution
plasma generation
generation volume
plasma
Prior art date
Application number
KR1020187030107A
Other languages
English (en)
Other versions
KR101998542B1 (ko
Inventor
라진더 딘드사
알렉세이 마라카트노프
앤드류 디 3세 베일리
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20180118235A publication Critical patent/KR20180118235A/ko
Application granted granted Critical
Publication of KR101998542B1 publication Critical patent/KR101998542B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32697Electrostatic control
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32816Pressure
    • H01J37/32834Exhausting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67259Position monitoring, e.g. misposition detection or presence detection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • H01L22/26Acting in response to an ongoing measurement without interruption of processing, e.g. endpoint detection, in-situ thickness measurement

Abstract

반도체 웨이퍼 프로세싱 장치는 제 1 플라즈마 생성 볼륨에 노출되는 제 1 전극, 제 2 플라즈마 생성 볼륨에 노출되는 제 2 전극, 및 제 1 플라즈마 생성 볼륨과 제 2 플라즈마 생성 볼륨 사이에 배치된 가스 분배 유닛을 포함한다. 제 1 전극은 무선 주파수 (RF) 파워를 제 1 플라즈마 생성 볼륨에 전달하고 제 1 플라즈마 프로세스 가스를 제 1 플라즈마 생성 볼륨에 분배하도록 정의된다. 제 2 전극은 RF 파워를 제 2 플라즈마 생성 볼륨에 전달하고, 제 2 플라즈마 생성 볼륨에 대해 기판을 노출 상태로 홀딩하도록 정의된다. 가스 분배 유닛은 제 1 플라즈마 생성 볼륨을 제 2 플라즈마 생성 볼륨에 유체 연결하도록 정의된 스루홀들의 배열을 포함한다. 가스 분배 유닛은 또한 제 2 플라즈마 프로세스 가스를 제 2 플라즈마 생성 볼륨에 분배하도록 정의된 가스 공급 포트들의 배열을 포함한다.

Description

중성입자/이온 플럭스 제어를 위한 듀얼 플라즈마 볼륨 프로세싱 장치{DUAL PLASMA VOLUME PROCESSING APPARATUS FOR NEUTRAL/ION FLUX CONTROL}
반도체 웨이퍼 제조에 이용되는 현재의 플라즈마 프로세싱 시스템들은 라디칼 분리, 라디칼 플럭스, 이온 에너지, 및 웨이퍼에 전달되는 이온 플럭스를 제어하는 매우 상호종속적인 제어 파라미터들에 의존한다. 예를 들어, 현재의 플라즈마 프로세싱 시스템들은 웨이퍼의 존재하에 생성되는 단일 플라즈마를 제어함으로써 필요한 라디칼 분리, 라디칼 플럭스, 이온 에너지, 및 이온 플럭스를 달성하려 시도한다. 불행하게도, 화학적 해리 및 라디칼 형성은 이온 생성 및 플라즈마 밀도에 커플링되고 종종 원하는 플라즈마 프로세싱 조건들을 실현하는 것과 협업하지 못한다.
예를 들어, 현재의 플라즈마 프로세싱 시스템들에서, 보다 높은 화학적 해리는 보다 높은 파워의 인가를 요구하고, 이는 이어서 보다 높은 이온 밀도의 생성을 야기하기 때문에, 보다 높은 화학적 해리와 보다 낮은 이온 밀도를 동시에 획득하는 것은 어렵다. 또한, 현재의 플라즈마 프로세싱 시스템들에서, 제어 파라미터들의 높은 상호종속성은 보다 소형의 기술 노드 애플리케이션 프로세싱 윈도우 및/또는 제조 능력을 제한한다. 상술한 경우, 이온 플럭스에 대한 라디칼/중성입자 (neutral) 플럭스의 독립적인 제어를 제공하는 플라즈마 프로세싱 시스템이 요구된다.
일 실시형태에서, 반도체 웨이퍼 프로세싱 장치가 개시된다. 본 장치는 제 1 플라즈마 생성 볼륨에 노출되는 제 1 전극을 포함한다. 제 1 전극은 무선 주파수 (RF) 파워를 제 1 플라즈마 생성 볼륨에 전달하도록 정의된다 (define). 제 1 전극은 또한, 제 1 플라즈마 프로세스 가스를 제 1 플라즈마 생성 볼륨에 분배하도록 정의된다. 본 장치는 제 2 플라즈마 생성 볼륨에 노출되는 제 2 전극을 더 포함한다. 제 2 전극은 RF 파워를 제 2 플라즈마 생성 볼륨에 전달하도록 정의된다. 제 2 전극은 또한, 제 2 플라즈마 생성 볼륨에 대해 기판을 노출 상태로 홀딩하도록 (hold) 정의된다. 본 장치는 제 1 플라즈마 생성 볼륨과 제 2 플라즈마 생성 볼륨 사이에 배치된 가스 분배 유닛을 더 포함한다. 가스 분배 유닛은 제 1 플라즈마 생성 볼륨을 제 2 플라즈마 생성 볼륨에 유체 연결하기 위해 가스 분배 유닛을 관통하여 각각이 연장되는 스루홀들의 배열을 포함하도록 정의된다. 가스 분배 유닛은 또한 제 2 플라즈마 프로세스 가스를 제 2 플라즈마 생성 볼륨에 분배하도록 정의된 가스 공급 포트들의 배열을 포함하도록 정의된다.
다른 실시형태에서, 반도체 웨이퍼 프로세싱용 시스템이 개시된다. 본 시스템은 내부 캐버티와, 배출 펌프에 대한 내부 캐버티의 유체 연결을 제공하는 배출 포트를 갖도록 정의된 챔버를 포함한다. 본 시스템은 또한 챔버의 내부 캐버티 내에 배치된 듀얼 플라즈마 프로세싱 장치를 포함한다. 듀얼 플라즈마 프로세싱 장치는 상부 플라즈마 생성 볼륨을 포함하는 상부 플라즈마 챔버를 포함한다. 듀얼 플라즈마 프로세싱 장치는 또한 제 1 플라즈마 프로세스 가스 및 RF 파워를 상부 플라즈마 생성 볼륨에 공급하기 위해 상부 플라즈마 생성 볼륨 위에 정의된 샤워헤드 전극을 포함한다. 듀얼 플라즈마 프로세싱 장치는 또한 하부 플라즈마 생성 볼륨을 포함하는 하부 플라즈마 챔버를 포함한다. 듀얼 플라즈마 프로세싱 장치는 또한 상부 플라즈마 생성 볼륨과 하부 플라즈마 생성 볼륨 사이에 배치된 가스 분배 유닛을 포함한다. 가스 분배 유닛은 제 2 플라즈마 프로세스 가스를 하부 플라즈마 생성 볼륨에 공급하도록 정의된다. 가스 분배 유닛은 또한, 상부 플라즈마 생성 볼륨과 하부 플라즈마 생성 볼륨 사이에 제어된 유체 연통을 제공하도록 정의된다. 본 시스템은 하부 플라즈마 생성 볼륨 아래의 챔버의 내부 캐버티 내에 배치된 척을 더 포함한다. 척은 하부 플라즈마 생성 볼륨에 기판을 노출 상태로 홀딩하도록 정의된다. 척은 또한 하부 플라즈마 생성 볼륨에 RF 파워를 제공하도록 정의된다. 상부 및 하부 플라즈마 챔버들 각각은 상부 및 하부 플라즈마 생성 볼륨들을 챔버의 내부 캐버티로 배출시키도록 각각 정의된다.
다른 실시형태에서, 가스 분배 유닛이 배치된다. 가스 분배 유닛은 하부 플라즈마 생성 볼륨으로부터 상부 플라즈마 생성 볼륨을 분리시키도록 형성된 플레이트를 포함한다. 플레이트의 상부면은 상부 플라즈마 생성 볼륨의 하부 경계부를 제공한다. 플레이트의 하부면은 하부 플라즈마 생성 볼륨의 상부 경계부를 제공한다. 플레이트는 상부 플라즈마 생성 볼륨을 하부 플라즈마 생성 볼륨에 유체 연결하도록 플레이트의 상부면으로부터 플레이트의 하부면으로 플레이트를 관통하여 각각이 연장되는 스루홀들의 배열을 포함한다. 플레이트는 또한 플라즈마 프로세스 가스를 하부 플라즈마 생성 볼륨에 분배하기 위하여 플레이트의 하부면에 정의된 가스 공급 포트들의 배열에 유체 연결된 내부 가스 공급 채널들을 포함한다.
본 발명의 다른 양태들 및 이점들은 본 발명을 예를 들어 설명한, 첨부된 도면과 결합한 다음의 상세한 설명으로부터 보다 명백해질 것이다.
도 1 은 본 발명의 일 실시형태에 따른 반도체 웨이퍼 프로세싱 장치를 나타낸다.
도 2 는 본 발명의 일 실시형태에 따른 샤워헤드 전극의 하부도를 나타낸다.
도 3a 는 본 발명의 일 실시형태에 따른 가스 분배 유닛의 하부도를 나타낸다.
도 3b 는 본 발명의 일 실시형태에 따른 가스 분배 유닛의 상부도를 나타낸다.
도 3c 는 본 발명의 일 실시형태에 따른 가스 공급 포트 횡단면을 나타낸다.
도 3d 는 본 발명의 일 실시형태에 따른, 가스 분배 유닛을 관통하여 연장하도록 정의된 스루홀 및 각도의 횡단면을 나타낸다.
도 4a 는 본 발명의 일 실시형태에 따른 가스 분배 유닛의 상부면에 배치된 플로우 제어 플레이트를 나타낸다.
도 4b 는 본 발명의 일 실시형태에 따라, 내부에 정의된 홀 패턴이, 하부에 있는 가스 분배 유닛 내에 정의된 모든 스루홀들을 통과하는 플로우를 허용하도록 배치된 플로우 제어 플레이트의 상부도를 나타낸다.
도 4c 는 본 발명의 일 실시형태에 따라, 내부에 정의된 홀 패턴이, 하부에 있는 가스 분배 유닛 내에 정의된, 경사진 스루홀들만을 통과하는 플로우를 허용하도록 배치된 플로우 제어 플레이트의 상부도를 나타낸다.
도 4d 는 본 발명의 일 실시형태에 따른 복수의 동심형 회전가능 플로우 제어 플레이트들에 의해 정의된 플로우 제어 플레이트 어셈블리의 상부도를 나타낸다.
도 5 는 본 발명의 일 실시형태에 따른 상부 플라즈마 및 하부 플라즈마를 갖는 도 1 의 챔버를 나타낸다.
다음의 실시형태에서, 본 발명의 철저한 이해를 제공하기 위하여 다수의 구체적인 세부 내용들을 설명한다. 그러나, 당해 기술 분야의 당업자는 본 발명이 이들 구체적인 세부 내용들의 일부 또는 전부 없이도 실시될 수도 있음을 알아야 한다. 다른 예에서, 잘 알려진 프로세스 동작들은 본 발명을 불필요하게 모호하게 하지 않기 위하여 설명하지 않았다.
여기에서, 라디칼/중성입자 종들이 반도체 웨이퍼 프로세싱 동안에 하전된 이온 종들에 대해 독립적으로 제어될 수 있도록 플라즈마 내에서의 이온 생성으로부터 중성입자 종들에 대한 라디컬 생성의 디커플링을 가능하게 하는 반도체 웨이퍼 프로세싱 장치가 개시되어 있다. 본 장치는 상부 즉, 다운스트림의 플라즈마 생성 볼륨을 포함하며, 이 생성 볼륨에서는 라디칼/중성입자 종들이 관련된 이온 생성과 무관하게 생성된다. 장치는 또한 적절한 이온 밀도의 별개의 플라즈마가 기판에 즉, 웨이퍼에 노출되는 상태에서 생성되는 하부 플라즈마 생성 볼륨을 포함한다. 상부 플라즈마 생성 볼륨 내에서의 라디칼/중성입자 종들은 가스 분배 유닛을 통하여 하부 플라즈마 생성 볼륨으로 제어된 방식으로 플로우되어, 웨이퍼 프로세싱에 라디칼/중성입자 종의 성분들을 제공한다.
라디칼/중성입자 종들은 상부 플라즈마 생성 볼륨과 하부 플라즈마 생성 볼륨을 분리시키는 가스 분배 유닛을 통하여 상부 플라즈마 생성 볼륨으로부터 하부 플라즈마 생성 볼륨으로 진행되도록 허용된다. 그러나, 상부 플라즈마 생성 볼륨에서 생성된 이온들은 가스 분배 유닛에 의해 하부 플라즈마 생성 볼륨으로 진행하는 것이 방지된다. 따라서, 가스 분배 유닛은 이온 필터로서 기능한다. 상부 플라즈마 생성 볼륨으로부터 부여되는 라디칼/중성입자 종들은 하부 플라즈마 생성 볼륨에서의 웨이퍼 프로세싱에 이용된다. 하부 플라즈마 생성 볼륨에서 생성된 이온들은 웨이퍼 프로세싱에 이용된 하전된 종들을 나타낸다.
상부 및 하부 플라즈마 생성 볼륨들은 독립적으로 제어가능하여, 웨이퍼 프로세싱을 위하여 부여받은 웨이퍼 라디칼/중성입자 플럭스가 웨이퍼에 대해 노출된 상태에서 생성된 이온 플라즈마로부터 독립적으로 생성되게 된다. 따라서, 여기에 개시된 장치의 상부 및 하부 플라즈마 생성 볼륨들은 웨이퍼 프로세싱 동안에 라디칼/중성입자 플럭스를 이온 플럭스와 디커플링하는 것을 제공한다. 따라서, 라디칼/중성입자 종들은 이온 플럭스로부터 분리되어 제어될 수 있다.
도 1 은 본 발명의 일 실시형태에 따른 반도체 웨이퍼 프로세싱 장치를 나타낸다. 본 장치는 상부 플레이트 (100A), 하부 플레이트 (100B), 및 벽들 (100C) 에 의해 형성된 챔버 (100) 를 포함한다. 일 실시형태에서, 벽들 (100C) 은 연속적인 원통 형상의 벽 (100C) 을 형성한다. 다른 실시형태들에서, 챔버 (100) 의 내부 캐버티 (100D) 가 챔버 (100) 외부의 외부 환경으로부터 분리될 수 있는 한, 벽들 (100C) 은 다른 구성을 가질 수 있다. 복수의 시일들 (139) 은 챔버의 상부 플레이트 (100A), 하부 플레이트 (100B), 및 벽들 (100C) 사이에 배치되어 외부 환경으로부터의, 챔버 (100) 의 내부 캐버티 (100D) 의 분리를 용이하게 한다.
여러 실시형태들에서, 챔버 (100) 의 상부 플레이트 (100A), 하부 플레이트 (100B) 및 벽들 (100C) 은 전기 및 열의 양호한 전도체이며, 내부 캐버티 (100D) 가 웨이퍼 프로세싱 동안에 노출될 프로세스 가스들과 화학적으로 양립가능한 금속으로 형성될 수 있다. 예를 들어, 여러 실시형태들에서, 알루미늄, 스테인레스 스틸 등과 같은 금속들이 챔버 (100) 컴포넌트들을 형성하는데 이용될 수도 있다. 또한, 시일들 (139) 이 내부 캐버티 (100D) 가 노출될 프로세싱 재료들과 화학적으로 양립가능한 한 그리고 시일들 (139) 이 챔버 (100) 의 외부의 외부 환경으로부터의, 내부 캐버티 (100D) 의 충분한 분리를 제공하는 한, 시일들 (139) 은 엘라스토머 시일, 또는 소모성 금속 시일 또는 임의의 다른 유형의 시일 재료일 수 있다.
다른 실시형태들에서, 하나 이상의 추가 플레이트들 또는 부재들이 챔버 (100) 배치 특정 조건들 또는 다른 고려 사항들을 만족시키기 위해 필요에 따라 상부 플레이트 (100A), 하부 플레이트 (100B), 또는 벽들 (100C) 중 임의의 하나 이상의 외부에 배치될 수 있음을 알아야 한다. 추가로, 상부 플레이트 (100A), 하부 플레이트 (100B), 및/또는 벽들 (100C) 은 특정 구현을 위하여 적절하게 이들 추가 플레이트들 또는 부재들에 고정될 수도 있다. 상부 플레이트 (100A), 하부 플레이트 (100B) 및 벽들 (100C) 을 포함하는 챔버 (100) 구조는 전기 전도성 재료로 형성되고 기준 접지 전위에 전기적으로 접속된다.
챔버 (100) 는 외부의 배출 펌프 (137) 로의 내부 캐버티 (100D) 의 유체 연결을 제공하는 배출 포트 (135) 를 포함하여, 부압이 배출 포트 (135) 를 통하여 인가되어 내부 캐버티 (100D) 내부로부터의 가스들 및/또는 미립자들을 제거할 수 있다. 일 실시형태에서, 챔버 (100) 는 또한 내부 캐버티 (100D) 로의 웨이퍼 (113) 의 삽입 및 내부 캐버티 (100D) 로부터의 웨이퍼 (113) 의 대응 제거를 가능하기 위해 챔버 벽 (100C) 의 섹션 내에 형성된 게이트 밸브 (102) 를 포함한다. 게이트 밸브의 폐쇄 위치에서, 게이트 밸브 (102) 는 외부 환경으로부터의 내부 캐버티 (100D) 의 분리를 유지시키도록 정의된다. 여러 실시형태들에서, 배출 펌프 (137) 가 챔버 (100) 의 내부 캐버티 (100D) 로부터의 유체 플로우를 인출하는 흡입을 배출 포트 (135) 에서 제공할 수 있는 한 배출 펌프 (137) 는 여러 방식으로 구현될 수 있다.
듀얼 플라즈마 프로세싱 장치는 챔버 (100) 의 내부 캐버티 (100D) 내에 배치된다. 듀얼 플라즈마 프로세싱 장치는 상부 플라즈마 생성 볼륨 (103) 을 포함하는 상부 플라즈마 챔버 (112) 를 포함한다. 듀얼 플라즈마 프로세싱 장치는 또한 하부 플라즈마 생성 볼륨 (109) 을 포함하는 하부 플라즈마 챔버 (114) 를 포함한다. 상부 및 하부 플라즈마 챔버들 (112/114) 은 상부 및 하부 플라즈마 생성 볼륨들 (103/109) 을 분리시키도록 배치된 가스 분배 유닛 (115) 에 의해 물리적 및 유체적으로 연결된다.
상부 플라즈마 챔버 (112) 는 상부 플라즈마 챔버 (112) 의 주변부에 정의되고 상부 플레이트 (100A) 에 연결된 아우터 구조 부재 (104) 에 의해 부분적으로 형성된다. 상부 플라즈마 챔버 (112) 는 또한 아우터 구조 부재 (104) 내의 상부 플라즈마 생성 볼륨 (103) 위에 배치된 샤워헤드 전극 (101) 을 포함한다. 샤워헤드 전극 (101) 은 절연 부재 (141) 에 의해 상부 플레이트 (100A) 에 고정된다. 절연 부재 (141) 는 전기적인 절연을 제공하도록 정의된다. 그러나, 절연 부재 (141) 는 또한 샤워헤드 전극 (101) 과, 절연 부재 (141) 와 인터페이스하는 다른 컴포넌트들 사이에 열전도를 제공하도록 정의된다.
동작 동안에, 무선 주파수 (RF) 파워가 RF 파워 소스 (105) 로부터 샤워헤드 전극 (101) 으로 전달된다. 일 실시형태에서, RF 파워 소스 (105) 는 변조 주파수에서 RF 파워를 제공하도록 정의된다. 일 실시형태에서, RF 파워 소스 (105) 의 주파수들은 1 kHz 내지 100 MHz 에 걸친 범위 내에서 설정된다. 다른 실시형태에서, RF 파워 소스 (105) 의 주파수들은 400 kHz 내지 60 MHz 에 걸친 범위 내에서 설정된다. 플라즈마 밀도는 RF 파워 소스 (105) 에 의해 주로 제어된다.
추가적으로, 일 실시형태에서, 샤워헤드 전극 (101) 은 DC 바이어스 소스 (120) 에 연결되어 플라즈마 밀도와 독립적으로 상부 플라즈마 생성 볼륨 (103) 내의 플라즈마 전위의 제어를 가능하게 한다. DC 바이어스 소스 (120) 는 접지로부터 상방으로 확장되는 다양한 전압 설정값들에서 샤워헤드 전극 (101) 의 바이어스를 제어하도록 정의된다. 일 실시형태에서, 샤워헤드 전극 (101) 의 DC 바이어스 소스 (120) 는 상부 플라즈마 생성 볼륨 (103) 에서의 플라즈마와 하부 플라즈마 생성 볼륨 (109) 에서의 플라즈마를 동기시키기 위해 펄싱 방식으로 동작하도록 정의될 수 있다. 보다 구체적으로, DC 바이어스 소스 (120) 의 이 펄싱된 제어는 상부 및 하부 플라즈마 생성 볼륨들 (103 및 109) 에서의 플라즈마들 사이의 시간 종속적 전압차를 제어하는데 이용될 수 있다.
히터 (143) 는 절연 부재 (141) 및 아우터 구조 부재 (104) 양쪽 모두와 접촉하여 이들 위에 배치된다. 히터 (143) 는 상부 플레이트 (100A) 에 또한 고정된다. 추가로, 복수의 냉각 채널들 (145) 이 상부 플레이트 (100A) 내에 정의된다. 냉매 유체는 냉각 채널들 (145) 을 통하여 플로우하여 상부 플레이트 (100A) 로부터 멀리 열을 배출시킨다. 일 실시형태에서, 냉매 유체는 물이다. 그러나, 다른 실시형태들은 냉매 유체가 상부 플레이트 (100A) 의 재료와 화학적으로 양립가능한 한, 물 이외의 냉매 유체를 이용할 수도 있다. 일 실시형태에서, 챔버 (100) 의 여러 부분들로부터의 열전대 측정 온도 피드백을 이용하여 히터 (143) 및 냉각 채널들 (145) 을 통하여 상부 플레이트 (100A) 의 온도를 제어한다. 히터 (143) 및 냉각 채널들 (145) 에 의해 샤워헤드 전극 (101) 의 온도 및 그에 따른 상부 플라즈마 생성 볼륨 (103) 의 온도가 제어될 수 있다.
샤워헤드 전극 (101) 은 절연 고리들 (147) 에 의해 아우터 구조 부재 (104) 로부터 전기적으로 절연된다. 일 실시형태에서, 절연 고리들 (147) 및/또는 절연 부재 (141) 는 석영으로 형성된다. 다른 실시형태들에서, 절연 고리들 (147) 및/또는 절연 부재 (141) 는 재료가 전기 절연을 제공하면서 또한 열전도를 제공하는 한, 석영 이외의 재료로 형성될 수 있다.
도 2 는 본 발명의 일 실시형태에 따른 샤워헤드 전극 (101) 의 하부도를 나타낸다. 샤워헤드 전극 (101) 은 플라즈마 프로세스 가스를 상부 플라즈마 생성 볼륨 (103) 에 공급하도록 정의된 가스 공급 포트들 (121) 의 배열을 포함한다. 플라즈마 프로세스 가스는 하나 이상의 플라즈마 프로세스 가스 공급 소스들 (116) 로부터 샤워헤드 전극 (101) 에 공급된다. 몇몇 실시형태들에서는 가스 공급 소스들 (116) 이 샤워헤드 전극 (101) 을 통과하는 플로우에 적절한 가스들 및/또는 가스 혼합물의 선택을 제공하는 다수의 가스 공급부들 및/또는 가스 박스들을 나타냄을 알아야 한다. 제 1 플라즈마 프로세스 가스가 상부 플라즈마 생성 볼륨 (103) 으로의 분배를 위하여 샤워헤드 전극 (101) 을 통하여 가스 공급 포트들 (121) 의 배열에 플로우할 때 샤워헤드 전극 (101) 이 제 1 플라즈마 프로세스 가스에 RF 파워를 전달하도록 정의된다.
여러 실시형태들에서, 샤워헤드 전극 (101) 은 전기 및 열의 양호한 전도체이며 상부 플라즈마 생성 볼륨 (103) 에서 수행될 프로세스들과 화학적으로 양립가능한, 알루미늄, 스테인레스 스틸 등과 같은 금속으로 형성될 수 있다. 일 실시형태에서, 상부 플라즈마 생성 볼륨 (103) 에서 플라즈마에 노출된 샤워헤드 전극 (101) 의 부분들은 플라즈마 저항성 재료의 커버링에 의해 보호된다. 일 실시형태에서, 플라즈마 저항성 재료는 코팅으로서 형성된다. 다른 실시형태에서, 플라즈마 저항성 재료는 보호성 구조물, 예를 들어, 샤워헤드 전극 (101) 을 등각으로 보호하는 플레이트로서 형성된다. 이들 실시형태 어느 것에서도, 플라즈마 저항성 재료는 샤워헤드 전극 (101) 에 고정되어 플라즈마 저항성 재료와 샤워헤드 전극 (101) 사이의 적절한 전기 전도 및 열전도를 보장한다. 여러 실시형태들에서, 샤워헤드 전극 (101) 을 보호하는데 이용된 플라즈마 저항성 코팅/커버링은 실리콘, 실리콘 카바이드, 실리콘 옥사이드, 이트륨 옥사이드 등으로 형성될 수 있다.
일 실시형태에서, 도 2 에 나타낸 바와 같이, 샤워헤드 전극 (101) 의 가스 공급 포트들 (121) 은 상부 플라즈마 생성 볼륨 (103) 과 대향하는 (facing) 복수의 동심형 방사 구역 (101A, 101B, 101C) 에 배치된다. 각각의 동심형 방사 구역 (101A, 101B, 101C) 내의 가스 공급 포트들 (121) 은 각각의 가스 플로우 제어 디바이스 (201A, 201B, 201C) 에 부설되어 (plumbing), 각각의 동심형 방사 구역 (101A, 101B, 101C) 으로의 플라즈마 프로세스 가스의 공급이 독립적으로 제어가능하게 된다. 샤워헤드 전극 (101) 의 복수의 동심형 가스 공급 구역들 (101A, 101B, 101C) 에 대한 플라즈마 프로세스 가스 공급의 독립적 제어가 중심에서 에지부까지의 증가된 플라즈마 균일성 제어를 제공함을 알아야 한다. 도 2 의 예시적 실시형태는 3개의 동심형 가스 공급 구역들 (101A, 101B, 101C) 을 나타내고 있지만, 샤워헤드 전극 (101) 가 다소의 독립적으로 제어가능한 가스 공급 구역들을 포함하도록 정의될 수 있음을 알아야 한다. 예를 들어, 다른 실시형태에서, 샤워헤드 전극 (101) 은 두개의 독립적으로 제어가능한 동심형 가스 공급 구역들을 포함하도록 정의된다.
상술한 바와 같이, 샤워헤드 전극 (101) 은 상부 플라즈마 생성 볼륨 (103) 의 상부면을 형성하며, 가스 분배 유닛 (115) 은 상부 플라즈마 생성 볼륨 (103) 의 하부면을 형성한다. 일 실시형태에서, 가스 분배 유닛 (115) 은 상부 플라즈마 생성 볼륨 (103) 에 접지 전극을 제공한다. 일 실시형태에서, 샤워헤드 전극 (101) 및 가스 분배 유닛 (115) 은 대략 1대1 파워/접지 표면 영역을 형성한다.
샤워헤드 전극 (101) 을 갖는 도 1 의 실시형태에서, 상부 플라즈마 챔버 (112) 는 용량 결합된 플라즈마 챔버이다. 이 실시형태에서, 샤워헤드 전극 (101) 의 하부면과 가스 분배 유닛 (115) 의 상부면 사이를 수직으로 측정할 때 상부 플라즈마 생성 볼륨 (103) 을 따르는 수직 거리는 약 1 cm 내지 약 5 cm 에 걸친 범위 내에서 설정된다. 일 실시형태에서, 상부 플라즈마 생성 볼륨 (103) 을 따르는 이 수직 거리는 약 2 cm 이다. 다른 실시형태에서, 상부 플라즈마 챔버 (112) 가 유도 결합된 플라즈마 챔버이도록 샤워헤드 전극 (101) 이 유도 코일에 의해 기능적으로 대체될 수 있다. 이 실시형태에서, 상부 플라즈마 생성 볼륨 (103) 을 따르는 수직 거리는 약 12 cm 까지 이를 수 있다.
하부 플라즈마 챔버 (114) 는 하부 플라즈마 챔버 (114) 의 주변부 주위로 정의된 아우터 구조 부재 (106) 에 의해 부분적으로 형성된다. 일 실시형태에서, 하부 플라즈마 챔버 (114) 의 아우터 구조 부재 (106) 는 복수의 구조적 링크 부재들에 의해 상부 플라즈마 챔버 (112) 의 아우터 구조 부재 (104) 에 리지드하게 연결되어, 하부 플라즈마 챔버 (114) 의 아우터 구조 부재 (106) 가 상부 플라즈마 챔버 (112) 의 아우터 구조 부재 (104) 에 의해 상부 플레이트 (100A) 로부터 효과적으로 부착 (hang) 된다. 이 실시형태에서, 구조적 링크 부재들은 배출 채널 (125) 을 관통하여 확장할 수 있지만 배출 채널 (125) 을 통한 유체 플로우의 역파괴는 방지하도록 정의된다.
가스 분배 유닛 (115) 은 상부 플라즈마 생성 볼륨 (103) 과 하부 플라즈마 생성 볼륨 (109) 사이에 배치된다. 가스 분배 유닛 (115) 은 하부 플라즈마 생성 볼륨 (109) 으로부터 상부 플라즈마 생성 볼륨 (103) 을 분리시키도록 형성된 플레이트로서 정의되어, 가스 분배 유닛 (115) 플레이트의 상부면이 상부 플라즈마 생성 볼륨 (103) 의 하부 경계부를 제공하고 가스 분배 유닛 (115) 플레이트의 하부면은 하부 플라즈마 생성 볼륨 (109) 의 상부 경계부를 제공하도록 한다.
가스 분배 유닛 (115) 은 하부 플라즈마 챔버 (114) 의 아우터 구조 부재 (106) 에 의해 고정된 위치에서 홀딩된다. 가스 분배 유닛 (115) 은 가스 공급 포트들 (119) 의 배열을 통하여 하부 플라즈마 생성 볼륨 (109) 에 플라즈마 프로세스 가스를 공급하도록 정의된다. 가스 분배 유닛 (115) 은 또한 상부 플라즈마 생성 볼륨 (103) 과 하부 플라즈마 생성 볼륨 (109) 사이에 제어된 유체 연통을 제공하는 스루홀들 (117) 의 배열을 포함하도록 정의된다. 스루홀들 (117) 각각은 가스 분배 유닛 (115) 플레이트의 상부면으로부터 가스 분배 유닛 (115) 플레이트의 하부면으로 가스 분배 유닛 (115) 플레이트를 관통하여 연장된다.
도 3a 는 본 발명의 일 실시형태에 따른 가스 분배 유닛 (115) 의 하부도를 나타낸다. 가스 공급 포트들 (119) 및 스루홀들 (117) 각각은 개방 상태에서 가스 분배 유닛 (115) 의 하부면을 통하여 유체 연통하도록 정의된다. 가스 공급 포트들 (119) 의 배열은 스루홀들 (117) 의 배열 사이에 분산되어 있다. 가스 공급 포트들 (119) 은 가스 분배 유닛 (115) 을 관통하여 하나 이상의 플라즈마 프로세스 가스 공급 소스들 (118) 로 부설되어, 가스 공급 포트들 (119) 과 가스 분배 유닛 (115) 내의 스루홀들 (117) 사이에 직접적인 유체 연통이 존재하지 않는다.
도 3b 는 본 발명의 일 실시형태에 따른 가스 분배 유닛 (115) 의 상부도를 나타낸다. 각각의 스루홀들 (117) 은 개방 상태에서 가스 분배 유닛 (115) 상부면을 통하여 유체 연통하도록 정의된다. 그러나, 가스 공급 포트들 (119) 은 가스 분배 유닛 (115) 의 상부면을 통하여 유체적으로 노출되지 않는다. 따라서, 가스 공급 포트들 (119) 은 플라즈마 프로세스 가스를 하부 플라즈마 생성 볼륨 (109) 으로만 플로우하도록 정의된다. 이와 대조적으로, 스루홀들 (117) 은 상부 플라즈마 생성 볼륨과 하부 플라즈마 생성 볼륨 (103/109) 사이에 유체 연통가능하도록 정의된다. 가스 분배 유닛 (115) 의 스루홀들 (117) 을 통한 유체 플로우는 상부 플라즈마 생성 볼륨 (103) 과 하부 플라즈마 생성 볼륨 (109) 사이의 압력 차이에 의해 주로 제어된다.
가스 분배 유닛 (115) 은 RF 복귀 경로 전극, 플라즈마 프로세스 가스 매니폴드, 유체 플로우 배플 플레이트, 및 이온 필터로서 기능함을 알아야 한다. 여러 실시형태들에서, 가스 분배 유닛 (115) 은 전기 및 열의 양호한 전도체이며 상부 및 하부 플라즈마 생성 볼륨들 (103/109) 에서 수행될 프로세스와 양립가능하며, 알루미늄, 스테인레스 스틸, 실리콘, 실리콘 카바이드, 실리콘 옥사이드, 이트륨 옥사이드 또는 이들이 노출되는 플라즈마 프로세스들에 대한 적절한 플라즈마 저항성, 전기 전도성 및 열 전도성을 제공하는 본질적으로 임의의 다른 재료와 같은 금속으로 형성될 수 있다.
여러 실시형태들에서, 가스 분배 유닛 (115) 은 유닛 자신의 DC 바이어스 소스 (124) 및/또는 RF 파워 소스 (122) 에 연결되어, 가스 분배 유닛 (115) 으로 하여금 RF 파워 소스들 (105 및 111) 에 대한 적절한 접지 복귀 경로를 제공하는 한편 또한 상부 플라즈마 생성 볼륨 (103) 에 생성된 이온에 영향을 주는 적절한 바이어스를 제공하게끔 한다. RF 파워 소스 (122) 는 또한 다중 주파수에서 RF 파워를 제공하도록 정의될 수 있다. 추가로, 일 실시형태에서, 전극들 (130) 이 가스 분배 유닛 (115) 내에 매립되어, 상부 플라즈마 생성 볼륨 (103) 에 생성된 이온들에 영향을 주는 바이어스 전압을 제공하도록 DC 바이어스 소스 (124) 에 연결된다. 일 실시형태에서, 가스 분배 유닛 (115) 내에 매립된 전극들 (130) 은 스루홀들 (117) 주위에 정의되어, 매립된 전극들 (130) 에 인가된 바이어스 전압이 스루홀들 (117) 을 관통하는 이온들을 가속 또는 감속시키는데 이용될 수 있도록 한다. 또한, 일 실시형태에서, 가스 분배 유닛 (115) 내에 매립된 전극들 (130) 은 다수의 개별적으로 제어가능한 구역들에서 정의되며 각각의 구역은 구역 자신의 DC 바이어스 소스 (124) 에 연결된다. 이 실시형태는 가스 분배 유닛 (115) 을 따르는 독립적 영역 바이어싱을 가능하게 하며, 가스 분배 유닛 (115) 을 따르는 독립적 영역 이온 제어를 가능하게 한다.
일 실시형태에서, 상부 또는 하부 플라즈마 생성 볼륨들 (103/109) 어느 하나에서의 플라즈마에 노출된 가스 분배 유닛 (115) 의 부분들은 플라즈마 저항성 재료의 커버링에 의해 보호된다. 일 실시형태에서, 플라즈마 저항성 재료는 코팅으로서 형성된다. 다른 실시형태에서, 플라즈마 저항성 재료는 보호 구조물 예를 들어, 분배 유닛 (115) 을 등각으로 커버하는 플레이트로서 형성된다. 이들 실시형태 어느 것이든, 플라즈마 저항성 재료를 가스 분배 유닛 (115) 에 고정시켜 플라즈마 저항성 재료와 가스 분배 유닛 (115) 사이의 적절한 전기 전도 및 열 전도를 보장한다. 플라즈마 저항성 보호 구조체의 실시형태에서, 보호 구조체가 상부 플라즈마 생성 볼륨과 하부 플라즈마 생성 볼륨 (103/109) 사이의 압력 차이에 의해, 복수의 고정 장치 (fastener) 에 의해, 또는 이들의 조합에 의해 가스 분배 유닛 (115) 에 고정될 수도 있다. 여러 실시형태들에서, 가스 분배 유닛 (115) 을 보호하는데 이용된 플라즈마 저항성 코팅/보호 구조물은 실리콘, 실리콘 카바이드, 실리콘 옥사이드, 이트륨 옥사이드, 또는 이들이 노출되는 플라즈마 프로세스들에 대한 적절한 플라즈마 저항성, 전기 전도성 및 열 전도성을 제공하는 본질적으로 임의의 다른 재료로 형성될 수 있다.
가스 분배 유닛 (115) 은 교환가능한 컴포넌트로서 정의된다. 가스 분배 유닛 (115) 의 다른 버전들/구성들이 가스 공급 포트들 (119) 및 스루홀들 (117) 의 상이한 배열을 갖도록 정의될 수 있다. 추가로, 플라즈마가 가스 분배 유닛 (115) 또는 그 기능성을 열화시키는 이벤트에서는 가스 분배 유닛 (115) 이 교체될 수 있다.
가스 공급 포트들 (119) 및 스루홀들 (117) 각각은 이들 각각을 관통하는 유체 플로우를 최적화하면서 동시에 이들 각각으로의 플라즈마의 역침입을 방지하도록 정의된다. 가스 공급 포트들 (119) 및 스루홀들 (117) 각각을 관통한/각각으로의 유체 플로우 및 플라즈마 침입은 그 사이즈에 정비례한다. 따라서, 가스 공급 포트들 (119) 및 스루홀들 (117) 각각의 사이즈가 이들 각각으로의 역 플라즈마 침입을 방지하기에 충분히 작으면서 각각을 관통하는 적절한 유체 플로우를 제공하기에 충분히 큰 상태로 유지되도록 가스 공급 포트들 (119) 및 스루홀들 (117) 각각을 정의하는 것이 필요하다. 여러 실시형태들에서, 가스 공급 포트들 (119) 의 직경은 약 0.1 mm 내지 약 3 mm 에 걸친 범위 내에서 사이징된다. 여러 실시형태들에서, 스루홀들 (117) 의 직경은 약 0.5 mm 내지 약 5 mm 에 걸친 범위 내에서 사이징된다. 그러나, 여러 실시형태들에서, 가스 공급 포트들 (119) 과 스루홀들 (117) 은 이들을 관통하는 적절한 유체 플로우를 제공하면서 동시에 이들로의 플라즈마 침입의 적절한 방지를 제공하는 한, 본질적으로 임의의 직경 사이즈로 각각 정의될 수 있음을 알아야 한다.
가스 공급 포트들 (119) 로의 유체 플로우 압력은 직접 제어가능하기 때문에, 가스 공급 포트들 (119) 이 가스 공급 포트들 (119) 내로의 플라즈마 침입을 본질적으로 방지하기에 충분히 작은 사이즈를 갖도록 정의하는 것이 가능하다. 그러나, 초음파 유체 플로우가 가스 공급 포트들 (119) 을 관통할 정도로 작게 가스 공급 포트들 (119) 을 정의하는 것은 피하는 것이 적절하다. 가스 공급 포트들 (119) 로부터의 초음파 유체 플로우를 방지하기 위하여, 가스 공급 포트들 (119) 은 가스 분배 유닛 (115) 의 하부면으로부터의 포트들의 출구에서 확산기 형상을 갖도록 정의될 수 있다. 도 3c 는 본 발명의 일 실시형태에 따른 가스 공급 포트 (119) 횡단면을 나타낸다. 가스 공급 포트 (119) 는 가스 분배 유닛 (115) 으로부터의 출구 위치에서 확산기 형상 (307) 을 갖는 것으로 나타나 있다.
가스 분배 유닛 (115) 은 가스 공급 포트들 (119) 의 배열에 유체 연결된 내부 가스 공급 채널들을 포함한다. 이들 내부 가스 공급 채널들은 하나 이상의 플라즈마 프로세스 가스 공급 소스들 (118) 에 유체 연결된다. 내부 가스 공급 채널들 및 관련된 가스 공급 포트들 (119) 은 플라즈마 프로세스 가스가 하부 플라즈마 생성 볼륨 (109) 에 분배되고 상부 플라즈마 생성 볼륨 (103) 에 분배되지 않도록 스루홀들 (117) 의 배열 사이에 정의됨을 알아야 한다. 일 실시형태에서, 하부 플라즈마 생성 볼륨 (109) 에 대한 플라즈마 프로세스 가스 공급 소스들 (118) 은 상부 플라즈마 생성 볼륨 (103) 에 대한 플라즈마 프로세스 가스 공급 소스들 (116) 로부터 분리되어, 상부 및 하부 플라즈마 생성 볼륨들 (103/109) 로의 플라즈마 프로세스 가스들의 플로우 레이트들이 독립적으로 제어가능함을 알아야 한다. 일 실시형태에서, 하나 이상의 공유된 플라즈마 프로세스 가스 공급 소스들은 상부 및 하부 플라즈마 생성 볼륨들 (103/109) 양쪽 모두에 대해 이용될 수 있다. 그러나, 이 실시형태에서, 각각의 공유된 플라즈마 프로세스 가스 공급부로부터의 플라즈마 프로세스 가스 플로우들은 상부 및 하부 플라즈마 생성 볼륨들 (103/109) 각각에 대하여 개별적으로 각각 제어된다. 또한, 몇몇 실시형태들에서는 가스 공급 소스들 (118) 이 가스 분배 유닛 (115) 을 통과하는 플로우에 적절한 가스들 및/또는 가스 혼합물들의 선택을 제공하는 다수의 가스 공급부들 및/또는 가스 박스들을 나타냄을 알아야 한다.
도 3a 에 나타낸 일 실시형태에서, 가스 분배 유닛 (115) 내의 내부 가스 공급 채널들은 가스 공급 포트들 (119) 의 배열이 가스 분배 유닛 (115) 의 하부면을 따르는 복수의 동심형 영역들/구역들 (115A, 115B, 115C) 로부터 유체 분리되도록 정의되어, 복수의 동심형 영역들/구역들 (115A, 115B, 115C) 각각 내에서의 가스 공급 포트들 (119) 로의 플라즈마 프로세스 가스의 플로우 레이트들이 개별적으로 제어될 수 있다. 일 실시형태에서, 각각의 동심형 영역/구역 (115A, 115B, 115C) 내에서의 가스 공급 포트들 (119) 이 개개의 가스 플로우 제어 장치 (305A, 305B, 305C) 에 부설되어, 각각의 동심형 영역/구역 (115A, 115B, 115C) 으로의 플라즈마 프로세스 가스의 공급이 독립적으로 제어가능하게 된다.
독립적으로 제어가능한 복수의 동심형 영역들/구역들 (115A, 115B, 115C) 로의 가스 공급 포트들 (119) 의 분리는 하부 플라즈마 생성 볼륨 (109) 내의 중심에서 에지부로의 가스 공급 제어를 제공하며, 이는 결국 하부 플라즈마 생성 볼륨 (109) 내의 중심에서 에지부까지의 플라즈마 균일성 제어를 용이하게 한다. 도 3a 의 예시적인 실시형태는 3 개의 동심형 가스 분배 영역들/구역들 (115A, 115B, 115C) 을 나타내고 있지만, 가스 분배 유닛 (115) 은 다소의 독립적으로 제어가능한 가스 공급 영역들/구역들을 포함하도록 정의될 수 있음을 알아야 한다. 예를 들어, 다른 실시형태에서, 가스 분배 유닛 (115) 은 독립적으로 제어가능한 두개의 동심형 가스 공급 영역들/구역들을 포함하도록 정의된다.
일 실시형태에서, 상부 플라즈마 생성 볼륨 (103) 으로부터 하부 플라즈마 생성 볼륨 (109) 으로의 적절한 라디칼/중성입자 플로우를 제공하기 위하여 스루홀들 (117) 의 수는 가스 공급 포트들 (119) 의 수보다 크다. 또한, 스루홀들 (117) 은 가스 공급 포트들 (119) 보다 큰 사이즈를 갖도록 정의되어 상부 플라즈마 생성 볼륨 (103) 으로부터 하부 플라즈마 생성 볼륨 (109) 으로의 적절한 라디칼/중성입자 플로우를 제공할 수 있다. 그러나, 상술한 바와 같이, 스루홀들 (117) 의 사이즈는 상부 또는 하부 플라즈마 생성 볼륨들 (103/109) 중 어느 하나로부터 스루홀들 (117) 내로의 역 플라즈마 침입을 방지하기 위해 제한된다.
일 실시형태에서, 스루홀들 (117) 의 일부 또는 전부는 가스 분배 유닛을 관통하는 소정의 각도에서 연장하도록 정의된다. 도 3d 는 본 발명의 일 실시형태에 따른, 가스 분배 유닛 (115) 을 관통하여 연장되도록 정의된 스루홀 (117) 의 횡단면과 각도 (303) 를 나타낸다. 스루홀 (117) 은 가스 분배 유닛 (115) 의 상부면 및 하부면들 (302/304) 사이에서 수직으로 연장되는 기준 방향 (301) 으로부터 오프셋된 각도 (303) 에서 가스 분배 유닛 (115) 의 상부면 (302) 으로부터 가스 분배 유닛 (115) 의 하부면 (304) 으로 연장되도록 정의된다.
스루홀들 (117) 에 의해 가스 분배 유닛 (115) 을 통과하는 라디칼/중성입자 플럭스를 제거하도록 이온들이 스루홀 (117) 을 관통하여 진행할 때, 스루홀들 (117) 은 상부 플라즈마 생성 볼륨 (103) 내의 하전된 성분들, 즉, 이온들이 전기적으로 접지된 가스 분배 유닛 (115) 과 충돌할 가능성을 증가시키도록 경사진다. 일 실시형태에서, 각도 (303) 는 스루홀 (117) 내에서의 기준 방향 (301) 에서 인터럽트되지 않은 시선 (line of sight) 이 가스 분배 유닛 (115) 을 통과하는 것을 방지하기에 충분히 크다.
일 실시형태에서, 가스 분배 유닛 (115) 내의 모든 스루홀들 (117) 은 본질적으로 상부 플라즈마 생성 볼륨 (103) 내에서 생성된 본질적으로 이온들 어느것도 가스 분배 유닛 (115) 을 통과하여 하부 플라즈마 생성 볼륨 (109) 으로 진행하는 것이 허용되지 않도록 보장하기 위해 경사져있다. 이 실시형태는 스루홀들 (117) 에 의한 하부 플라즈마 생성 볼륨 (109) 으로의 본질적으로 순수 라디칼/중성입자 플럭스 도입을 제공한다. 일 실시형태에서, 스루홀들 (117) 의 일부분이 경사져 있고 스루홀들 (117) 의 나머지 부분은 기준 방향 (301) 과 일치하여 실질적으로 똑바른 방식으로 연장되도록 정의된다. 이 실시형태는 몇몇 이온들이 상부 플라즈마 생성 볼륨 (103) 으로부터 하부 플라즈마 생성 볼륨 (109) 으로 플로우하는 라디칼/중성입자 플럭스와 혼합하는 것을 제공한다. 이 실시형태에서, 경사진 스루홀들 (117) 에 대한 일직선 스루홀들 (117) 의 수 및 분포는 라디칼/중성입자 플럭스 내에서의 원하는 이온 농도를 달성하도록 정의될 수 있다.
일 실시형태에서, 플로우 제어 플레이트는 가스 분배 유닛 (115) 의 상부면 상에 배치되어 어느 스루홀들 (117) 이 상부 플라즈마 생성 볼륨 (103) 에 노출되는지를 제어한다. 도 4a 는 본 발명의 일 실시형태에 따른, 가스 분배 유닛 (115) 의 상부면 (302) 에 배치된 플로우 제어 플레이트 (401) 를 나타낸다. 일 실시형태에서, 플로우 제어 플레이트 (401) 는 약 3 mm 내지 약 6 mm 에 걸친 범위 내에서 소정 두께 (403) 를 갖는 디스크로서 정의된다. 플로우 제어 플레이트 (401) 디스크는 플로우가 제어되는 스루홀들 (117) 을 커버하기에 충분한 직경을 갖도록 정의된다. 일 실시형태에서, 플로우 제어 플레이트 (401) 디스크는 가스 분배 유닛 (115) 에 의해 제공된 RF 복귀 경로에 대한 상부 플라즈마 생성 볼륨 (103) 에서의 플라즈마의 균일한 노출을 유지시키도록 가스 분배 유닛 (115) 의 상부면을 커버하는 직경을 갖도록 정의된다.
일 실시형태에서, 플로우 제어 플레이트 (401) 는 전기 전도성 및 열전도성 재료로 형성되며 가스 분배 유닛 (115) 에 고정되어 플로우 제어 플레이트 (401) 와 가스 분배 유닛 (115) 사이의 적절한 전기 전도 및 열전도를 보장한다. 일 실시형태에서, 플로우 제어 플레이트 (401) 는 상부 플라즈마 생성 볼륨과 하부 플라즈마 생성 볼륨 (103/109) 사이의 압력 차이에 의해, 압력 차이에 의해, 복수의 고정 장치에 의해, 또는 이들의 조합에 의해 가스 분배 유닛 (115) 에 고정될 수도 있다. 또한, 여러 실시형태들에서, 플로우 제어 플레이트 (401) 는 가스 분배 유닛 (115) 에 대하여 상술한 바와 같은 플라즈마 저항성 코팅에 의해 커버되고 보호될 수 있다.
일 실시형태에서, 복수의 홀 패턴들은 플로우 제어 플레이트 (401) 를 통하여 정의된다. 플로우 제어 플레이트 (401) 내의 복수의 홀 패턴들 각각은 가스 분배 유닛 (115) 내의 스루홀들 (117) 의 상이한 세트와 정렬된다. 가스 분배 유닛 (115) 의 상부면에 대한 플로우 제어 플레이트 (401) 의 특정 회전 위치에서 가스 분배 유닛 (115) 의 상부면에 대한 플로우 제어 플레이트 (401) 의 배치는 플로우 제어 플레이트 (401) 내의 복수의 홀 패턴들 중 특정한 홀 패턴과 가스 분배 유닛 (115) 내의 스루홀들 (117) 의 홀 패턴들의 대응 세트와의 정렬에 대응한다. 플로우 제어 플레이트 (401) 를 관통하여 연장되는 복수의 홀 패턴들 각각은 가스 분배 유닛 (115) 내의 상이한 공간적 패턴 또는 상이한 수의 스루홀들 (117) 에 노출하도록 정의된다. 따라서, 플로우 제어 플레이트 (401) 를 관통하고 그에 따라 가스 분배 유닛 (115) 을 관통하는 라디칼/중성입자 플로우는 가스 분배 유닛 (115) 의 상부면에 대한 특정한 회전 위치에 플로우 제어 플레이트 (401) 를 설정함으로써 제어될 수 있다.
일 실시형태에서, 플로우 제어 플레이트 (401) 는 가스 분배 유닛 (115) 을 기준 방향 (301) 으로 똑바로 연장된 스루홀들 (117) 의 차단부 (shutoff) 를 제공하는 홀 패턴을 포함하도록 정의되며 이에 의해, 가스 분배 유닛 (115) 을 관통하는 이온 플로우의 차단이 가능하게 된다. 도 4b 는 본 발명의 일 실시형태에 따라, 내부에 정의된 홀 (405) 패턴이 하부에 있는 가스 분배 유닛 (115) 내에 정의된 모든 스루홀들 (117) 을 관통하여 플로우하는 것을 허용하도록 위치결정된 플로우 제어 플레이트 (401) 의 상부도를 나타낸다. 도 4c 는 본 발명의 일 실시형태에 따라, 내부에 정의된 홀 (405) 패턴이 하부에 있는 가스 분배 유닛 (115) 내에 정의된 경사진 스루홀들 (117) 만을 관통하여 플로우하는 것을 허용하도록 위치결정된 플로우 제어 플레이트 (401) 의 상부도를 나타낸다. 또한, 다른 실시형태들에서, 플로우 제어 플레이트 (401) 에서의 복수의 홀 패턴들 (405) 이 가스 분배 유닛 (115) 을 관통한 라디칼/중성입자 플로우의 상이한 공간적 패턴들을 제공하도록 정의된다.
도 4d 는 본 발명의 일 실시형태에 따른 복수의 동심형 회전가능 플로우 제어 플레이트들 (407A, 407B, 407C) 에 의해 정의된 플로우 제어 플레이트 어셈블리 (401A) 의 상부도를 나타낸다. 각각의 각각의 동심형 회전가능 플로우 제어 플레이트 (407A, 407B, 407C) 는 스루홀들 (117) 이 가스 분배 유닛 (115) 내에서 개방 또는 폐쇄되는, 중심에서 에지부까지의 제어를 제공하도록 독립적으로 설정될 수 있다. 구체적으로, 플로우 제어 플레이트 어셈블리 (401A) 는 가스 분배 유닛 (115) 의 상부면에 동심형으로 배치된 중앙 디스크 (407A) 및 복수의 동심형 링들 (407B/407C) 을 포함한다. 도 4d 의 특정 구성은 예로서 제공된 것임을 알아야 한다. 다른 실시형태들은 도 4d 에 도시된 것과는 상이한 수의 동심형 회전가능 플로우 제어 플레이트들을 포함할 수도 있다.
중앙 디스크 (407A) 및 복수의 동심 링들 (407B/407C) 각각은 자신들을 관통하여 연장된 복수의 홀 패턴들 (405A/405B/405C) 을 각각 포함한다. 복수의 홀 패턴들 (405A/405B/405C) 각각은 가스 분배 유닛 (115) 내의 스루홀들 (117) 의 상이한 세트와 정렬하여, 가스 분배 유닛 (115) 의 상부면에 대한 특정 회전가능 위치에서의, 가스 분배 유닛 (115) 의 상부면의 동심 링들 (407B/407C) 및 중앙 디스크 (407A) 각각의 배치가 복수의 홀 패턴들 (405A/405B/405C) 중 특정 하나와 스루홀들 (117) 의 특정 하나의 대응 세트와의 정렬에 대응한다. 중앙 디스크 (407A) 및 동심 링들 (407B/407C) 을 관통하여 연장된 복수의 홀 패턴들 (405A/405B/405C) 각각은 가스 분배 유닛 (115) 내의 상이한 수 또는 상이한 공간 패턴의 스루홀들 (117) 에 노출하도록 정의된다.
도 1 을 다시 참조하여 보면, 척 (107) 은 하부 플라즈마 생성 볼륨 (109) 아래에서 챔버 (100) 의 내부 캐버티 (100D) 내에 배치된다. 일 실시형태에서, 척 (107) 은 챔버 (100) 의 벽 (100C) 으로부터 캔틸레버된다. 일 실시형태에서, 척 (107) 은 정전 척이며 RF 파워를 하부 플라즈마 생성 볼륨 (109) 으로 전달하기 위한 전극을 제공한다. 척 (107) 은 기판 (113), 즉, 웨이퍼 (113) 를 하부 플라즈마 생성 볼륨 (109) 에 노출된 상태로 홀딩하도록 정의된다. 일 실시형태에서, 웨이퍼 에지 링 (149) 은 척 (107) 상에서의 기판 (113) 수용/홀딩 영역 주변에 대하여 척 (107) 상에 배치된다. 여러 실시형태들에서, 웨이퍼 에지 링은 석영 또는 실리콘으로 형성된다. 또한, 일 실시형태에서, 전도체 (148) 가 웨이퍼 에지 링 (149) 아래에 배치되고 웨이퍼 에지 링 (149) 을 통하여 DC 바이어스를 드라이브하도록 연결된다. 척 (107) 은 또한 냉각 채널 및/또는 가열 엘리먼트들의 구성을 포함하도록 정의되어 기판 (113) 및 하부 플라즈마 생성 볼륨 (109) 의 온도 제어를 가능하게 한다.
척 (107) 은 화살표 123 에 의해 나타낸 바와 같이 내부 캐버티 (100D) 내에서 수직으로 이동하도록 정의된다. 이 방법으로, 척 (107) 은 게이트 밸브 (102) 를 통하여 기판 (113) 을 수용/제공하도록 하강될 수 있고 하부 플라즈마 생성 볼륨 (109) 의 하부면을 형성하도록 상승될 수 있다. 또한, 척 (107) 과 가스 분배 유닛 (115) 양쪽 모두에 수직으로 측정할 때 하부 플라즈마 생성 볼륨 (109) 을 따르는 수직 거리는 척 (107) 의 수직 위치를 제어함으로서 설정되고 제어될 수 있다. 하부 플라즈마 생성 볼륨 (109) 을 따르는 수직 거리는 중심에서 에지부까지의 충분한 플라즈마 균일성 및 밀도를 달성하도록 설정될 수 있고 또한 가스 공급 포트들 (119) 및/또는 스루홀들 (117) 로부터 플로우하는 기체의 분사에 의해 웨이퍼 (113) 상의 프린팅을 회피하도록 설정될 수 있다. 여러 실시형태들에서, 하부 플라즈마 생성 볼륨 (109) 를 따르는 수직 거리는 약 1 cm 내지 약 5 cm, 또는 약 2 cm 내지 약 3.6 cm 에 걸친 범위 내에서 설정될 수 있다.
척 (107) 은 또한 RF 파워 소스 (111) 로부터 하부 플라즈마 생성 볼륨 (109) 로 RF 파워를 공급하도록 정의되어, 척 (107) 이 하부 플라즈마 생성 볼륨 (109) 에 대한 전극으로서 기능한다. 하부 플라즈마 챔버의 RF 파워 소스 (111) 는 상부 플라즈마 챔버의 RF 파워 소스 (105) 로부터 개별적 그리고 독립적임을 알아야 한다. 따라서, 상부 및 하부 플라즈마 생성 볼륨들 (103/109) 에 공급된 RF 파워는 개별적으로 그리고 독립적으로 제어될 수 있다. 일 실시형태에서, RF 파워 소스 (111) 는 RF 파워 및 다중 주파수들을 제공하도록 정의된다. 예를 들어, RF 파워 소스 (111) 는 2 MHz, 27 MHz, 및 60 MHz 의 주파수에서 RF 파워를 제공하도록 정의될 수 있다. 상부 및 하부 플라즈마 챔버들 (112/114) 각각에 대한 RF 파워 소스들 (105/111) 각각은 샤워헤드 전극 (101) 및 척 (107) 에 각각 RF 파워의 전달을 가능하게 하도록 자신의 매칭 네트워크들을 통하여 연결되어 있음을 알아야 한다. 상술한 바와 같이, 일 실시형태에서, 가스 분배 유닛 (115) 은 상부 및 하부 플라즈마 생성 볼륨들 (103/109) 양쪽 모두에 대하여 RF 파워 복귀 경로에서 기준 접지 전극으로서 기능한다.
상부 플라즈마 챔버는 상부 플라즈마 생성 볼륨 (103) 내의 가스들이 챔버 (100) 의 내부 캐버티 (100D) 로 배출되는 배출 채널 (125) 을 포함하도록 정의된다. 배출 채널 (125) 은 샤워헤드 전극 (101) 의 방사상 주변부 외부 및 가스 분배 유닛 (115) 의 방사상 주변부 외부에 상부 플라즈마 생성 볼륨 (103) 을 둘러싸도록 정의된다. 이 구성에서, 배출 채널 (125) 은 상부 플라즈마 챔버의 아우터 구조 부재 (104) 의 하부면과 하부 플라즈마 챔버의 가스 분배 유닛 (115) 및 아우터 구조 부재 (106) 양쪽 모두의 상부면들 사이에 방사상 방향으로 연장한다.
압력 스로틀 링 (127) 은 배출 채널 (125) 내에서 이동하여 상부 플라즈마 생성 볼륨 (103) 으로부터 배출 채널 (125) 을 통한 챔버 (100) 의 내부 캐버티 (100D) 로의 유체 플로우, 즉 가스들의 플로우를 스로틀링하도록 정의된다. 일 실시형태에서, 압력 스로틀 링 (127) 은 상부 플라즈마 챔버 (112) 의 아우터 구조 부재 (104) 내의 등각으로 정의된 오목 영역 내에서 수직방향으로 이동하도록 정의된다. 이 실시형태에서, 압력 스로틀 링 (127) 은 배출 채널 (125) 을 통한 플로우 영역을 감소시키고 이에 의해 상부 플라즈마 생성 볼륨 (103) 으로부터의 유체 플로우를 스로틀링하도록 제어되는 방식으로 배출 채널 (125) 아래로 이동될 수 있다. 일 실시형태에서, 압력 스로틀 링 (127) 은 상부 플라즈마 생성 볼륨 (103) 으로부터 배출 채널 (125) 을 통한 챔버 (100) 의 내부 캐버티 (100D) 로의 플로우의 완벽한 차단을 가능하게 하도록 정의된다.
도 1 에 나타낸 압력 스로틀 링 (127) 의 구성은 그 구현의 일 예시적인 실시형태임을 알아야 한다. 다른 실시형태들에서, 압력 스로틀 링 (127) 은 압력 스로틀 링 (127) 이 배출 채널 (125) 을 통한 유체 플로우의 제어를 제공하는 한은 상이한 방식들로 구현될 수 있다. 또한, 일 실시형태에서, 압력 나노부재는 상부 플라즈마 생성 볼륨 (103) 내에서의 압력을 측정하도록 배치된다. 이 실시형태에서, 상부 플라즈마 생성 볼륨 (103) 내에서의 이 측정 압력을 이용하여 압력 스로틀 링 (127) 의 위치를 제어하기 위한 피드백 신호들을 생성하며 이는 이어서 상부 플라즈마 생성 볼륨 (103) 내의 압력의 능동 제어를 제공한다.
하부 플라즈마 챔버는 하부 플라즈마 생성 볼륨 (109) 내의 가스들이 챔버 (100) 의 내부 캐버티 (100D) 로 배출되는 슬롯화된 배출 채널들 (129) 의 세트를 포함하도록 정의된다. 슬롯화된 배출 채널들 (129) 의 세트는 척 (107) 의 방사상 주변부 외부 및 가스 분배 유닛 (115) 의 방사상 주변부 외부에 하부 플라즈마 생성 볼륨 (109) 을 둘러싸도록 정의된다. 도 1 에 도시된 일 실시형태에서, 슬롯화된 배출 채널들 (129) 의 세트는 기판 (113) 이 홀딩된 척 (107) 의 상부면 근처의 수직방향 위치에 배치된 하부 플라즈마 챔버 (114) 의 아우터 구조 부재 (106) 의 수평 배향부에서 정의된다. 이 실시형태에서, 슬롯화된 배출 채널들 (129) 의 세트는 하부 플라즈마 챔버 (114) 의 아우터 구조 부재 (106) 의 수평 배향부를 관통하여 수직 방향으로 연장된다.
압력 제어 링 (131) 은 하부 플라즈마 생성 볼륨 (109) 으로부터 슬롯화된 배출 채널들 (129) 의 세트를 통한 챔버 (100) 의 내부 캐버티 (100D) 내로의 유체 플로우, 즉 가스들의 플로우를 스로틀링하기 위해 슬롯화된 배출 채널들 (129) 의 세트를 향하게 및 슬롯화된 배출 채널들 (129) 의 세트로부터 멀어지게 이동하도록 정의된다. 일 실시형태에서, 압력 제어 링 (131) 은 슬롯화된 배출 채널들 (129) 의 세트를 향하게 및 슬롯화된 배출 채널들 (129) 의 세트로부터 멀어지게 수직 방향으로 이동가능한 수평 배향된 환형 디스크로서 정의된다. 슬롯화된 배출 채널들 (129) 의 세트에 대항하여 배치될 경우, 즉, 슬롯화된 배출 채널들 (129) 의 세트가 내부에 형성되어진 아우터 구조 부재 (106) 의 수평 배향부의 하부면에 대항하여 배치될 경우, 압력 제어 링 (131) 은 (내부 캐버티 (100D) 측에서) 슬롯화된 배출 채널들 (129) 의 세트를 커버하도록 정의된다.
하부 플라즈마 생성 볼륨 (109) 으로부터 슬롯화된 배출 채널들 (129) 의 세트를 통한 챔버 (100) 의 내부 캐버티 (100D) 로의 유체 플로우는 슬롯화된 배출 채널들 (129) 의 세트를 향하게 및 슬롯화된 배출 채널들 (129) 의 세트로부터 멀어지게 하는 압력 제어 링 (131) 의 수직 방향 이동을 통해 스로틀링, 즉 제어될 수 있다. 일 실시형태에서, 압력 제어 링 (131) 은 하부 플라즈마 생성 볼륨 (109) 으로부터 슬롯화된 배출 채널들 (129) 의 세트를 통한 챔버 (100) 의 내부 캐버티 (100D) 내로의 플로우의 완벽한 차단을 가능하게 하도록 정의된다. 또한, 일 실시형태에서, 압력계가 하부 플라즈마 생성 볼륨 (109) 내의 압력을 측정하도록 배치된다. 이 실시형태에서, 하부 플라즈마 생성 볼륨 (109) 내에서의 이 측정 압력을 이용하여 압력 제어 링 (131) 의 위치를 제어하기 위한 피드백 신호들을 생성하며 이는 이어서 하부 플라즈마 생성 볼륨 (109) 내의 압력의 능동 제어를 제공한다.
상부 플라즈마 챔버 (112) 및 하부 플라즈마 챔버 (114) 양쪽 모두가 개개의 한정된 플라즈마들을 인클로즈함을 알아야 한다. 한정된 플라즈마는 그 체류 시간 (residence time) 이 플라즈마 영역 내에서의, 즉 상부 및 하부 플라즈마 생성 볼륨들 (103/109) 내에서의 볼륨, 압력 및 플로우를 제어함으로써 제어될 수 있다는 점에서 유용하다. 플라즈마 체류 시간은 라디칼/중성이온 형성에서의 팩터인 해리 프로세스에 영향을 준다. 상부 및 하부 플라즈마 생성 볼륨들 (103/109) 은 압력 및 온도에 대하여 미소하게 그리고 잘 제어된다.
상술한 바와 같이, 상부 및 하부 플라즈마 챔버들 (112/114) 은 챔버들 자체의 RF 파워 소스들/제어부들, 압력 제어부들, 온도 제어부들, 플라즈마 프로세스 가스 소스들/제어부들, 및 가스 플로우 제어부들을 갖는다. 여러 실시형태들에서, 상부 플라즈마 프로세싱 볼륨 (103) 내의 압력은 약 100 mTorr 내지 약 1 Torr 또는 약 200 mTorr 내지 약 600 mTorr 에 걸친 범위 내에서 제어될 수 있다. 여러 실시형태들에서, 하부 플라즈마 프로세싱 볼륨 (109) 내의 압력은 약 5 mTorr 내지 약 100 mTorr, 또는 약 10 mTorr 내지 약 30 mTorr 에 걸친 범위 내에서 제어될 수 있다.
도 5 는 본 발명의 일 실시형태에 따른, 상부 플라즈마 (501) 및 하부 플라즈마 (503) 를 갖는 도 1 의 챔버를 나타낸다. 상부 플라즈마 (501) 로부터의 프로세스 가스들은 화살표 505 로 나타낸 바와 같이, 상부 플라즈마 생성 볼륨 (103) 으로부터 배출 채널 (125) 을 통하여 챔버 (100) 의 내부 캐버티 (100D) 로 배출된다. 하부 플라즈마 (503) 로부터의 프로세스 가스들은 화살표 507 로 나타낸 바와 같이, 하부 플라즈마 생성 볼륨 (109) 으로부터 슬롯화된 배출 채널들 (129) 의 세트를 통하여 챔버 (100) 의 내부 캐버티 (100D) 로 배출된다. 프로세스 가스들은 화살표 509 로 나타낸 바와 같이, 챔버 (100) 의 내부 캐버티 (100D) 로부터 배출 포트 (135) 를 통하여 배출된다.
상부 및 하부 플라즈마 챔버들 (112/114) 의 독립적 제어가 이온 플럭스에 대한 라디칼/중성입자 플럭스의 독립적인 제어에 특히 관련된 웨이퍼 프로세싱 레시피들에 대한 확장 가능성들을 제공함을 알아야 한다. 한 쌍의 예시적인 웨이퍼 프로세스들이 아래 제공된다. 그러나, 여기에 개시된 예시적인 웨이퍼 프로세스들은 예로서 제공된 것이며 어떠한 방식으로도 여기에 개시된 듀얼 플라즈마 프로세싱 챔버 (100) 의 사용에 대한 제한을 두지 않음을 알아야 한다.
일 예시적인 실시형태에서, 챔버 (100) 는 웨이퍼 프로세싱 플라즈마에서 CxFy (C4F8, C4F6 등) 의 낮은 해리성을 갖는 고 플루오르화 라디칼/중성입자 플럭스를 이용하는 웨이퍼 프로세스를 수행하는데 이용된다. 이 예시적인 실시형태에서, Ar 과 NF3 의 혼합물이 플라즈마 프로세스 가스로서 상부 플라즈마 생성 볼륨 (103) 에 공급된다. 상부 플라즈마 생성 볼륨 (103) 은 높은 RF 주파수 (60 MHz) 및 높은 압력에서 동작된다. 고 플루오르화 라디칼/중성입자 플럭스는 상부 플라즈마 챔버 (103) 에서 생성되며 가스 분배 유닛 (115) 의 스루홀들 (117) 을 통과하여 플로우한다. 상부 플라즈마 프로세싱 볼륨 (103) 에서 생성된 이온들은 가스 분배 유닛 (115) 에 의해 필터링된다.
또한, 이 예시적인 실시형태에서, Ar 과 CxFy 가스의 혼합물이 플라즈마 프로세스 가스로서 하부 플라즈마 생성 볼륨 (109) 에 공급된다. 하부 플라즈마 생성 볼륨 (109) 은 낮은 RF 주파수 내지 중간 RF 주파수 (2 MHz 및 27 MHz) 와 낮은 압력에서 동작된다. 하부 플라즈마 생성 볼륨 (109) 의 낮은 RF 주파수 웨이퍼 (113) 에 노출된 플라즈마에서의 CxFy 의 낮은 해리성에 대응한다. 필요한 플루오르화 라디칼/중성입자 플럭스를 생성하기 위해 상부 플라즈마 생성 볼륨 (103) 에 요구되는 높은 파워는 하부 플라즈마 생성 볼륨 (109) 에 인가될 경우 CxFy 의 높은 해리성에 기인되는 것임을 알아야 한다. 따라서, 듀얼 플라즈마 챔버 (100) 는 상술한 프로세스의 수행을 가능하게 한다.
다른 예시적인 실시형태에서, 챔버 (100) 는 낮은 압력 볼륨에서 고밀도 Ar 플라즈마와 함께 높은 압력 볼륨에서 CxFy (C4F8, C4F6 등) 의 높은 해리성을 이용한 웨이퍼 프로세스를 수행하는데 이용된다. 이 예시적인 실시형태에서, CxFy 과 Ar 의 혼합물이 플라즈마 프로세스 가스로서 상부 플라즈마 생성 볼륨 (103) 에 공급된다. 상부 플라즈마 생성 볼륨 (103) 은 CxFy 의 높은 해리성을 일으키도록 높은 RF 주파수 (60 MHz) 및 높은 압력에서 동작된다. 상부 플라즈마 챔버 (103) 에서 생성된 매우 해리된 CxFy 는 가스 분배 유닛 (115) 의 스루홀들 (117) 을 관통하여 플로우한다. 상부 플라즈마 프로세싱 볼륨 (103) 에서 생성된 이온들은 가스 분배 유닛 (115) 에 의해 필터링된다. 또한, 이 예시적인 실시형태에서, Ar 가스는 플라즈마 프로세스 가스로서 하부 플라즈마 생성 볼륨 (109) 에 공급된다. 하부 플라즈마 생성 볼륨 (109) 은 높은 이온 플럭스를 갖는 고밀도 Ar 플라즈마를 생성하도록 낮은 RF 주파수 내지 중간 RF 주파수 (2 MHz 및 27 MHz) 와 낮은 압력에서 동작된다.
일 동작 실시형태에서, 하부 플라즈마 챔버 (114) 의 압력 제어 링 (131) 이 폐쇄되고 상부 플라즈마 챔버 (112) 가 배출 단독 구성으로 설정된다. 이 실시형태에서, 플라즈마는 상부 플라즈마 생성 볼륨 (103) 에서 생성되지 않는다. 이 실시형태에서, 플라즈마 프로세스 가스는 가스 분배 유닛 (115) 의 가스 공급 포트들 (119) 을 통과하여 하부 플라즈마 생성 볼륨 (109) 으로 플로우한다. 또한, 이 실시형태에서, 플라즈마 프로세스 가스는 하부 플라즈마 생성 볼륨 (109) 으로부터 가스 분배 유닛 (115) 의 스루홀들 (117) 을 통하여 상부 플라즈마 생성 볼륨 (103) 으로 배출한 다음 배출 채널 (125) 에서 챔버 (100) 의 내부 캐버티 (100D) 로 배출된다.
이 동작 실시형태는 하부 플라즈마 생성 볼륨 (109) 으로의 플라즈마 프로세스 가스들의 축류 펌프 인 및 하부 플라즈마 생성 볼륨 (109) 으로부터의 플라즈마 프로세스 가스들의 축류 펌프 아웃을 제공한다. 이 실시형태에서, 가스들이 방사상 방향과 반대로 수직 방향으로 펌프아웃되기 때문에 웨이퍼 (113) 를 가로질러 정확한 압력 균일성 제어가 실현될 수 있다. 배출 가스들의 펌프 아웃이 웨이퍼 (113) 를 가로질러 방사상 압력 분포를 일으킨다는 점을 알아야 한다. 이 실시형태는 또한 짧은 플라즈마 체류 시간, 예를 들어 밀리초 미만이 체류 시간을 필요로 하는 원자 층 성막 또는 원자 층 에칭과 같은 낮은 플로우 애플리케이션들에서의 체류 시간의 정확한 제어를 허용한다.
듀얼 플라즈마 챔버 (100) 는 이온 플라즈마 생성/제공으로부터 라디칼/중성입자 플럭스 생성/제공을 디커플링하도록 정의됨을 알아야 한다. 또한, 일 실시형태에서, 하부 플라즈마 챔버 (114) 는 웨이퍼 (113) 를 플라즈마에 노출시킴이 없이 상부 플라즈마 챔버 (112) 로부터의 라디칼/중성입자 플럭스가 웨이퍼 (113) 에 제공될 수 있도록 비활성일 수 있는, 즉, 배출 전용일 수 있다.
본 발명은 수개의 실시형태에 대해 설명되었지만, 당해 기술 분야의 당업자는 앞의 상세한 설명을 읽고 도면을 검토하면 여러 대안, 추가, 교환 및 이들의 동등물을 구현할 것임을 알아야 한다. 따라서, 본 발명은 본 발명의 정신 및 범위 내에서 이러한 대안, 변경, 치환 및 동등물을 모두 포함하도록 의도된다.

Claims (14)

  1. 반도체 웨이퍼 프로세싱 장치로서,
    기판을 홀딩하도록 구성된 상단면을 갖는 정전 척;
    상기 정전 척 위에 위치되고 상기 정전 척으로부터 이격된 가스 분배 유닛으로서, 상기 가스 분배 유닛은 상기 정전 척의 상기 상단면에 실질적으로 평행한 배향으로 배향된 하단면을 갖고, 상기 정전 척의 상기 상단면과 상기 가스 분배 유닛의 상기 하단면 사이의 공간이 하부 플라즈마 생성 볼륨을 형성하고, 상기 가스 분배 유닛은 프로세스 가스를 수용하고 상기 프로세스 가스를 상기 하부 플라즈마 생성 볼륨에 분배하도록 구성되고, 상기 가스 분배 유닛은 상기 가스 분배 유닛의 상기 하단면으로부터 상기 가스 분배 유닛의 상단면으로 연장하는 스루홀들의 배열을 포함하는, 상기 가스 분배 유닛;
    상기 가스 분배 유닛을 둘러싸고 상기 정전 척 위이고 상기 정전 척으로부터 이격된 위치에서 상기 가스 분배 유닛을 지지하도록 구성된 아우터 하부 구조 부재;
    상기 가스 분배 유닛 위에 위치되고 상기 가스 분배 유닛으로부터 이격된 샤워헤드 전극으로서, 상기 샤워헤드 전극은 상기 가스 분배 유닛의 상기 상단면과 실질적으로 평행한 배향으로 배향된 하단면을 갖고, 상기 샤워헤드 전극의 상기 하단면과 상기 가스 분배 유닛의 상기 상단면 사이의 공간이 상부 플라즈마 생성 볼륨을 형성하는, 상기 샤워헤드 전극;
    상기 샤워헤드 전극을 둘러싸고 상기 가스 분배 유닛 위이고 상기 가스 분배 유닛으로부터 이격된 위치에 상기 샤워헤드 전극을 지지하도록 구성된 아우터 상부 구조 부재;
    상기 상부 플라즈마 생성 볼륨의 주변부로부터 외부로 방사상 방향으로 연장하도록 구성된 배출 채널로서, 상기 배출 채널은 상기 상부 플라즈마 생성 볼륨을 둘러싸고 상기 아우터 상부 구조 부재와 상기 아우터 하부 구조 부재 사이에서 방사상 외부로 연장하도록 구성되는, 상기 배출 채널; 및
    제어된 양의 거리만큼 상기 배출 채널 내로 수직으로 연장하도록 상기 아우터 상부 구조 부재 내의 등각으로 (conformally) 규정된 리세스된 영역 내에서 수직으로 이동하도록 구성된 스로틀 링을 포함하는, 반도체 웨이퍼 프로세싱 장치.
  2. 제 1 항에 있어서,
    상기 배출 채널은 상기 스로틀 링 아래의 위치를 제외하고 상기 방사상 방향의 크기를 따라 실질적으로 균일한 수직 높이를 갖도록 구성되는, 반도체 웨이퍼 프로세싱 장치.
  3. 제 1 항에 있어서,
    상기 샤워헤드 전극의 외부 주변부를 둘러싸도록 구성된 제 1 절연 링을 더 포함하고, 상기 제 1 절연 링은 상기 아우터 상부 구조 부재 내에 형성된 수평 슬롯 내에 배치되고, 상기 제 1 절연 링은 상기 샤워헤드 전극의 수직 높이의 일부를 따라 연장하도록 구성되고, 상기 제 1 절연 링은 상기 샤워헤드 전극의 상기 외부 주변부와 물리적으로 접촉하도록 구성되는, 반도체 웨이퍼 프로세싱 장치.
  4. 제 3 항에 있어서,
    상기 제 1 절연 링은 상기 샤워헤드 전극의 상기 하단면으로부터 하향으로 멀어지게 연장하는 테이퍼된 하단면을 갖는, 반도체 웨이퍼 프로세싱 장치.
  5. 제 3 항에 있어서,
    상기 샤워헤드 전극의 상기 외부 주변부를 둘러싸도록 구성된 제 2 절연 링을 더 포함하고, 상기 제 2 절연 링은 상기 제 1 절연 링의 상단면 상에 배치되고, 상기 제 2 절연 링은 상기 샤워헤드 전극의 상기 외부 주변부와 상기 아우터 상부 구조 부재 사이에 위치되고, 상기 제 2 절연 링은 상기 제 1 절연 링 위에 위치된 상기 샤워헤드 전극의 상기 수직 높이의 나머지 부분을 따라 연장하도록 구성되고, 상기 제 2 절연 링은 상기 샤워헤드 전극 및 상기 아우터 상부 구조 부재 모두와 접촉하도록 위치되는, 반도체 웨이퍼 프로세싱 장치.
  6. 제 1 항에 있어서,
    상기 스루홀들의 배열의 제 1 부분은 상기 가스 분배 유닛의 상기 하단면으로부터 상기 가스 분배 유닛의 상기 상단면으로 상기 가스 분배 유닛의 상기 상단면과 상기 하단면 사이에서 수직으로 연장하는 기준 방향에 대해 경사진 방향으로 연장하는, 반도체 웨이퍼 프로세싱 장치.
  7. 제 6 항에 있어서,
    상기 기준 방향에 대한 상기 스루홀들의 배열의 제 1 부분의 각도는 상기 기준 방향에서 인터럽트되지 않은 시선 (line of sight) 이 상기 가스 분배 유닛을 통과하는 것을 방지하기에 충분히 큰, 반도체 웨이퍼 프로세싱 장치.
  8. 제 6 항에 있어서,
    상기 스루홀들의 배열의 제 2 부분은 상기 기준 방향에서 상기 가스 분배 유닛의 상기 하단면으로부터 상기 가스 분배 유닛의 상기 상단면으로 연장하는, 반도체 웨이퍼 프로세싱 장치.
  9. 제 8 항에 있어서,
    상기 스루홀들의 배열의 제 1 부분 및 제 2 부분은 상기 가스 분배 유닛을 가로질러 실질적으로 균일하게 혼합된 방식으로 분포되는, 반도체 웨이퍼 프로세싱 장치.
  10. 제 1 항에 있어서,
    상기 스로틀 링은 상기 스로틀 링이 상기 배출 채널 내로 완전히 하강될 때 상기 상부 플라즈마 생성 볼륨으로부터 상기 배출 채널을 통한 플로우의 완벽한 차단 (shutoff) 을 제공하도록 구성되는, 반도체 웨이퍼 프로세싱 장치.
  11. 제 1 항에 있어서,
    상기 아우터 하부 구조 부재는 상기 정전 척에 견고하게 (rigidly) 연결되는, 반도체 웨이퍼 프로세싱 장치.
  12. 제 11 항에 있어서,
    상기 아우터 하부 구조 부재는 상부 수평 부분, 하부 수평 부분, 및 상기 하부 수평 부분과 상기 상부 수평 부분 사이에서 연장하는 수직 부분을 포함하고, 상기 상부 수평 부분은 상기 가스 분배 유닛의 외부 주변부에 대고 시일링되고, 상기 하부 수평 부분은 상기 정전 척의 외부 주변부에 대고 시일링되고, 상기 아우터 하부 구조 부재의 상기 상부 수평 부분, 상기 하부 수평 부분, 및 상기 수직 부분 각각은 상기 하부 수평 부분을 관통하여 형성된 슬롯화된 배출 채널들의 세트를 제외하고 불침투성 장벽을 형성하고, 상기 슬롯화된 배출 채널들의 세트는 상기 하부 플라즈마 생성 볼륨으로부터 유체 흐름 통로들을 형성하는, 반도체 웨이퍼 프로세싱 장치.
  13. 제 12 항에 있어서,
    상기 슬롯화된 배출 채널들의 세트 아래에 배치된 압력 제어 링을 더 포함하고, 상기 압력 제어 링은 상기 슬롯화된 배출 채널들의 세트를 향해 그리고 상기 슬롯화된 배출 채널들의 세트로부터 멀어지는 수직 방향으로 제어된 방식으로 이동가능한 수평으로 배향된 환형 솔리드 디스크로서 구성되는, 반도체 웨이퍼 프로세싱 장치.
  14. 제 13 항에 있어서,
    상기 압력 제어 링은 상기 압력 제어 링이 상기 아우터 하부 구조 부재의 상기 하부 수평 부분과 접촉하도록 완전히 상승될 때 상기 하부 플라즈마 생성 볼륨으로부터 상기 슬롯화된 배출 채널들의 세트를 통한 플로우의 완벽한 차단을 제공하도록 구성되는, 반도체 웨이퍼 프로세싱 장치.
KR1020187030107A 2010-08-04 2011-06-22 중성입자/이온 플럭스 제어를 위한 듀얼 플라즈마 볼륨 프로세싱 장치 KR101998542B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US12/850,559 US9184028B2 (en) 2010-08-04 2010-08-04 Dual plasma volume processing apparatus for neutral/ion flux control
US12/850,559 2010-08-04
PCT/US2011/041524 WO2012018449A2 (en) 2010-08-04 2011-06-22 Dual plasma volume processing apparatus for neutral/ion flux control

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020137002961A Division KR101911562B1 (ko) 2010-08-04 2011-06-22 중성입자/이온 플럭스 제어를 위한 듀얼 플라즈마 볼륨 프로세싱 장치

Publications (2)

Publication Number Publication Date
KR20180118235A true KR20180118235A (ko) 2018-10-30
KR101998542B1 KR101998542B1 (ko) 2019-07-09

Family

ID=45555213

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020137002961A KR101911562B1 (ko) 2010-08-04 2011-06-22 중성입자/이온 플럭스 제어를 위한 듀얼 플라즈마 볼륨 프로세싱 장치
KR1020187030107A KR101998542B1 (ko) 2010-08-04 2011-06-22 중성입자/이온 플럭스 제어를 위한 듀얼 플라즈마 볼륨 프로세싱 장치

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020137002961A KR101911562B1 (ko) 2010-08-04 2011-06-22 중성입자/이온 플럭스 제어를 위한 듀얼 플라즈마 볼륨 프로세싱 장치

Country Status (7)

Country Link
US (2) US9184028B2 (ko)
JP (2) JP5913312B2 (ko)
KR (2) KR101911562B1 (ko)
CN (2) CN105719932B (ko)
SG (2) SG187610A1 (ko)
TW (1) TWI605495B (ko)
WO (1) WO2012018449A2 (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20210018645A (ko) * 2019-08-07 2021-02-18 세메스 주식회사 기판 처리 장치 및 기판 처리 방법
KR20220070800A (ko) * 2020-11-23 2022-05-31 세메스 주식회사 전극 제어 유닛 및 이를 구비하는 기판 처리 장치

Families Citing this family (222)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9493875B2 (en) * 2008-09-30 2016-11-15 Eugene Technology Co., Ltd. Shower head unit and chemical vapor deposition apparatus
FI124414B (fi) * 2010-04-30 2014-08-29 Beneq Oy Lähde ja järjestely substraatin käsittelemiseksi
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US20130059448A1 (en) * 2011-09-07 2013-03-07 Lam Research Corporation Pulsed Plasma Chamber in Dual Chamber Configuration
US9793126B2 (en) * 2010-08-04 2017-10-17 Lam Research Corporation Ion to neutral control for wafer processing with dual plasma source reactor
US9184028B2 (en) 2010-08-04 2015-11-10 Lam Research Corporation Dual plasma volume processing apparatus for neutral/ion flux control
US8869742B2 (en) * 2010-08-04 2014-10-28 Lam Research Corporation Plasma processing chamber with dual axial gas injection and exhaust
US20120052216A1 (en) * 2010-08-27 2012-03-01 Applied Materials, Inc. Gas distribution showerhead with high emissivity surface
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
JP5661513B2 (ja) * 2011-03-03 2015-01-28 東京エレクトロン株式会社 プラズマ処理装置
WO2012122054A2 (en) 2011-03-04 2012-09-13 Novellus Systems, Inc. Hybrid ceramic showerhead
US9064815B2 (en) * 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
KR101241049B1 (ko) 2011-08-01 2013-03-15 주식회사 플라즈마트 플라즈마 발생 장치 및 플라즈마 발생 방법
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US9039911B2 (en) 2012-08-27 2015-05-26 Lam Research Corporation Plasma-enhanced etching in an augmented plasma processing system
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
KR101246191B1 (ko) * 2011-10-13 2013-03-21 주식회사 윈텔 플라즈마 장치 및 기판 처리 장치
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
KR101971312B1 (ko) * 2011-11-23 2019-04-22 램 리써치 코포레이션 다중 존 가스 주입 상부 전극 시스템
KR101504532B1 (ko) * 2012-03-09 2015-03-24 주식회사 윈텔 플라즈마 처리 방법 및 기판 처리 장치
KR101332337B1 (ko) 2012-06-29 2013-11-22 태원전기산업 (주) 초고주파 발광 램프 장치
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9447365B2 (en) * 2012-07-27 2016-09-20 Applied Materials, Inc. Enhanced cleaning process of chamber used plasma spray coating without damaging coating
US9373517B2 (en) * 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
TWI467625B (zh) * 2012-08-30 2015-01-01 Univ Chang Gung 電漿處理裝置
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
JP6002522B2 (ja) * 2012-09-27 2016-10-05 株式会社Screenホールディングス 薄膜形成装置、薄膜形成方法
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US20140166618A1 (en) * 2012-12-14 2014-06-19 The Penn State Research Foundation Ultra-high speed anisotropic reactive ion etching
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
WO2014149883A1 (en) * 2013-03-15 2014-09-25 Applied Materials, Inc. Chamber design for semiconductor processing
KR101451244B1 (ko) * 2013-03-22 2014-10-15 참엔지니어링(주) 라이너 어셈블리 및 이를 구비하는 기판 처리 장치
US9245761B2 (en) 2013-04-05 2016-01-26 Lam Research Corporation Internal plasma grid for semiconductor fabrication
US9230819B2 (en) 2013-04-05 2016-01-05 Lam Research Corporation Internal plasma grid applications for semiconductor fabrication in context of ion-ion plasma processing
CN103227091B (zh) * 2013-04-19 2016-01-27 中微半导体设备(上海)有限公司 等离子体处理装置
US20140315392A1 (en) * 2013-04-22 2014-10-23 Lam Research Corporation Cold spray barrier coated component of a plasma processing chamber and method of manufacture thereof
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9490149B2 (en) * 2013-07-03 2016-11-08 Lam Research Corporation Chemical deposition apparatus having conductance control
US9017526B2 (en) 2013-07-08 2015-04-28 Lam Research Corporation Ion beam etching system
US9147581B2 (en) 2013-07-11 2015-09-29 Lam Research Corporation Dual chamber plasma etcher with ion accelerator
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US10077497B2 (en) * 2014-05-30 2018-09-18 Lam Research Corporation Hollow cathode discharge (HCD) suppressing capacitively coupled plasma electrode and gas distribution faceplate
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
CN105448635B (zh) * 2014-08-28 2018-01-09 北京北方华创微电子装备有限公司 原子层刻蚀装置及采用其的原子层刻蚀方法
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
JP2016081945A (ja) * 2014-10-09 2016-05-16 株式会社ニューフレアテクノロジー 気相成長装置および気相成長方法
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) * 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) * 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
JP6600990B2 (ja) * 2015-01-27 2019-11-06 東京エレクトロン株式会社 プラズマ処理装置
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9793104B2 (en) 2015-01-29 2017-10-17 Aixtron Se Preparing a semiconductor surface for epitaxial deposition
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20210343509A9 (en) * 2015-02-11 2021-11-04 Applied Materials, Inc. Conditioned semiconductor system parts
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10049862B2 (en) * 2015-04-17 2018-08-14 Lam Research Corporation Chamber with vertical support stem for symmetric conductance and RF delivery
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
WO2016204974A1 (en) * 2015-06-17 2016-12-22 Applied Materials, Inc. Gas control in process chamber
US10490390B2 (en) * 2015-06-29 2019-11-26 Ulvac, Inc. Substrate processing device
US9793097B2 (en) * 2015-07-27 2017-10-17 Lam Research Corporation Time varying segmented pressure control
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) * 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US11004661B2 (en) 2015-09-04 2021-05-11 Applied Materials, Inc. Process chamber for cyclic and selective material removal and etching
KR102340973B1 (ko) 2015-09-18 2021-12-17 삼성전자주식회사 반도체 테스트 장치 및 방법과 데이터 분석 장치
JP6784530B2 (ja) * 2016-03-29 2020-11-11 東京エレクトロン株式会社 被処理体を処理する方法
US10622189B2 (en) * 2016-05-11 2020-04-14 Lam Research Corporation Adjustable side gas plenum for edge rate control in a downstream reactor
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
DE102016008775A1 (de) 2016-07-22 2018-01-25 Oliver Feddersen-Clausen Beschichtungsvorrichtung insbesondere für Radical Enhanced Atomic Layer Deposition
JP6994502B2 (ja) * 2016-08-26 2022-01-14 アプライド マテリアルズ インコーポレイテッド プラズマ処理チャンバ用プラズマスクリーン
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
KR102625574B1 (ko) * 2016-10-06 2024-01-16 주성엔지니어링(주) 기판 처리 장치의 샤워 헤드
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
CN106455282A (zh) * 2016-11-04 2017-02-22 中国工程物理研究院流体物理研究所 离子过滤方法、具有离子过滤功能的栅网及中子发生器
CN106507576A (zh) * 2016-11-04 2017-03-15 中国工程物理研究院流体物理研究所 金属氢化物离子源的离子过滤装置、方法及中子发生器
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
JP6764771B2 (ja) * 2016-11-28 2020-10-07 東京エレクトロン株式会社 基板処理装置及び遮熱板
US10273584B2 (en) 2016-12-09 2019-04-30 Asm Ip Holding B.V. Thermal atomic layer etching processes
US10604841B2 (en) 2016-12-14 2020-03-31 Lam Research Corporation Integrated showerhead with thermal control for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition
US11694911B2 (en) * 2016-12-20 2023-07-04 Lam Research Corporation Systems and methods for metastable activated radical selective strip and etch using dual plenum showerhead
US10283319B2 (en) 2016-12-22 2019-05-07 Asm Ip Holding B.V. Atomic layer etching processes
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US11236422B2 (en) 2017-11-17 2022-02-01 Lam Research Corporation Multi zone substrate support for ALD film property correction and tunability
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
KR20200087267A (ko) 2017-12-08 2020-07-20 램 리써치 코포레이션 리모트 플라즈마 막 증착을 인에이블하도록 다운스트림 챔버로 라디칼 및 전구체 가스를 전달하기 위해 개선된 홀 패턴을 갖는 통합된 샤워헤드
US10903054B2 (en) * 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10633742B2 (en) 2018-05-07 2020-04-28 Lam Research Foundation Use of voltage and current measurements to control dual zone ceramic pedestals
JP7126381B2 (ja) 2018-05-21 2022-08-26 東京エレクトロン株式会社 成膜装置および成膜方法
JP7121121B2 (ja) * 2018-06-20 2022-08-17 株式会社アルバック 真空処理装置、支持シャフト
WO2020010153A1 (en) 2018-07-05 2020-01-09 Lam Research Corporation Dynamic temperature control of substrate support in substrate processing system
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
KR20200015264A (ko) 2018-08-03 2020-02-12 삼성전자주식회사 웨이퍼 접합 방법 및 웨이퍼 접합 시스템
US11183400B2 (en) 2018-08-08 2021-11-23 Lam Research Corporation Progressive heating of components of substrate processing systems using TCR element-based heaters
US10872747B2 (en) * 2018-08-08 2020-12-22 Lam Research Corporation Controlling showerhead heating via resistive thermal measurements
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
CN112352302A (zh) * 2019-01-25 2021-02-09 玛特森技术公司 隔栅中的等离子体后气体注入
KR102208815B1 (ko) * 2019-05-10 2021-01-28 주식회사 뉴파워 프라즈마 기판 처리 시스템의 제어 방법
JP2021019201A (ja) 2019-07-18 2021-02-15 エーエスエム アイピー ホールディング ビー.ブイ. 半導体処理システム用シャワーヘッドデバイス
KR20210027601A (ko) 2019-08-29 2021-03-11 삼성전자주식회사 플라즈마 표면처리 장치 및 이를 구비하는 기판 처리 시스템과 이를 이용한 플라즈마 표면처리 방법
CN112530774B (zh) * 2019-09-17 2024-04-05 中微半导体设备(上海)股份有限公司 等离子体处理设备
CN112908819B (zh) * 2019-12-03 2022-04-01 长鑫存储技术有限公司 气体分布器及其加工方法
US11574813B2 (en) 2019-12-10 2023-02-07 Asm Ip Holding B.V. Atomic layer etching
CN113838730A (zh) * 2020-06-08 2021-12-24 中微半导体设备(上海)股份有限公司 气体遮挡环、等离子体处理装置及调控聚合物分布的方法
KR102635841B1 (ko) * 2020-10-13 2024-02-13 에이피시스템 주식회사 박막 제조 장치 및 방법
US11685996B2 (en) * 2021-03-05 2023-06-27 Sky Tech Inc. Atomic layer deposition device
CN114171363B (zh) * 2021-12-01 2024-02-06 Tcl华星光电技术有限公司 反应室及刻蚀装置
US20230335377A1 (en) * 2022-04-15 2023-10-19 Applied Materials, Inc. Showerhead assembly with heated showerhead

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH09291366A (ja) * 1996-04-26 1997-11-11 Tokyo Electron Ltd プラズマ処理方法及びプラズマ処理装置
JP2007067005A (ja) * 2005-08-29 2007-03-15 Tosoh Quartz Corp 開口体及びその製造方法
JP2010512031A (ja) * 2006-12-05 2010-04-15 アプライド マテリアルズ インコーポレイテッド チャンバ中央のガス分配プレート、同調型プラズマ流制御グリッド及び電極

Family Cites Families (84)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE2610556C2 (de) * 1976-03-12 1978-02-02 Siemens AG, 1000 Berlin und 8000 München Vorrichtung zum Verteilen strömender Medien über einen Strömungsquerschnitt
US4209357A (en) 1979-05-18 1980-06-24 Tegal Corporation Plasma reactor apparatus
US4579618A (en) 1984-01-06 1986-04-01 Tegal Corporation Plasma reactor apparatus
US4590042A (en) 1984-12-24 1986-05-20 Tegal Corporation Plasma reactor having slotted manifold
US4780169A (en) 1987-05-11 1988-10-25 Tegal Corporation Non-uniform gas inlet for dry etching apparatus
US5246526A (en) 1989-06-29 1993-09-21 Hitachi, Ltd. Surface treatment apparatus
DE4011933C2 (de) 1990-04-12 1996-11-21 Balzers Hochvakuum Verfahren zur reaktiven Oberflächenbehandlung eines Werkstückes sowie Behandlungskammer hierfür
DE4025396A1 (de) 1990-08-10 1992-02-13 Leybold Ag Einrichtung fuer die herstellung eines plasmas
JP2987663B2 (ja) 1992-03-10 1999-12-06 株式会社日立製作所 基板処理装置
US5248371A (en) 1992-08-13 1993-09-28 General Signal Corporation Hollow-anode glow discharge apparatus
US5487785A (en) 1993-03-26 1996-01-30 Tokyo Electron Kabushiki Kaisha Plasma treatment apparatus
US5891350A (en) 1994-12-15 1999-04-06 Applied Materials, Inc. Adjusting DC bias voltage in plasma chambers
EP0756309A1 (en) 1995-07-26 1997-01-29 Applied Materials, Inc. Plasma systems for processing substrates
US5614026A (en) 1996-03-29 1997-03-25 Lam Research Corporation Showerhead for uniform distribution of process gas
US5976261A (en) 1996-07-11 1999-11-02 Cvc Products, Inc. Multi-zone gas injection apparatus and method for microelectronics manufacturing equipment
JP3317209B2 (ja) 1997-08-12 2002-08-26 東京エレクトロンエイ・ティー株式会社 プラズマ処理装置及びプラズマ処理方法
US6074488A (en) * 1997-09-16 2000-06-13 Applied Materials, Inc Plasma chamber support having an electrically coupled collar ring
US6537418B1 (en) 1997-09-19 2003-03-25 Siemens Aktiengesellschaft Spatially uniform gas supply and pump configuration for large wafer diameters
US6020458A (en) * 1997-10-24 2000-02-01 Quester Technology, Inc. Precursors for making low dielectric constant materials with improved thermal stability
KR100505310B1 (ko) 1998-05-13 2005-08-04 동경 엘렉트론 주식회사 성막 장치 및 방법
US6565661B1 (en) 1999-06-04 2003-05-20 Simplus Systems Corporation High flow conductance and high thermal conductance showerhead system and method
US6245192B1 (en) 1999-06-30 2001-06-12 Lam Research Corporation Gas distribution apparatus for semiconductor processing
KR100750420B1 (ko) 1999-08-17 2007-08-21 동경 엘렉트론 주식회사 플라즈마 보조 처리 실행 방법 및 플라즈마 보조 처리실행 리액터
JP4487338B2 (ja) 1999-08-31 2010-06-23 東京エレクトロン株式会社 成膜処理装置及び成膜処理方法
US6350317B1 (en) * 1999-12-30 2002-02-26 Lam Research Corporation Linear drive system for use in a plasma processing system
US6261408B1 (en) * 2000-02-16 2001-07-17 Applied Materials, Inc. Method and apparatus for semiconductor processing chamber pressure control
JP3578398B2 (ja) 2000-06-22 2004-10-20 古河スカイ株式会社 成膜用ガス分散プレート及びその製造方法
AU2001288225A1 (en) 2000-07-24 2002-02-05 The University Of Maryland College Park Spatially programmable microelectronics process equipment using segmented gas injection showerhead with exhaust gas recirculation
KR100516844B1 (ko) 2001-01-22 2005-09-26 동경 엘렉트론 주식회사 처리 장치 및 처리 방법
US6886491B2 (en) 2001-03-19 2005-05-03 Apex Co. Ltd. Plasma chemical vapor deposition apparatus
US20030000924A1 (en) 2001-06-29 2003-01-02 Tokyo Electron Limited Apparatus and method of gas injection sequencing
TW200626020A (en) 2001-12-13 2006-07-16 Tokyo Electron Ltd Ring mechanism, and plasma processor using the ring mechanism
US20040025791A1 (en) * 2002-08-09 2004-02-12 Applied Materials, Inc. Etch chamber with dual frequency biasing sources and a single frequency plasma generating source
KR100465877B1 (ko) 2002-08-23 2005-01-13 삼성전자주식회사 반도체 식각 장치
US6963043B2 (en) 2002-08-28 2005-11-08 Tokyo Electron Limited Asymmetrical focus ring
US6821563B2 (en) 2002-10-02 2004-11-23 Applied Materials, Inc. Gas distribution system for cyclical layer deposition
US20040082251A1 (en) 2002-10-29 2004-04-29 Applied Materials, Inc. Apparatus for adjustable gas distribution for semiconductor substrate processing
TW589396B (en) 2003-01-07 2004-06-01 Arima Optoelectronics Corp Chemical vapor deposition reactor
US7316761B2 (en) * 2003-02-03 2008-01-08 Applied Materials, Inc. Apparatus for uniformly etching a dielectric layer
WO2004088729A1 (en) * 2003-03-26 2004-10-14 Tokyo Electron Limited Chemical processing system and method
US7601223B2 (en) 2003-04-29 2009-10-13 Asm International N.V. Showerhead assembly and ALD methods
US7976673B2 (en) * 2003-05-06 2011-07-12 Lam Research Corporation RF pulsing of a narrow gap capacitively coupled reactor
US7408225B2 (en) * 2003-10-09 2008-08-05 Asm Japan K.K. Apparatus and method for forming thin film using upstream and downstream exhaust mechanisms
US20050103265A1 (en) 2003-11-19 2005-05-19 Applied Materials, Inc., A Delaware Corporation Gas distribution showerhead featuring exhaust apertures
US20050230350A1 (en) 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US7273526B2 (en) 2004-04-15 2007-09-25 Asm Japan K.K. Thin-film deposition apparatus
US7785672B2 (en) 2004-04-20 2010-08-31 Applied Materials, Inc. Method of controlling the film properties of PECVD-deposited thin films
US7845309B2 (en) 2004-07-13 2010-12-07 Nordson Corporation Ultra high speed uniform plasma processing system
JP4550507B2 (ja) * 2004-07-26 2010-09-22 株式会社日立ハイテクノロジーズ プラズマ処理装置
US7381291B2 (en) 2004-07-29 2008-06-03 Asm Japan K.K. Dual-chamber plasma processing apparatus
US7552521B2 (en) 2004-12-08 2009-06-30 Tokyo Electron Limited Method and apparatus for improved baffle plate
US7608549B2 (en) 2005-03-15 2009-10-27 Asm America, Inc. Method of forming non-conformal layers
US8366829B2 (en) * 2005-08-05 2013-02-05 Advanced Micro-Fabrication Equipment, Inc. Asia Multi-station decoupled reactive ion etch chamber
US20070042131A1 (en) * 2005-08-22 2007-02-22 Applied Materials, Inc., A Delaware Corporation Non-intrusive plasma monitoring system for arc detection and prevention for blanket CVD films
JP2007088199A (ja) * 2005-09-22 2007-04-05 Canon Inc 処理装置
US7718030B2 (en) 2005-09-23 2010-05-18 Tokyo Electron Limited Method and system for controlling radical distribution
KR100752622B1 (ko) * 2006-02-17 2007-08-30 한양대학교 산학협력단 원거리 플라즈마 발생장치
US7794546B2 (en) 2006-03-08 2010-09-14 Tokyo Electron Limited Sealing device and method for a processing system
US7837826B2 (en) 2006-07-18 2010-11-23 Lam Research Corporation Hybrid RF capacitively and inductively coupled plasma source using multifrequency RF powers and methods of use thereof
WO2008016836A2 (en) 2006-07-29 2008-02-07 Lotus Applied Technology, Llc Radical-enhanced atomic layer deposition system and method
US7416677B2 (en) 2006-08-11 2008-08-26 Tokyo Electron Limited Exhaust assembly for plasma processing system and method
US7909961B2 (en) * 2006-10-30 2011-03-22 Applied Materials, Inc. Method and apparatus for photomask plasma etching
US8262847B2 (en) 2006-12-29 2012-09-11 Lam Research Corporation Plasma-enhanced substrate processing method and apparatus
US7789961B2 (en) 2007-01-08 2010-09-07 Eastman Kodak Company Delivery device comprising gas diffuser for thin film deposition
US8123902B2 (en) * 2007-03-21 2012-02-28 Applied Materials, Inc. Gas flow diffuser
JP5179476B2 (ja) * 2007-04-17 2013-04-10 株式会社アルバック 成膜装置
US8216419B2 (en) 2008-03-28 2012-07-10 Bridgelux, Inc. Drilled CVD shower head
KR100888652B1 (ko) 2007-08-14 2009-03-13 세메스 주식회사 배기 가능한 플라즈마 발생 장치 및 이를 구비하는 상압플라즈마 장치
WO2009042137A2 (en) 2007-09-25 2009-04-02 Lam Research Corporation Temperature control modules for showerhead electrode assemblies for plasma processing apparatuses
US8211231B2 (en) 2007-09-26 2012-07-03 Eastman Kodak Company Delivery device for deposition
US8282735B2 (en) 2007-11-27 2012-10-09 Asm Genitech Korea Ltd. Atomic layer deposition apparatus
US8075728B2 (en) 2008-02-28 2011-12-13 Applied Materials, Inc. Gas flow equalizer plate suitable for use in a substrate process chamber
US8066895B2 (en) * 2008-02-28 2011-11-29 Applied Materials, Inc. Method to control uniformity using tri-zone showerhead
JP5202050B2 (ja) 2008-03-14 2013-06-05 東京エレクトロン株式会社 シャワーヘッド及び基板処理装置
JP5179389B2 (ja) 2008-03-19 2013-04-10 東京エレクトロン株式会社 シャワーヘッド及び基板処理装置
ATE504076T1 (de) 2008-03-20 2011-04-15 Univ Ruhr Bochum Verfahren zur steuerung der ionenenergie in radiofrequenzplasmen
KR100982842B1 (ko) 2008-04-25 2010-09-16 주식회사 케이씨텍 원자층 증착 장치
JP5102706B2 (ja) 2008-06-23 2012-12-19 東京エレクトロン株式会社 バッフル板及び基板処理装置
KR100978859B1 (ko) * 2008-07-11 2010-08-31 피에스케이 주식회사 할로우 캐소드 플라즈마 발생장치 및 할로우 캐소드플라즈마를 이용한 대면적 기판 처리장치
US8540844B2 (en) 2008-12-19 2013-09-24 Lam Research Corporation Plasma confinement structures in plasma processing systems
US8293013B2 (en) 2008-12-30 2012-10-23 Intermolecular, Inc. Dual path gas distribution device
US9728429B2 (en) 2010-07-27 2017-08-08 Lam Research Corporation Parasitic plasma prevention in plasma processing chambers
US9184028B2 (en) 2010-08-04 2015-11-10 Lam Research Corporation Dual plasma volume processing apparatus for neutral/ion flux control
US8869742B2 (en) 2010-08-04 2014-10-28 Lam Research Corporation Plasma processing chamber with dual axial gas injection and exhaust

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH09291366A (ja) * 1996-04-26 1997-11-11 Tokyo Electron Ltd プラズマ処理方法及びプラズマ処理装置
JP2007067005A (ja) * 2005-08-29 2007-03-15 Tosoh Quartz Corp 開口体及びその製造方法
JP2010512031A (ja) * 2006-12-05 2010-04-15 アプライド マテリアルズ インコーポレイテッド チャンバ中央のガス分配プレート、同調型プラズマ流制御グリッド及び電極

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20210018645A (ko) * 2019-08-07 2021-02-18 세메스 주식회사 기판 처리 장치 및 기판 처리 방법
KR20220070800A (ko) * 2020-11-23 2022-05-31 세메스 주식회사 전극 제어 유닛 및 이를 구비하는 기판 처리 장치

Also Published As

Publication number Publication date
TWI605495B (zh) 2017-11-11
TW201222635A (en) 2012-06-01
CN103053011B (zh) 2016-03-23
SG187610A1 (en) 2013-03-28
CN103053011A (zh) 2013-04-17
SG10201505975QA (en) 2015-09-29
US20120031559A1 (en) 2012-02-09
JP6110540B2 (ja) 2017-04-05
JP2013541177A (ja) 2013-11-07
US20160079039A1 (en) 2016-03-17
JP5913312B2 (ja) 2016-04-27
CN105719932A (zh) 2016-06-29
KR101911562B1 (ko) 2019-01-04
US9184028B2 (en) 2015-11-10
WO2012018449A3 (en) 2012-04-12
KR20130136962A (ko) 2013-12-13
WO2012018449A2 (en) 2012-02-09
CN105719932B (zh) 2018-01-02
KR101998542B1 (ko) 2019-07-09
JP2016167606A (ja) 2016-09-15

Similar Documents

Publication Publication Date Title
KR101998542B1 (ko) 중성입자/이온 플럭스 제어를 위한 듀얼 플라즈마 볼륨 프로세싱 장치
JP7393501B2 (ja) 前駆体の流れを改善する半導体処理チャンバ
US9793128B2 (en) Plasma processing chamber with dual axial gas injection and exhaust
KR102422656B1 (ko) 프로세스 균일성을 증대하기 위한 방법 및 시스템
KR20230054344A (ko) 다수의 프리커서 유동을 위한 반도체 처리 챔버
US20220148894A1 (en) Multi-zone semiconductor substrate supports
US20220293453A1 (en) Multi-zone semiconductor substrate supports

Legal Events

Date Code Title Description
A107 Divisional application of patent
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant