KR102470174B1 - 리모트 플라즈마 막 증착을 인에이블하도록 다운스트림 챔버로 라디칼 및 전구체 가스를 전달하기 위한 열적 제어부와 통합된 샤워헤드 - Google Patents

리모트 플라즈마 막 증착을 인에이블하도록 다운스트림 챔버로 라디칼 및 전구체 가스를 전달하기 위한 열적 제어부와 통합된 샤워헤드 Download PDF

Info

Publication number
KR102470174B1
KR102470174B1 KR1020197018989A KR20197018989A KR102470174B1 KR 102470174 B1 KR102470174 B1 KR 102470174B1 KR 1020197018989 A KR1020197018989 A KR 1020197018989A KR 20197018989 A KR20197018989 A KR 20197018989A KR 102470174 B1 KR102470174 B1 KR 102470174B1
Authority
KR
South Korea
Prior art keywords
showerhead
plenum
chamber
flow channels
heat transfer
Prior art date
Application number
KR1020197018989A
Other languages
English (en)
Other versions
KR20190087608A (ko
Inventor
레이첼 바처
화탄 큐이
바드리 바라다라잔
패트릭 지라드 브레이링
보 공
윌 슐로서
쩌 구이
타이드 탄
제프리 혼
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Priority to KR1020227040495A priority Critical patent/KR20220158875A/ko
Publication of KR20190087608A publication Critical patent/KR20190087608A/ko
Application granted granted Critical
Publication of KR102470174B1 publication Critical patent/KR102470174B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67075Apparatus for fluid treatment for etching for wet etching
    • H01L21/6708Apparatus for fluid treatment for etching for wet etching using mainly spraying means, e.g. nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45572Cooled nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/02Details
    • H01J37/20Means for supporting or positioning the objects or the material; Means for adjusting diaphragms or lenses associated with the support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32422Arrangement for selecting ions or species in the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32522Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68721Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge clamping, e.g. clamping ring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68735Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge profile or support profile
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68757Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a coating or a hardness or a material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68785Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the mechanical construction of the susceptor, stage or support
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05CAPPARATUS FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05C13/00Means for manipulating or holding work, e.g. for separate articles
    • B05C13/02Means for manipulating or holding work, e.g. for separate articles for particular articles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4581Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber characterised by material of construction or surface finish of the means for supporting the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • H01J37/32642Focus rings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/6715Apparatus for applying a liquid, a resin, an ink or the like

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Plasma Technology (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

기판 프로세싱 시스템은 기판 지지부를 포함하는 제 1 챔버를 포함한다. 샤워헤드가 제 1 챔버 위에 배치되고 이온들을 필터링하고 플라즈마 소스로부터 제 1 챔버로 라디칼들을 전달하도록 구성된다. 샤워헤드는 열 전달 유체를 수용하기 위한 유입구 및 샤워헤드의 온도를 제어하기 위해 유출구로 샤워헤드의 중앙 부분을 통해 열 전달 유체를 지향시키기 위한 복수의 플로우 채널들을 포함하는 열 전달 유체 플레넘, 보조 가스를 수용하기 위한 유입구 및 제 1 챔버 내로 보조 가스를 주입하기 위한 복수의 보조 가스 주입기들을 포함하는 보조 가스 플레넘, 및 샤워헤드를 통과하는 복수의 쓰루홀들을 포함한다. 쓰루홀들은 열 전달 유체 플레넘 또는 보조 가스 플레넘과 유체로 연통하지 않는다.

Description

리모트 플라즈마 막 증착을 인에이블하도록 다운스트림 챔버로 라디칼 및 전구체 가스를 전달하기 위한 열적 제어부와 통합된 샤워헤드
관련 출원들에 대한 교차 참조
본 출원은 2016 년 12월 14일 출원된 미국 실용신안 출원 제 15/378,854 호의 우선권을 주장한다. 상기 참조된 출원의 전체 개시는 참조로서 본 명세서에 인용된다.
본 개시는 기판 프로세싱 시스템들, 보다 구체적으로 다운스트림 챔버로 라디칼들 및 전구체 가스를 전달하는 샤워헤드들을 포함하는 기판 프로세싱 시스템들에 관한 것이다.
본 명세서에 제공된 배경기술 설명은 일반적으로 본 개시의 맥락을 제공하기 위한 것이다. 본 발명자들의 성과로서 본 배경기술 섹션에 기술되는 정도의 성과 및 출원시 종래 기술로서 인정되지 않을 수도 있는 기술의 양태들은 본 개시에 대한 종래 기술로서 명시적으로나 암시적으로 인정되지 않는다.
기판 프로세싱 시스템들은 반도체 웨이퍼와 같은 기판 상에 막을 증착하도록 사용될 수도 있다. 기판 프로세싱 시스템들은 통상적으로 프로세싱 챔버 및 기판 지지부를 포함한다. 막 증착 동안, 라디칼들 및 전구체 가스는 프로세싱 챔버로 공급될 수도 있다.
예를 들어, 프로세싱 챔버는 상부 챔버, 하부 챔버 및 기판 지지부를 포함할 수도 있다. 샤워헤드가 상부 챔버와 하부 챔버 사이에 배치될 수도 있다. 기판은 하부 챔버 내 기판 지지부 상에 배치된다. 플라즈마 가스 혼합물이 상부 챔버로 공급되고 플라즈마가 상부 챔버 내에서 스트라이킹된다. 플라즈마에 의해 생성된 라디칼들 중 일부는 샤워헤드를 통해 하부 챔버로 흐른다. 샤워헤드는 이온들을 필터링하고 UV 광이 하부 챔버에 도달하는 것을 차폐한다. 전구체 가스 혼합물이 샤워헤드를 통해 하부 챔버로 공급되고 기판 상에 막을 증착하기 위해 라디칼들과 반응한다.
통상적으로, 샤워헤드는 열적 제어 시스템을 갖지 않는다. 그러나, 일부 프로세싱 시스템들에서, 액세스가능하고 진공 하에 있지 않은 샤워헤드의 외측 에지의 온도를 제어하기 위한 기본적인 열적 제어 시스템이 사용된다. 기본적인 열적 제어 시스템은 플라즈마로부터의 열로 인해 샤워헤드에 걸쳐 온도를 균일하게 제어하지 않는다. 즉, 샤워헤드의 중앙의 온도는 상승한다. 온도 변화들이 또한 플라즈마 온/오프, 압력, 플로우 레이트, 및/또는 페데스탈 온도와 같은 프로세스 변화들과 함께 발생한다. 샤워헤드의 온도의 변동들은 증착 프로세스의 균일도에 부정적으로 영향을 주고 성능을 손상시킨다.
기판 프로세싱 시스템은 기판 지지부를 포함하는 제 1 챔버를 포함한다. 샤워헤드가 제 1 챔버 위에 배치되고 이온들을 필터링하고 플라즈마 소스로부터 제 1 챔버로 라디칼들을 전달하도록 구성된다. 샤워헤드는 열 전달 유체를 수용하기 위한 유입구 및 샤워헤드의 온도를 제어하기 위해 유출구로 샤워헤드의 중앙 부분을 통해 열 전달 유체를 지향시키기 위한 복수의 플로우 채널들을 포함하는 열 전달 유체 플레넘, 보조 가스를 수용하기 위한 유입구 및 제 1 챔버 내로 보조 가스를 주입하기 위한 복수의 보조 가스 주입기들을 포함하는 보조 가스 플레넘, 및 샤워헤드를 통과하는 복수의 쓰루홀들을 포함한다. 쓰루홀들은 열 전달 유체 플레넘 또는 보조 가스 플레넘과 유체로 연통하지 않는다.
다른 특징들에서, 열 전달 유체 플레넘은 유입구와 유체로 연통하는 제 1 플레넘을 포함한다. 플로우 채널들의 제 1 단부들은 제 1 플레넘과 연통한다. 제 2 플레넘은 플로우 채널들의 대향하는 단부들과 유체로 연통한다.
다른 특징들에서, 열 전달 유체 플레넘은 유입구와 유체로 연통하는 제 1 플레넘, 플로우 채널들의 제 1 단부들과 유체로 연통하는 제 2 플레넘, 제 1 플레넘과 제 2 플레넘 사이의 유체 플로우를 제한하기 위해 그 사이에 배치된 제 1 복수의 제한부들, 플로우 채널들의 대향하는 단부들과 유체로 연통하는 제 3 플레넘, 유출구와 유체로 연통하는 제 4 플레넘; 및 제 3 플레넘과 제 4 플레넘 사이의 유체 플로우를 제한하기 위해 그 사이에 배치된 제 2 복수의 제한부들을 포함한다.
다른 특징들에서, 복수의 플로우 채널들은 샤워헤드의 일 측면으로부터 샤워헤드의 대향하는 측면으로 방사상 방향으로 흐른다. 복수의 플로우 채널들은 직선 경로를 규정한다. 복수의 플로우 채널들은 커브된 경로를 규정한다. 복수의 플로우 채널들은 사인 형상 경로를 규정한다.
다른 특징들에서, 보조 가스 플레넘은 제 1 플레넘, 제 2 플레넘, 및 제 1 플레넘과 제 2 플레넘 사이에 배치된 플로우 제한부를 포함한다.
다른 특징들에서, 플로우 제한부는 제 1 복수의 벽들, 및 제 1 복수의 벽들 사이에 규정된 복수의 슬롯들을 포함한다. 제 1 복수의 벽들은 아치 형상이다. 제 2 복수의 벽들은 제 2 플레넘의 쓰루홀들 둘레에 배치된다. 제 2 복수의 벽들은 실린더 형상이다.
다른 특징들에서, 보조 가스 주입기들은 제 2 플레넘과 유체로 연통한다. 복수의 제한부들이 제 2 플레넘과 보조 가스 주입기들 사이에 배치된다.
다른 특징들에서, 복수의 플로우 채널들은 유입구들 및 유출구들을 포함한다. 복수의 플로우 채널들의 유입구들은 샤워헤드의 일 측면 상에 배치되고, 복수의 플로우 채널들의 유출구들은 유입구들 사이의 일 측면 상에 배치되고, 그리고 복수의 플로우 채널들은 유입구들에 연결되고, 샤워헤드를 가로질러 이동하고 샤워헤드를 가로질러 유출구들로 돌아간다.
다른 특징들에서, 제 2 챔버가 제 1 챔버 위에 배치된다. 샤워헤드는 제 1 챔버와 제 2 챔버 사이에 배치된다. 코일이 제 2 챔버 둘레에 배치된다. RF 생성기는 제 2 챔버 내에서 플라즈마를 생성하기 위해 코일에 연결된다.
다른 특징들에서, 플로우 채널들 중 적어도 하나는 플로우 제한부를 포함한다. 열 전달 유체는 액체를 포함한다. 열 전달 유체는 가스를 포함한다. 열 전달 유체는 제 1 챔버 내로 흐르지 않는다.
다른 특징들에서, 보조 가스 주입기들은 샤워헤드의 하단 표면으로부터 미리 결정된 거리 연장하고, 미리 결정된 거리는 0.1”내지 1.5”의 범위 내이다. 쓰루홀들은 0.05”내지 0.3”의 범위 내인 직경을 갖는다.
다른 특징들에서, 샤워헤드는 샤워헤드의 하단 표면으로부터 연장하고 복수의 쓰루홀들 및 복수의 보조 가스 주입기들의 방사상 외측에 위치되는 실린더형 벽을 포함한다. 샤워헤드는 샤워헤드의 상단 표면으로부터 상향으로 연장하고 복수의 쓰루홀들 및 복수의 보조 가스 주입기들의 방사상 외측에 위치되는 실린더형 벽을 포함한다.
다른 특징들에서, 제 1 O-링이 샤워헤드의 상단 표면과 상부 챔버 사이에 배치되고, 제 2 O-링이 샤워헤드의 하단 표면과 하단 챔버 사이에 배치된다.
본 개시의 추가 적용가능 영역들은 상세한 기술, 청구항들 및 도면들로부터 명백해질 것이다. 상세한 기술 및 구체적인 예들은 단지 예시를 목적으로 의도되고, 본 개시의 범위를 제한하는 것으로 의도되지 않았다.
본 개시는 상세한 기술 및 첨부된 도면들로부터 보다 완전히 이해될 것이다.
도 1은 본 개시에 따른 샤워헤드를 포함하는 기판 프로세싱 챔버의 예의 기능적 블록도이다.
도 2a는 본 개시에 따른 샤워헤드의 예의 하단 사시도이다.
도 2b는 본 개시에 따른 O-링을 수용하기 위한 홈부를 예시하는 측단면도이다.
도 3은 본 개시에 따른 샤워헤드의 예의 상단 사시도이다.
도 4a는 본 개시에 따른 샤워헤드의 예의 하단 표면을 예시하는 평면도이다.
도 4b는 본 개시에 따른 보조 가스 주입기 둘레에 배치된 복수의 쓰루홀들의 예를 예시하는 평면도이다.
도 4c는 본 개시에 따른 보조 가스 주입기 둘레에 배치된 복수의 쓰루홀들의 또 다른 예를 예시하는 평면도이다.
도 5a는 본 개시에 따른 샤워헤드의 예의 측단면도이다.
도 5b는 복수의 인접한 층들에 의해 형성된 샤워헤드를 도시하는 예의 측단면도이다.
도 6은 본 개시에 따른 샤워헤드의 또 다른 예의 확대된 측단면도이다.
도 7은 본 개시에 따른 도 6의 샤워헤드의 측단면도이다.
도 8a는 본 개시에 따른 하향으로 돌출하는 벽을 포함하는 샤워헤드의 또 다른 예의 확대된 측단면도이다.
도 8b는 본 개시에 따른 상향으로 돌출하는 벽을 포함하는 샤워헤드의 또 다른 예의 확대된 측단면도이다.
도 9는 본 개시에 따른 샤워헤드의 중간 층의 상단 표면의 예의 평면도이다.
도 10은 본 개시에 따른 채널을 통한 유체의 플로우를 제한하기 위한 제한부를 갖는 채널의 예를 예시한다.
도 11은 본 개시에 따른 샤워헤드의 중간 층의 하단 표면의 예의 평면도이다.
도 12는 본 개시에 따른 샤워헤드의 일 에지를 따라 배치된 교번하는 열 전달 유체 유입구 및 유출구 쌍들을 포함하는 샤워헤드의 중간 층의 상단 표면의 또 다른 예의 평면도이다.
도 13은 본 개시에 따른 도 12의 샤워헤드의 중간 층의 하단 표면의 평면도이다.
도 14는 도 12 및 도 13의 샤워헤드의 측단면도이다.
도면들에서, 참조 번호들은 유사한 그리고/또는 동일한 엘리먼트들을 식별하도록 재사용될 수도 있다.
본 개시는 균일한 라디칼들을 전달하고 리모트 플라즈마 소스로부터 이온들을 필터링하는 통합된, 플러시-마운트된 (flush-mount) 샤워헤드를 포함하는 기판 프로세싱 시스템에 관한 것이다. 샤워헤드는 균일하고 제어된 온도를 유지하기 위해 샤워헤드의 중앙 부분을 통해 채널들로 열 전달 유체를 공급함으로써 균일한 온도 제어를 제공한다. 샤워헤드는 또한 기판을 포함하는 챔버로 균일한 전구체 가스 플로우 전달을 공급한다. 일부 예들에서, 다른 타입들의 막이 증착될 수 있지만, 기판 프로세싱 시스템은 컨포멀한 (conformal) 카바이드 막들을 증착하도록 사용될 수 있다.
이제 도 1을 참조하면, 기판 프로세싱 시스템 (10) 은 상부 챔버 (20) 및 하부 챔버 (30) 를 포함한다. 특정한 타입의 기판 프로세싱 시스템이 도시되고 기술되지만, 다른 타입들이 사용될 수도 있다. 유도성 커플링된 플라즈마가 도시되지만, 용량성 커플링된 플라즈마, 리모트 플라즈마 소스들, 또는 다른 적합한 플라즈마 생성기들과 같은 다른 타입들의 플라즈마 생성이 사용될 수도 있다.
일부 예들에서, 상부 챔버 (20) 는 돔 형상 챔버를 포함할 수도 있지만, 다른 챔버 형상들이 사용될 수 있다. 기판 지지부 (34) 가 하부 챔버 (30) 내에 배치된다. 기판 (36) 이 기판 처리 동안 기판 지지부 (34) 상에 배치된다. 샤워헤드 (40) 가 상부 챔버 (20) 와 하부 챔버 (30) 사이에 배치된다. 유도성 코일들 (42) 은 상부 챔버 (20) 둘레에 배치될 수도 있다.
가스 전달 시스템 (50-1) 이 플라즈마 가스를 포함하는 프로세스 가스 혼합물을 상부 챔버 (20) 로 공급하기 위해 사용될 수도 있다. 가스 전달 시스템 (50-1) 은 하나 이상의 가스 소스들 (52-1, 52-2, ..., 및 52-N), 밸브들 (54-1, ..., 및 54-N), MFC (mass flow controllers) (56-1, ..., 및 56-N), 및 매니폴드 (58) 를 포함하지만, 다른 타입들의 가스 전달 시스템들이 사용될 수 있다 (여기서 N은 정수이다). 가스 전달 시스템 (50-2) 이 전구체 가스를 포함하는 프로세스 가스 혼합물을 샤워헤드 (40) 로 전달한다.
RF 플라즈마 생성기 (66) 가 RF 소스 (70) 및 매칭 네트워크 (72) 를 포함한다. RF 플라즈마 생성기 (66) 는 상부 챔버 (20) 내에서 플라즈마 (62) 를 생성하기 위해 (플라즈마 가스가 공급되는 동안) 유도성 코일 (42) 로 RF 전력을 선택적으로 공급한다.
열적 제어 시스템 (86) 은 샤워헤드 (40) 의 온도를 제어하기 위해 샤워헤드 (40) 로 가스 또는 액체 냉각제와 같은 열 전달 유체를 공급하도록 사용될 수도 있다. 밸브 (88) 및 펌프 (90) 가 반응물질들을 배기하도록 사용될 수도 있다.
제어기 (94) 가 상부 챔버 (20) 및 샤워헤드 (40) 로 필요에 따라 프로세스 가스들을 선택적으로 공급하기 위해 가스 전달 시스템들 (50-1 및 50-2) 과 통신한다. 제어기 (94) 는 상부 챔버 (20) 에서 플라즈마를 생성하고 소화하기 위해 RF 플라즈마 생성기 (66) 와 통신한다.
제어기 (94) 는 샤워헤드 (40) 의 온도를 제어하기 위해 사용되는 열 전달 유체의 플로우 레이트 및 온도를 제어하기 위해 열적 제어 시스템 (86) 과 통신한다. 일부 예들에서, 열 전달 유체는 물, 에틸렌 글리콜과 혼합된 물, 퍼플루오로폴리에테르 플루오르화된 유체 (perfluoropolyether fluorinated fluid) 또는 다른 유체 및/또는 하나 이상의 가스들을 포함할 수도 있다. 일부 예들에서, 열적 제어 시스템 (86) 은 폐루프 제어부를 사용하여 열 전달 유체의 플로우 레이트 또는 온도를 제어한다. 다른 예들에서, 열적 제어 시스템 (86) 은 PID (proportional integral derivative) 제어부를 사용하여 플로우 레이트 및 온도를 제어한다. 열 전달 유체는 건물 물 순환 시스템으로부터 개루프 시스템에 제공될 수도 있다. 일부 예들에서, 열 전달 유체는 진공 챔버로부터 기밀 시일링된다.
일부 예들에서, 제어기 (94) 는 샤워헤드 (40) 의 하나 이상의 온도들을 센싱하기 위해 샤워헤드 (40) 내에 배치된 하나 이상의 온도 센서들 (미도시) 에 연결될 수도 있다. 일부 예들에서, 제어기 (94) 는 프로세싱 챔버 내 하나 이상의 압력들을 센싱하기 위해 샤워헤드 (40) 내에 배치된 하나 이상의 압력 센서들 (미도시) 에 연결될 수도 있다. 제어기 (94) 는 상부 챔버 및 하부 챔버 (20, 30) 내 압력을 제어하고 챔버들로부터 반응물질들을 선택적으로 배기하기 위해 밸브 (88) 및 펌프 (90) 와 통신한다.
이제 도 2a 내지 도 3을 참조하면, 샤워헤드 (40) 의 상단 표면 (102), 하단 표면 (104) 및 측표면 (108) 이 도시된다. 도 2a에서, 샤워헤드 (40) 는 샤워헤드의 축방향으로 중앙 부분 또는 중심에서 샤워헤드 (40) 의 상단 표면 (102) 으로부터 샤워헤드 (40) 의 하단 표면 (104) 으로 통과하는 복수의 이격된 쓰루홀들 (110) 을 포함한다. 일부 예들에서, 도 2b에 도시된 바와 같이 O-링 (111) 이 샤워헤드 (40) 의 하단 표면 (104) 과 하부 챔버 (30) 사이에 위치될 수도 있다. O-링 (111) 을 포지셔닝하기 위해 홈부 (113) 가 샤워헤드 (40) 및 하부 챔버 (30) 중 하나 또는 모두에 위치될 수도 있다.
복수의 보조 가스 주입기들 (112) 이 샤워헤드 (40) 로부터 전구체 가스와 같은 보조 가스를 공급한다. 일부 예들에서, 보조 가스 주입기들 (112) 은 샤워헤드 (40) 의 중앙 부분에서 샤워헤드 (40) 의 하단 표면 (104) 으로부터 하향으로 연장한다. 일부 예들에서, 보조 가스 주입기들 (112) 은 일 보조 가스 주입기로부터 또 다른 보조 가스 주입기로 역-확산을 방지하고 균일한 가스 플로우를 형성하도록 하단 표면 (104) 상에 제한부 (미도시) 를 포함한다. 제한부는 초크된 (choked) 플로우 조건들을 유발할 수도 있다.
도 3에서, 샤워헤드 (40) 는 유입구 및 유출구로서 작용하도록 열적 유체 포트들 (120, 122) 의 쌍들을 포함한다. 샤워헤드 (40) 는 보다 많은 쌍들의 포드들을 갖는 2 이상의 열적 유체 플레넘을 포함할 수도 있다. 누설 수집 트레이 (128) 는 열적 유체 포트들 (120, 122) 중 하나 또는 모두의 둘레에 배치될 수도 있다. 누설 수집 트레이 (128) 는 상부 챔버 및 하부 챔버의 외측에 배치될 수도 있다. 누설 수집 트레이 (128) 는 누설 검출을 허용한다. 일부 예들에서, O-링 (115) 은 샤워헤드 (40) 의 상단 표면 (102) 과 상부 챔버 (20) 사이에 위치될 수도 있다. 홈부는 도 2b에 도시된 바와 유사한 방식으로 O-링 (111) 을 포지셔닝하기 위해 샤워헤드 (40) 및 상부 챔버 (20) 중 하나 또는 모두 상에 위치될 수도 있다.
이제 도 4a를 참조하면, 샤워헤드 (40) 의 쓰루홀들 (110) 및 보조 가스 주입기들 (112) 은 다양한 패턴들로 배치될 수도 있다. 예를 들어, 도 4a에 도시된 샤워헤드 (40) 쓰루홀들 (110) 및 보조 가스 주입기들 (112) 은 오프셋된 삼각형 패턴 T을 가질 수도 있다. 대안적인 패턴들은 직사각형 패턴, 방사상 패턴, 육각형 패턴 또는 나선형 패턴을 포함하지만, 다른 패턴들이 사용될 수 있다. 일부 예들에서, 보조 가스 주입기들 (112) 의 간격은 0.25”내지 2”의 범위 내이다. 일부 예들에서, 쓰루홀들 (110) 은 보조 가스 주입기들과 동일한 간격을 가질 수도 있지만, 도 4b 및 도 4c에 도시된 바와 같이 상이한 간격이 사용될 수도 있다.
일부 예들에서, 쓰루홀들 (110) 은 도 4b 및 도 4c의 예들에 도시된 바와 같이 보조 가스 주입기 (112) 각각의 둘레에 클러스터링되는 복수의 보다 작은 쓰루홀들을 포함할 수도 있다. 보조 가스 주입기들 (112) 둘레의 쓰루홀들 (110) 의 배열은 도 4b에 도시된 바와 같이 균일할 수 있고 또는 도 4c에 도시된 바와 같이 불균일할 수 있다. 일부 예들에서, 쓰루홀 (110-R) 이 샤워헤드 (40) 의 중심에 가까운 보조 가스 주입기의 측면 상에 샤워헤드 (40) 의 방사상 라인 상에 위치된다.
이제 도 5a 내지 도 8b를 참조하면, 샤워헤드 (40) 의 측단면도들이 도시된다. 도 5a에서, 쓰루홀들 (110) 은 샤워헤드 (40) 의 상단 표면 (102) 으로부터 하단 표면 (104) 으로 통과한다. 하나 이상의 열 전달 유체 플레넘들 (140) 이 샤워헤드 (40) 의 상부 표면 (102) 으로부터 오프셋되지만 평행하고 쓰루홀들 (110) 에 수직인 하나 이상의 평면들에 위치된다. 하나 이상의 보조 가스 플레넘들 (150) 은 샤워헤드 (40) 의 하부 표면 (104) 으로부터 오프셋되지만 평행하고 쓰루홀들 (110) 에 수직인 하나 이상의 평면들 및 열 전달 유체 플레넘들 (140) 을 포함하는 하나 이상의 평면들에 위치된다. 도시된 구성은 보조 가스 전달부 위의 열 전달 유체 플레넘이다. 플레넘들은 보조 가스 플레넘이 열 전달 유체 플레넘 위에 있도록 역전될 수도 있다.
하나 이상의 열 전달 유체 플레넘들 (140) 이 열적 유체 포트들 (120, 122) 에 연결된다. 하나 이상의 보조 가스 플레넘들 (150) 은 보조 가스 유입구 (도 2a) 로부터 가스를 수용하고 보조 가스 주입기들 (112) 의 플로우 채널들 (152) 로 보조 가스 플로우를 공급한다.
일부 예들에서, 보조 가스 주입기들 (112) 은 샤워헤드 (40) 상의 막의 증착을 감소시키기 위해 샤워헤드 (40) 의 하단 표면으로부터 미리 결정된 거리 연장된다. 일부 예들에서, 미리 결정된 거리는 0.1”내지 1.5”의 범위 내이지만, 다른 거리들이 사용될 수 있다. 일부 예들에서, 보조 가스 주입기들 (112) 은 일 보조 가스 주입기로부터 다른 보조 가스 주입기로 역 확산을 방지하고 플로우 균일도를 보장하기 위한 제한부를 포함한다. 일부 예들에서, 쓰루홀들 (110) 은 0.05”내지 0.3”의 범위 내인 직경을 갖는다.
도 5b에서, 샤워헤드 (40) 는 서로 연결된 상단 층 (163), 중간 층 (165) 및 하단 층 (167) 을 포함하는 복수의 층들로 이루어질 수 있다. 보다 많은 층들이 부가적인 플레넘들을 생성하도록 추가될 수도 있다. 일부 예들에서, 샤워헤드 (40) 는 합리적인 가격으로 복잡하고 독특한 기하구조들을 인에이블하기 위해 진공 브레이징 (brazing), TIG (tungsten inert gas) 용접 (welding), 또는 전자 빔 용접을 사용하여 제작될 수 있다. 진공 브레이즈 결합 (joining) 은 샤워헤드로 하여금 플레이트 각각 사이에 브레이즈 층을 갖는 플레이트들 내로 컷팅된 홈부들을 갖는 편평한 플레이트들로 머시닝되게 한다. 용접 기법들은 시일링을 필요로 하는 모든 영역들에 액세스하기 위한 용접을 위해 보다 복잡한 서브-컴포넌트들을 필요로 한다. 포스트들 및 대응하는 홀들은 용접을 위해 액세스가능한 부분의 표면으로 시일링 영역을 상승시키도록 머시닝될 수도 있다.
일부 예들에서, 중간 층 (165) 의 상단 표면은 하나 이상의 열 전달 유체 플레넘들 (140) 을 규정하고, 중간 층 (165) 의 하단 표면은 하나 이상의 보조 가스 플레넘들 (150) 을 규정한다. 그러나, 상단 층 (163) 의 하단 표면은 하나 이상의 열 전달 유체 플레넘들 (140) 을 부분적으로 또는 완전히 규정하도록 사용될 수 있고 하단 층 (167) 의 상단 표면은 하나 이상의 보조 가스 플레넘들을 완전히 또는 부분적으로 규정하도록 사용될 수 있다.
일부 예들에서, 플레넘들 및 그 위 및 그 아래의 재료의 두께는 0.05”내지 0.25”이지만, 다른 두께들이 사용될 수 있다. 플레넘들 사이 및 플레넘들 위/아래의 재료의 두께는 제작을 위해 필요한 재료 두께 및 유체 압력을 지지하기 위해 필요한 강도로 결정된다. 열적 유체 플레넘 (140) 의 두께는 유체의 압력 강하를 감소시키도록 사이징될 수도 있다. 보조 가스 플레넘 (150) 은 주입기 (112) 각각으로 가스의 균일한 분배를 허용하게 충분히 크게 선택될 수도 있다. 층 각각의 두께는 쓰루홀들 (110) 의 라디칼들의 손실을 감소시키기 위해 전체 두께를 감소시키도록 최소화되어야 한다.
일부 예들에서, 상단 층 (163) 및 하단 층 (167) 의 두께는 0.075”내지 0.125”의 범위 내이지만, 다른 두께들이 사용될 수 있다. 일부 예들에서, 상단 층 (163) 및 하단 층 (167) 의 두께는 0.1”이지만, 다른 두께들이 사용될 수 있다. 일부 예들에서, 중간 층 (165) 의 두께는 0.4”내지 0.6”의 범위 내이지만, 다른 두께들이 사용될 수 있다다른 두께들이 사용될 수 있다. 일부 예들에서, 중간 층 (165) 의 두께는 0.5”이지만, 다른 두께들이 사용될 수 있다. 일부 예들에서, 샤워헤드의 두께는 1” 이하이다. 일부 예들에서, 샤워헤드의 두께는 0.7” 이하이다.
도 6 및 도 7에서, 누설 수집 트레이 (128) 가 도시된다. 누설 수집 트레이 (128) 는 열적 유체 포트들 (120, 122) 중 적어도 하나 둘레에 배치되는 리세스를 포함한다. 일부 예들에서, 리세스는 실린더 형상이지만, 다른 형상들이 사용될 수 있다.
도 8a에서, 일부 예들은 샤워헤드 (40) 의 방사상 외측 에지 (208) 로부터 기판 (36) (및 쓰루홀들 (110) 및 보조 가스 주입기들 (112) 의 방사상 외측) 을 향해 하향으로 연장하는 (거의 또는 방사상 내측으로 이격된) 실린더형 벽 (210) 을 포함한다. 실린더형 벽 (210) 은 샤워헤드 (40) 와 통합되거나 부착될 수도 있다. 실린더형 벽 (210) 은 기판에서 본 샤워헤드 (40) 와 챔버 벽 사이의 열적 균일도를 개선한다. 실린더형 벽 (210) 은 벽과 기판 지지부 (34) 사이에 플로우 제한부를 생성함으로써 배기 포트 펌핑 불균일도를 제어하기 위해 사용될 수도 있다. 일부 예들에서, 실린더형 벽 (210) 은 기판 지지부 (34) 의 상단 표면을 포함하는 평면 아래로 연장한다.
도 8b에서, 일부 예들은 샤워헤드 (40) 의 방사상 외측 에지 (208) (및 쓰루홀들 (110) 및 보조 가스 주입기들 (112) 의 방사상 외측) 로부터 상향으로 연장하는 (거의 또는 방사상 내측으로 이격된) 실린더형 벽 (211) 을 포함한다. 실린더형 벽 (211) 은 샤워헤드 (40) 의 상단 표면과 통합되거나 부착될 수도 있다. 실린더형 벽 (211) 은 라디칼 소스를 장착하기 (mounting) 위한 장착 표면을 제공한다.
이제 도 9 및 10을 참조하면, 하나 이상의 열 전달 유체 플레넘들 (140) 의 예시적인 배열이 도시된다. 도 9에서, 중간 층 (165) 의 상단 표면이 도시된다. 하나 이상의 열 전달 유체 플레넘들 (140) 은 제 1 플레넘 (156-1) 을 포함한다. 일부 예들에서, 제 1 플레넘 (156-1) 은 아치 형상을 갖지만, 다른 형상들이 사용될 수 있다. 일부 예들에서, 복수의 제한부들 (158-1) 는 제 1 플레넘 (156-1) 의 일 측면 상에서 서로 인접하게 배치된다. 복수의 제한부들 (158-1) 각각 사이의 간격은 제 1 플레넘 (156-1) 으로부터 제 2 플레넘 (156-2) 내로 플로우를 제한하고 분배하도록 선택된다. 일부 예들에서, 복수의 제한부들 (158-1) 각각은 라운드 형상, 타원 형상 또는 긴 타원 (oblong) 형상을 갖는 포스트를 포함하지만, 다른 형상들이 사용될 수 있다. 복수의 제한부들 (158-1) 은 플로우 채널들 (160) 사이에 보다 균일한 유체 플로우를 형성하고 제트 효과들 (jetting effects) 을 제거하도록 사용될 수도 있다. 대안적으로, 하나 이상의 플로우 채널들 (160) 이 도 10에 도시된 바와 같이 플로우를 제어하기 위한 제한부 (164) 를 포함할 수 있다. 플로우 채널들 (160) 이 제한부 (164) 를 포함한다면, 복수의 제한부들 (158-1) 은 생략될 수 있고 제 1 플레넘 및 제 2 플레넘 (156-1 및 156-2) 은 단일 플레넘일 수 있다.
제 2 플레넘 (156-2) 은 플로우 채널들 (160) 의 제 1 단부들 내로 개방된다. 일부 예들에서, 플로우 채널들 (160) 은 표면적을 증가시키기 위해 삼각형, 구형파 (square-wave), 커브형 또는 일반적으로 사인 형상을 갖는다. 플로우 채널들 (160) 의 제 2 단부들은 샤워헤드 (40) 의 대향하는 측면 상에 배치된 제 3 플레넘 (156-3) 에 연결된다. 복수의 제한부들 (158-2) 이 제 3 플레넘 (156-3) 의 일 측면 상에 배치된다. 복수의 제한부들 (158-2) 각각은 제 4 플레넘 (156-4) 내로 플로우를 제한하도록 배치된다. 제 4 플레넘 (156-4) 은 유출구에 연결된다. 플로우 채널들 (160) 이 제한부 (164) 를 포함하면, 복수의 제한부들 (158-2) 은 생략될 수 있고 제 3 플레넘 및 제 4 플레넘 (156-3 및 156-4) 은 단일 플레넘일 수 있다.
일부 예들에서, 열적 유체 플로우 채널들 (160) 은 10 % 플로우 레이트 이하의 채널 대 채널 불균일도를 갖는다. 일부 예들에서, 열적 유체 플로우 레이트는 분 당 10 갤런이고 +- 1 ℃로 전체 샤워헤드 표면을 제어한다. 일부 예들에서, 보조 가스 주입기들 (112) 은 1 % 질량 플로우 레이트 이하의 플로우 불균일도를 갖는다. 일부 예들에서, 보조 가스 주입기들 (112) 은 0.1 % 질량 플로우 레이트 이하의 불균일도를 갖는다.
도 11에서, 중간 층 (165) 의 하단 표면이 도시된다. 하나 이상의 보조 가스 플레넘들 (150) 은 제 1 플레넘 (176-1) 및 제 2 플레넘 (176-2) 와 유체로 연통하는 가스 유입구 (172) 및 플로우 통로 (174) 를 포함한다. 제 1 복수의 벽들 (180) 이 제 1 플레넘 (176-1) 과 제 2 플레넘 (176-2) 사이에 배치된다. 제 1 플레넘 (176-1) 과 제 2 플레넘 (176-2) 사이의 플로우를 제한하기 위해 복수의 슬롯들 (184) 이 복수의 벽들 (180) 의 단부들 사이에 배치된다. 일부 예들에서, 제 1 플레넘 (176-1) 은 링 형상이고, 제 2 플레넘 (176-2) 은 원형이고, 제 1 복수의 벽들 (180) 은 아치 형상이지만, 다른 형상들이 사용될 수 있다.
제 2 복수의 벽들 (190) 이 쓰루홀들 (110) 둘레에 배치된다. 일부 예들에서, 제 2 복수의 벽들 (190) 은 실린더 형상을 갖지만, 다른 형상들이 사용될 수 있다. 일부 예들에서, 제 2 복수의 벽들 (190) 의 상단 에지는 제 2 플레넘 (176-2) 과 쓰루홀들 (110) 사이에 진공 시일을 생성하도록 본딩 영역을 제공한다. 일부 예들에서, 복수의 제한부들 (186) 은 제 2 플레넘 (176-2) 으로부터 하부 챔버 (30) 로 보조 가스의 플로우를 제어하도록 보조 가스 주입기들 (112) 의 유입구들에 제공된다.
일부 예들에서, 슬롯들 (184) 은 슬롯들 (184) 에서 압력 강하 ΔP슬롯들이 압력 강하 ΔP제 1 플레넘보다 상당히 작도록 제한부들 (186) 에 상대적으로 사이징된다. 일부 예들에서, ΔP슬롯들은 ΔP제 1 플레넘보다 20 배 크다. 일부 예들에서, ΔP슬롯들은 ΔP제 1 플레넘보다 5 배 크다.
이제 도 12 내지 도 14를 참조하면, 또 다른 샤워헤드 (40) 의 중간 부분 (300) 은 일 측면을 따라 배치된 열 전달 유체 유입구들 및 유출구들을 포함하는 것으로 도시된다. 즉, 플로우 채널들은 샤워헤드를 가로질러 유입구들로부터 이동하고 샤워헤드를 가로질러 유출구들로 다시 돌아간다.
도 12에서, 중간 부분 (300) 의 상단 측면이 도시된다. 유체 유입구 (310) 가 유체 유입구 플레넘 (320) 에 연결된다. 일부 예들에서, 유체 유입구 플레넘 (320) 은 아치 형상이다. 복수의 플로우 채널들 (330) 로의 유입구들 (324) 은 유체 유입구 플레넘 (320) 에 연결된다. 복수의 플로우 채널들 (330) 은 샤워헤드 (40) 를 가로질러 횡단하고, 유입구들 (324) 의 인접한 유입구들 사이에 위치되는 유출구들 (334) 로 돌아간다. 플로우 채널들 (330) 이 직선 (straight) 세그먼트들로 도시되지만, 상기 도시된 것과 같은 비-직선 플로우 채널들이 표면적 및 열 전달을 증가시키도록 사용될 수 있다 (또는 직선 및 커브형의 조합이 사용될 수 있다).
유출구들 (334) 은 도 13의 중간 부분 (300) 의 하단 측 상에 위치된 유출구 플레넘 (350) 으로 중간 부분 (300) 의 비아들 (338) 을 통해 가스를 전달한다. 유출구 플레넘 (350) 은 유체 유출구 (358) 에 연결된다. 인식될 수 있는 바와 같이, 중간 부분 (300) 의 하단 표면은 또한 도 11에 상기 도시된 것과 유사한 보조 가스 플레넘을 포함할 수도 있다. 비아들 (338) 의 사이즈는 포스트들 (158) 을 사용하는 것과 동일한 균일도를 달성하기 위해 채널로부터 채널로 불균일한 플로우 레이트를 보상하도록 가변할 수도 있다.
본 명세서에 기술된 통합된 샤워헤드들은 충분하고 균일한 라디칼들을 전달하고, 리모트 플라즈마 소스로부터 이온들을 필터링하고, 균일한 온도 제어를 제어하고, 그리고 균일한 전구체를 공급한다. 일부 예들에서, 상기 기술된 열 전달 유체 채널들을 포함하는 샤워헤드들에 의해 제공된 열적 제어는 5 °C 미만으로 기판에 걸쳐 열적 불균일도를 제어한다. 열 전달 유체 채널들은 또한 상부 챔버 (20) 의 볼륨 내에 담긴 플라즈마로부터 생성된 열을 제어할 수 있다. 샤워헤드는 하부 챔버로 균일한 전구체 전달을 제공하는 내부 보조 가스 플레넘을 더 포함한다. 일부 예들에서, 보조 가스 플레넘으로부터 가스 유출구들은 샤워헤드 상의 증착을 최소화하고 세정들 사이의 시간을 연장하기 위해 샤워헤드의 하단 표면으로부터 미리 결정된 거리만큼 오프셋된다.
전술한 기술은 본질적으로 단순히 예시적이고 어떠한 방법으로도 개시, 이들의 애플리케이션 또는 용도들을 제한하도록 의도되지 않는다. 개시의 광범위한 교시가 다양한 형태들로 구현될 수 있다. 따라서, 본 개시는 특정한 예들을 포함하지만, 다른 수정 사항들이 도면들, 명세서, 및 이하의 청구항들을 연구함으로써 명백해질 것이기 때문에, 본 개시의 진정한 범위는 이렇게 제한되지 않아야 한다. 방법 내의 하나 이상의 단계들이 본 개시의 원리들을 변경하지 않고 상이한 순서로 (또는 동시에) 실행될 수도 있다는 것이 이해되어야 한다. 또한, 실시예들 각각이 특정한 피처들을 갖는 것으로 상기에 기술되었지만, 본 개시의 임의의 실시예에 대하여 기술된 임의의 하나 이상의 이들 피처들은, 조합이 명시적으로 기술되지 않아도, 임의의 다른 실시예들의 피처들로 및/또는 임의의 다른 실시예들의 피처들과 조합하여 구현될 수 있다. 즉, 기술된 실시예들은 상호 배타적이지 않고, 하나 이상의 실시예들의 또 다른 실시예들과의 치환들이 본 개시의 범위 내에 남는다.
엘리먼트들 간 (예를 들어, 모듈들, 회로 엘리먼트들, 반도체 층들, 등 간) 의 공간적 및 기능적 관계들은, "연결된 (connected)", "인게이지된 (engaged)", "커플링된 (coupled)", "인접한 (adjacent)", "옆에 (next to)", "~의 상단에 (on top of)", "위에 (above)", "아래에 (below)", 및 "배치된 (disposed)"을 포함하는, 다양한 용어들을 사용하여 기술된다. "직접적 (direct)"인 것으로 명시적으로 기술되지 않는 한, 제 1 엘리먼트와 제 2 엘리먼트 간의 관계가 상기 개시에서 기술될 때, 이 관계는 제 1 엘리먼트와 제 2 엘리먼트 사이에 다른 중개하는 엘리먼트가 존재하지 않는 직접적인 관계일 수 있지만, 또한 제 1 엘리먼트와 제 2 엘리먼트 사이에 (공간적으로 또는 기능적으로) 하나 이상의 중개하는 엘리먼트들이 존재하는 간접적인 관계일 수 있다. 본 명세서에서 논의된 바와 같이, 구 A, B, 및 C 중 적어도 하나는 비배타적인 논리 OR를 사용하여, 논리적으로 (A 또는 B 또는 C) 를 의미하는 것으로 해석되어야 하고, "적어도 하나의 A, 적어도 하나의 B, 및 적어도 하나의 C"를 의미하도록 해석되지 않아야 한다.
일부 구현예들에서, 제어기는 상술한 예들의 일부일 수도 있는 시스템의 일부일 수 있다. 이러한 시스템들은, 프로세싱 툴 또는 툴들, 챔버 또는 챔버들, 프로세싱용 플랫폼 또는 플랫폼들, 및/또는 특정 프로세싱 컴포넌트들 (기판 페데스탈, 가스 플로우 시스템, 등) 을 포함하는, 반도체 프로세싱 장비를 포함할 수 있다. 이들 시스템들은 반도체 기판 또는 기판의 프로세싱 이전에, 프로세싱 동안에 그리고 프로세싱 이후에 그들의 동작을 제어하기 위한 전자장치에 통합될 수도 있다. 전자장치들은 시스템 또는 시스템들의 다양한 컴포넌트들 또는 하위부분들을 제어할 수도 있는 "제어기"로서 지칭될 수도 있다. 제어기는, 시스템의 프로세싱 요건들 및/또는 타입에 따라서, 프로세싱 가스들의 전달, 온도 설정사항들 (예를 들어, 가열적 및/또는 냉각), 압력 설정사항들, 진공 설정사항들, 전력 설정사항들, 무선 주파수 (RF) 생성기 설정사항들, RF 매칭 회로 설정사항들, 주파수 설정사항들, 플로우 레이트 설정사항들, 유체 전달 설정사항들, 위치 및 동작 설정사항들, 툴들 및 다른 이송 툴들 및/또는 특정 시스템과 연결되거나 인터페이싱된 로드록들 내외로의 기판 이송들을 포함하는, 본 명세서에 개시된 프로세스들 중 임의의 프로세스들을 제어하도록 프로그램될 수도 있다.
일반적으로 말하면, 제어기는 인스트럭션들을 수신하고, 인스트럭션들을 발행하고, 동작을 제어하고, 세정 동작들을 인에이블하고, 엔드 포인트 측정들을 인에이블하는 등을 하는 다양한 집적 회로들, 로직, 메모리, 및/또는 소프트웨어를 갖는 전자장치로서 규정될 수도 있다. 집적 회로들은 프로그램 인스트럭션들을 저장하는 펌웨어의 형태의 칩들, 디지털 신호 프로세서들 (DSP), ASIC (application specific integrated circuit) 으로서 규정되는 칩들 및/또는 프로그램 인스트럭션들 (예를 들어, 소프트웨어) 을 실행하는 하나 이상의 마이크로프로세서들, 또는 마이크로제어기들을 포함할 수도 있다. 프로그램 인스트럭션들은 반도체 기판 상에서 또는 반도체 기판에 대한 특정 프로세스를 실행하기 위한 동작 파라미터들을 규정하는, 다양한 개별 설정사항들 (또는 프로그램 파일들) 의 형태로 제어기로 또는 시스템으로 전달되는 인스트럭션들일 수도 있다. 일부 실시예들에서, 동작 파라미터들은 하나 이상의 층들, 재료들, 금속들, 산화물들, 실리콘, 이산화 실리콘, 표면들, 회로들, 및/또는 기판의 다이들의 제조 동안에 하나 이상의 프로세싱 단계들을 달성하도록 프로세스 엔지니어에 의해서 규정된 레시피의 일부일 수도 있다.
제어기는, 일부 구현예들에서, 시스템에 통합되거나, 시스템에 커플링되거나, 이와 달리 시스템에 네트워킹되거나, 또는 이들의 조합으로 될 수 있는 컴퓨터에 커플링되거나 이의 일부일 수도 있다. 예를 들어, 제어기는 기판 프로세싱의 원격 액세스를 가능하게 할 수 있는 공장 (fab) 호스트 컴퓨터 시스템의 전부 또는 일부이거나 "클라우드" 내에 있을 수도 있다. 컴퓨터는 제조 동작들의 현 진행을 모니터링하고, 과거 제조 동작들의 이력을 조사하고, 복수의 제조 동작들로부터 경향들 또는 성능 계측치들을 조사하고, 현 프로세싱의 파라미터들을 변경하고, 현 프로세싱을 따르는 프로세싱 단계들을 설정하고, 또는 새로운 프로세스를 시작하기 위해서 시스템으로의 원격 액세스를 인에이블할 수도 있다. 일부 예들에서, 원격 컴퓨터 (예를 들어, 서버) 는 로컬 네트워크 또는 인터넷을 포함할 수도 있는 네트워크를 통해서 프로세스 레시피들을 시스템에 제공할 수 있다. 원격 컴퓨터는 차후에 원격 컴퓨터로부터 시스템으로 전달될 파라미터들 및/또는 설정사항들의 입력 또는 프로그래밍을 인에이블하는 사용자 인터페이스를 포함할 수도 있다. 일부 예들에서, 제어기는 하나 이상의 동작들 동안에 수행될 프로세스 단계들 각각에 대한 파라미터들을 특정한, 데이터의 형태의 인스트럭션들을 수신한다. 이 파라미터들은 제어기가 제어하거나 인터페이싱하도록 구성된 툴의 타입 및 수행될 프로세스의 타입에 특정적일 수도 있다는 것이 이해되어야 한다. 따라서, 상술한 바와 같이, 제어기는 예를 들어 서로 네트워킹되어서 함께 공통 목적을 위해서, 예를 들어 본 명세서에 기술된 프로세스들 및 제어들을 위해서 협력하는 하나 이상의 개별 제어기들을 포함함으로써 분산될 수도 있다. 이러한 목적을 위한 분산형 제어기의 예는 챔버 상의 프로세스를 제어하도록 조합되는, (예를 들어, 플랫폼 레벨에서 또는 원격 컴퓨터의 일부로서) 원격으로 위치한 하나 이상의 집적 회로들과 통신하는 챔버 상의 하나 이상의 집적 회로들일 수 있다.
비한정적으로, 예시적인 시스템들은 플라즈마 에칭 챔버 또는 모듈, 증착 챔버 또는 모듈, 스핀-린스 챔버 또는 모듈, 금속 도금 챔버 또는 모듈, 세정 챔버 또는 모듈, 베벨 에지 에칭 챔버 또는 모듈, PVD (physical vapor deposition) 챔버 또는 모듈, CVD (chemical vapor deposition) 챔버 또는 모듈, ALD (atomic layer deposition) 챔버 또는 모듈, ALE (atomic layer etch) 챔버 또는 모듈, 이온 주입 챔버 또는 모듈, 트랙 (track) 챔버 또는 모듈, 및 반도체 기판들의 제조 및/또는 제작 시에 사용되거나 연관될 수도 있는 임의의 다른 반도체 프로세싱 시스템들을 포함할 수도 있다.
상술한 바와 같이, 툴에 의해서 수행될 프로세스 단계 또는 단계들에 따라서, 제어기는, 반도체 제작 공장 내의 툴 위치들 및/또는 로드 포트들로부터/로드 포트들로 기판들의 컨테이너들을 이동시키는 재료 이송 시에 사용되는, 다른 툴 회로들 또는 모듈들, 다른 툴 컴포넌트들, 클러스터 툴들, 다른 툴 인터페이스들, 인접 툴들, 이웃하는 툴들, 공장 도처에 위치한 툴들, 메인 컴퓨터, 또 다른 제어기 또는 툴들 중 하나 이상과 통신할 수도 있다.

Claims (25)

  1. 기판 지지부를 포함하는 제 1 챔버;
    상기 제 1 챔버 위에 배치되고 이온들을 필터링하고 플라즈마 소스로부터 상기 제 1 챔버로 라디칼들을 전달하도록 구성되는 샤워헤드를 포함하고,
    상기 샤워헤드는,
    열 전달 유체 플레넘으로서,
    상단 층,
    하단 층,
    상기 상단 층과 직접 콘택트하는 제 1 표면 및 상기 하단 층과 직접 콘택트하는 제 2 표면을 갖는 중간 층,
    상기 중간 층의 상기 제 1 표면에 형성되고 제 1 유입구로부터 열 전달 유체를 수용하도록 구성된 제 1 플레넘,
    상기 중간 층의 상기 제 1 표면에 형성되고 열 전달 유체를 유출구로 출력하도록 구성된 제 2 플레넘, 및
    상기 중간 층의 상기 제 1 표면에 형성되고 상기 제 1 플레넘과 상기 제 2 플레넘 사이에서 유체로 연통하는 복수의 플로우 채널들로서, 상기 복수의 플로우 채널들은 상기 샤워헤드의 온도를 제어하기 위해 상기 샤워헤드의 중앙 부분을 통해 상기 열 전달 유체를 지향시키도록 구성되는, 상기 복수의 플로우 채널들을 포함하는, 상기 열 전달 유체 플레넘;
    보조 가스를 수용하기 위한 제 2 유입구 및 상기 제 1 챔버 내로 상기 보조 가스를 주입하기 위한 복수의 보조 가스 주입기들을 포함하는 보조 가스 플레넘; 및
    상기 상단 층, 상기 중간 층, 및 상기 하단 층을 통과하는 복수의 쓰루홀들을 포함하고,
    상기 쓰루홀들은 상기 열 전달 유체 플레넘 또는 상기 보조 가스 플레넘과 유체로 연통하지 않고,
    상기 샤워헤드는 내측 표면 및 외측 표면을 가지는 실린더형 벽을 포함하고,
    상기 실린더형 벽은 샤워헤드 하단 표면으로부터 연장하고,
    상기 실린더형 벽의 상기 내측 표면은 상기 복수의 쓰루홀들 및 상기 복수의 보조 가스 주입기들의 외측에 방사상으로 위치되고,
    상기 외측 표면은 상기 샤워헤드의 외측 표면으로부터 내측에 방사상으로 위치되는, 기판 프로세싱 시스템.
  2. 삭제
  3. 제 1 항에 있어서,
    상기 열 전달 유체 플레넘은,
    상기 제 1 유입구와 유체로 연통하는 상기 제 1 플레넘;
    상기 플로우 채널들의 제 1 단부들과 유체로 연통하는 제 3 플레넘;
    상기 제 1 플레넘과 상기 제 3 플레넘 사이의 유체 플로우를 제한하기 위해 그 사이에 배치된 제 1 복수의 제한부들;
    상기 플로우 채널들의 상기 제 1 단부들과 대향하는 상기 플로우 채널들의 제 2 단부들과 유체로 연통하는 제 4 플레넘;
    상기 유출구와 유체로 연통하는 제 2 플레넘; 및
    상기 제 4 플레넘과 상기 제 2 플레넘 사이의 유체 플로우를 제한하기 위해 그 사이에 배치된 제 2 복수의 제한부들을 포함하는, 기판 프로세싱 시스템.
  4. 제 1 항에 있어서,
    상기 복수의 플로우 채널들은 상기 샤워헤드의 일 측면으로부터 상기 샤워헤드의 대향하는 측면으로 방사상 방향으로 연장하는, 기판 프로세싱 시스템.
  5. 제 4 항에 있어서,
    상기 복수의 플로우 채널들은 직선 경로를 규정하는, 기판 프로세싱 시스템.
  6. 제 4 항에 있어서,
    상기 복수의 플로우 채널들은 커브된 경로를 규정하는, 기판 프로세싱 시스템.
  7. 제 6 항에 있어서,
    상기 복수의 플로우 채널들은 사인 형상 (sinusoidal-shaped) 경로를 규정하는, 기판 프로세싱 시스템.
  8. 제 1 항에 있어서,
    상기 보조 가스 플레넘은,
    제 1 가스 플레넘;
    제 2 가스 플레넘; 및
    상기 제 1 가스 플레넘과 상기 제 2 가스 플레넘 사이에 배치된 플로우 제한부를 포함하는, 기판 프로세싱 시스템.
  9. 제 8 항에 있어서,
    상기 플로우 제한부는,
    제 1 복수의 벽들; 및
    상기 제 1 복수의 벽들 사이에 규정된 복수의 슬롯들을 포함하는, 기판 프로세싱 시스템.
  10. 제 9 항에 있어서,
    상기 제 1 복수의 벽들은 아치 형상인, 기판 프로세싱 시스템.
  11. 제 9 항에 있어서,
    상기 제 2 가스 플레넘의 상기 쓰루홀들 둘레에 배치된 제 2 복수의 벽들을 더 포함하는, 기판 프로세싱 시스템.
  12. 제 11 항에 있어서,
    상기 제 2 복수의 벽들은 실린더 형상인, 기판 프로세싱 시스템.
  13. 제 8 항에 있어서,
    상기 보조 가스 주입기들은 상기 제 2 가스 플레넘과 유체로 연통하는, 기판 프로세싱 시스템.
  14. 제 13 항에 있어서,
    상기 제 2 가스 플레넘과 상기 보조 가스 주입기들 사이에 배치된 복수의 제한부들을 더 포함하는, 기판 프로세싱 시스템.
  15. 제 1 항에 있어서,
    상기 복수의 플로우 채널들은 유입구들 및 유출구들을 포함하고, 상기 복수의 플로우 채널들의 상기 유입구들은 상기 샤워헤드의 일 측면 상에 배치되고, 복수의 플로우 채널들의 상기 유출구들은 상기 유입구들 사이의 상기 일 측면 상에 배치되고, 그리고 상기 복수의 플로우 채널들은 상기 유입구들에 연결되고, 상기 샤워헤드를 가로질러 이동하고 상기 샤워헤드를 가로질러 상기 유출구들로 돌아가는, 기판 프로세싱 시스템.
  16. 제 1 항에 있어서,
    상기 제 1 챔버 위에 배치된 제 2 챔버로서, 상기 샤워헤드는 상기 제 1 챔버와 상기 제 2 챔버 사이에 배치되는, 상기 제 2 챔버;
    상기 제 2 챔버 둘레에 배치된 코일; 및
    상기 제 2 챔버 내에서 플라즈마를 생성하기 위해 상기 코일에 연결된 RF 생성기를 더 포함하는, 기판 프로세싱 시스템.
  17. 제 1 항에 있어서,
    상기 플로우 채널들 중 적어도 하나는 플로우 제한부를 포함하는, 기판 프로세싱 시스템.
  18. 제 1 항에 있어서,
    상기 열 전달 유체는 액체를 포함하는, 기판 프로세싱 시스템.
  19. 제 1 항에 있어서,
    상기 열 전달 유체는 가스를 포함하는, 기판 프로세싱 시스템.
  20. 제 1 항에 있어서,
    상기 열 전달 유체는 제 1 챔버 내로 흐르지 않는, 기판 프로세싱 시스템.
  21. 제 1 항에 있어서,
    상기 보조 가스 주입기들은 상기 샤워헤드의 하단 표면으로부터 미리 결정된 거리 연장하고, 상기 미리 결정된 거리는 0.1”내지 1.5”의 범위 내인, 기판 프로세싱 시스템.
  22. 제 1 항에 있어서,
    상기 쓰루홀들은 0.05”내지 0.3”의 범위 내인 직경을 갖는, 기판 프로세싱 시스템.
  23. 삭제
  24. 제 1 항에 있어서,
    상기 샤워헤드는 샤워헤드의 상단 표면으로부터 상향으로 연장하고 상기 복수의 쓰루홀들 및 상기 복수의 보조 가스 주입기들의 방사상 외측에 위치되는 실린더형 벽을 포함하는, 기판 프로세싱 시스템.
  25. 제 1 항에 있어서,
    상기 샤워헤드의 상단 표면과 제 2 챔버 사이에 배치된 제 1 O-링 및 상기 샤워헤드의 하단 표면과 상기 제 1 챔버 사이에 배치된 제 2 O-링을 더 포함하는, 기판 프로세싱 시스템.
KR1020197018989A 2016-12-14 2017-12-14 리모트 플라즈마 막 증착을 인에이블하도록 다운스트림 챔버로 라디칼 및 전구체 가스를 전달하기 위한 열적 제어부와 통합된 샤워헤드 KR102470174B1 (ko)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020227040495A KR20220158875A (ko) 2016-12-14 2017-12-14 리모트 플라즈마 막 증착을 인에이블하도록 다운스트림 챔버로 라디칼 및 전구체 가스를 전달하기 위한 열적 제어부와 통합된 샤워헤드

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US15/378,854 US10604841B2 (en) 2016-12-14 2016-12-14 Integrated showerhead with thermal control for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition
US15/378,854 2016-12-14
PCT/US2017/066411 WO2018112197A1 (en) 2016-12-14 2017-12-14 Integrated showerhead with thermal control for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020227040495A Division KR20220158875A (ko) 2016-12-14 2017-12-14 리모트 플라즈마 막 증착을 인에이블하도록 다운스트림 챔버로 라디칼 및 전구체 가스를 전달하기 위한 열적 제어부와 통합된 샤워헤드

Publications (2)

Publication Number Publication Date
KR20190087608A KR20190087608A (ko) 2019-07-24
KR102470174B1 true KR102470174B1 (ko) 2022-11-22

Family

ID=62488447

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020197018989A KR102470174B1 (ko) 2016-12-14 2017-12-14 리모트 플라즈마 막 증착을 인에이블하도록 다운스트림 챔버로 라디칼 및 전구체 가스를 전달하기 위한 열적 제어부와 통합된 샤워헤드
KR1020227040495A KR20220158875A (ko) 2016-12-14 2017-12-14 리모트 플라즈마 막 증착을 인에이블하도록 다운스트림 챔버로 라디칼 및 전구체 가스를 전달하기 위한 열적 제어부와 통합된 샤워헤드

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020227040495A KR20220158875A (ko) 2016-12-14 2017-12-14 리모트 플라즈마 막 증착을 인에이블하도록 다운스트림 챔버로 라디칼 및 전구체 가스를 전달하기 위한 열적 제어부와 통합된 샤워헤드

Country Status (6)

Country Link
US (4) US10604841B2 (ko)
JP (2) JP7163289B2 (ko)
KR (2) KR102470174B1 (ko)
CN (2) CN110088885B (ko)
TW (1) TW201836440A (ko)
WO (1) WO2018112197A1 (ko)

Families Citing this family (279)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
WO2018106627A1 (en) * 2016-12-08 2018-06-14 Applied Materials, Inc. Temporal atomic layer deposition processing chamber
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10604841B2 (en) * 2016-12-14 2020-03-31 Lam Research Corporation Integrated showerhead with thermal control for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US20190088451A1 (en) * 2017-05-12 2019-03-21 Ontos Equipment Systems, Inc. Integrated Thermal Management for Surface Treatment with Atmospheric Plasma
US20180340257A1 (en) * 2017-05-25 2018-11-29 Applied Materials, Inc. Diffuser for uniformity improvement in display pecvd applications
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) * 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US10510553B1 (en) * 2018-05-30 2019-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Dry ashing by secondary excitation
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
CN112673456A (zh) * 2018-09-10 2021-04-16 朗姆研究公司 使用亚稳的活化自由基物质的原子层处理工艺
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
KR102641752B1 (ko) * 2018-11-21 2024-03-04 삼성전자주식회사 가스 주입 모듈, 기판 처리 장치, 및 그를 이용한 반도체 소자의 제조방법
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
US11078568B2 (en) * 2019-01-08 2021-08-03 Applied Materials, Inc. Pumping apparatus and method for substrate processing chambers
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200109620A (ko) * 2019-03-13 2020-09-23 (주)포인트엔지니어링 접합부품
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
DE102019131794A1 (de) 2019-11-25 2021-05-27 Aixtron Se Wandgekühltes Gaseinlassorgan für einen CVD-Reaktor
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
JP6809745B1 (ja) * 2020-08-03 2021-01-06 株式会社ニッシン プラズマ処理装置
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
US20220068607A1 (en) * 2020-08-31 2022-03-03 Tokyo Electron Limited Gas Cluster Assisted Plasma Processing
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US20220350251A1 (en) * 2021-05-03 2022-11-03 Applied Materials, Inc. Chamber and methods of treating a substrate after exposure to radiation
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
WO2023077002A1 (en) * 2021-10-29 2023-05-04 Lam Research Corporation Showerhead with hole sizes for radical species delivery

Family Cites Families (210)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3156326B2 (ja) 1992-01-07 2001-04-16 富士通株式会社 半導体成長装置およびそれによる半導体成長方法
GB9411911D0 (en) 1994-06-14 1994-08-03 Swan Thomas & Co Ltd Improvements in or relating to chemical vapour deposition
US5597439A (en) 1994-10-26 1997-01-28 Applied Materials, Inc. Process gas inlet and distribution passages
US5919382A (en) 1994-10-31 1999-07-06 Applied Materials, Inc. Automatic frequency tuning of an RF power source of an inductively coupled plasma reactor
ATE181637T1 (de) 1994-10-31 1999-07-15 Applied Materials Inc Plasmareaktoren zur halbleiterscheibenbehandlung
US6054013A (en) 1996-02-02 2000-04-25 Applied Materials, Inc. Parallel plate electrode plasma reactor having an inductive antenna and adjustable radial distribution of plasma ion density
US6036878A (en) 1996-02-02 2000-03-14 Applied Materials, Inc. Low density high frequency process for a parallel-plate electrode plasma reactor having an inductive antenna
US6200412B1 (en) 1996-02-16 2001-03-13 Novellus Systems, Inc. Chemical vapor deposition system including dedicated cleaning gas injection
US5614026A (en) 1996-03-29 1997-03-25 Lam Research Corporation Showerhead for uniform distribution of process gas
US6367410B1 (en) * 1996-12-16 2002-04-09 Applied Materials, Inc. Closed-loop dome thermal control apparatus for a semiconductor wafer processing system
EP0854210B1 (en) 1996-12-19 2002-03-27 Toshiba Ceramics Co., Ltd. Vapor deposition apparatus for forming thin film
US6616767B2 (en) 1997-02-12 2003-09-09 Applied Materials, Inc. High temperature ceramic heater assembly with RF capability
JP3341619B2 (ja) 1997-03-04 2002-11-05 東京エレクトロン株式会社 成膜装置
US5994662A (en) 1997-05-29 1999-11-30 Applied Materials, Inc. Unique baffle to deflect remote plasma clean gases
GB9712400D0 (en) 1997-06-16 1997-08-13 Trikon Equip Ltd Shower head
US6148761A (en) 1998-06-16 2000-11-21 Applied Materials, Inc. Dual channel gas distribution plate
JP4149051B2 (ja) 1998-11-09 2008-09-10 東京エレクトロン株式会社 成膜装置
TW582050B (en) 1999-03-03 2004-04-01 Ebara Corp Apparatus and method for processing substrate
US6565661B1 (en) 1999-06-04 2003-05-20 Simplus Systems Corporation High flow conductance and high thermal conductance showerhead system and method
US6205869B1 (en) 1999-08-12 2001-03-27 Sentry Equipment Corporation Apparatus and method for sampling fluid from reactor vessel
US6364949B1 (en) 1999-10-19 2002-04-02 Applied Materials, Inc. 300 mm CVD chamber design for metal-organic thin film deposition
AU1218401A (en) * 1999-10-20 2001-04-30 Cvd Systems, Inc. Fluid processing system
TW514996B (en) 1999-12-10 2002-12-21 Tokyo Electron Ltd Processing apparatus with a chamber having therein a high-corrosion-resistant sprayed film
JP3953247B2 (ja) 2000-01-11 2007-08-08 株式会社日立国際電気 プラズマ処理装置
DE10007059A1 (de) 2000-02-16 2001-08-23 Aixtron Ag Verfahren und Vorrichtung zur Herstellung von beschichteten Substraten mittels Kondensationsbeschichtung
US6444039B1 (en) 2000-03-07 2002-09-03 Simplus Systems Corporation Three-dimensional showerhead apparatus
JP2003529926A (ja) 2000-03-30 2003-10-07 東京エレクトロン株式会社 プラズマ処理システム内への調整可能なガス注入のための方法及び装置
US6306247B1 (en) 2000-04-19 2001-10-23 Taiwan Semiconductor Manufacturing Company, Ltd Apparatus and method for preventing etch chamber contamination
US6537419B1 (en) 2000-04-26 2003-03-25 David W. Kinnard Gas distribution plate assembly for providing laminar gas flow across the surface of a substrate
US6502530B1 (en) 2000-04-26 2003-01-07 Unaxis Balzers Aktiengesellschaft Design of gas injection for the electrode in a capacitively coupled RF plasma reactor
US6635117B1 (en) 2000-04-26 2003-10-21 Axcelis Technologies, Inc. Actively-cooled distribution plate for reducing reactive gas temperature in a plasma processing system
US6387207B1 (en) 2000-04-28 2002-05-14 Applied Materials, Inc. Integration of remote plasma generator with semiconductor processing chamber
DE10024883A1 (de) 2000-05-19 2001-11-29 Bosch Gmbh Robert Plasmaätzanlage
KR100406174B1 (ko) 2000-06-15 2003-11-19 주식회사 하이닉스반도체 화학적 강화 화학 기상 증착 장비에 사용되는 샤워 헤드
JP4371543B2 (ja) 2000-06-29 2009-11-25 日本電気株式会社 リモートプラズマcvd装置及び膜形成方法
CN1328766C (zh) 2001-01-22 2007-07-25 东京毅力科创株式会社 处理装置和处理方法
US6878206B2 (en) 2001-07-16 2005-04-12 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
DE50210381D1 (de) 2001-04-10 2007-08-09 Basf Ag Eisenoxide mit höherem veredelungsgrad
JP4250375B2 (ja) 2001-05-15 2009-04-08 キヤノン株式会社 成膜装置及び電子源の製造装置並びにそれらを用いた成膜方法及び電子源の製造方法
US20060191637A1 (en) 2001-06-21 2006-08-31 John Zajac Etching Apparatus and Process with Thickness and Uniformity Control
US6820570B2 (en) 2001-08-15 2004-11-23 Nobel Biocare Services Ag Atomic layer deposition reactor
WO2003034477A1 (en) 2001-10-18 2003-04-24 Chul Soo Byun Method and apparatus for chemical vapor ddeposition capable of preventing contamination and enhancing film growth rate
KR100421223B1 (ko) 2001-12-13 2004-03-02 삼성전자주식회사 화학 기상 반응기용 샤워헤드
US6617679B2 (en) 2002-02-08 2003-09-09 Advanced Energy Industries, Inc. Semiconductor package for multiple high power transistors
JP4067858B2 (ja) * 2002-04-16 2008-03-26 東京エレクトロン株式会社 Ald成膜装置およびald成膜方法
US7186385B2 (en) 2002-07-17 2007-03-06 Applied Materials, Inc. Apparatus for providing gas to a processing chamber
US20040031565A1 (en) 2002-08-13 2004-02-19 Taiwan Semiconductor Manufacturing Co., Ltd. Gas distribution plate for processing chamber
US20040050326A1 (en) * 2002-09-12 2004-03-18 Thilderkvist Karin Anna Lena Apparatus and method for automatically controlling gas flow in a substrate processing system
US6837966B2 (en) 2002-09-30 2005-01-04 Tokyo Electron Limeted Method and apparatus for an improved baffle plate in a plasma processing system
US20040082251A1 (en) * 2002-10-29 2004-04-29 Applied Materials, Inc. Apparatus for adjustable gas distribution for semiconductor substrate processing
KR100520979B1 (ko) 2003-03-07 2005-10-12 위순임 원격 플라즈마 발생기를 이용한 진공 프로세스 챔버
KR100520980B1 (ko) 2003-04-19 2005-10-13 위순임 고밀도 플라즈마 화학적 기상 증착 챔버 및 이를 위한가스 노즐
US7335396B2 (en) 2003-04-24 2008-02-26 Micron Technology, Inc. Methods for controlling mass flow rates and pressures in passageways coupled to reaction chambers and systems for depositing material onto microfeature workpieces in reaction chambers
US7537662B2 (en) 2003-04-29 2009-05-26 Asm International N.V. Method and apparatus for depositing thin films on a surface
US7296534B2 (en) 2003-04-30 2007-11-20 Tokyo Electron Limited Hybrid ball-lock attachment apparatus
US6830624B2 (en) 2003-05-02 2004-12-14 Applied Materials, Inc. Blocker plate by-pass for remote plasma clean
KR100965758B1 (ko) 2003-05-22 2010-06-24 주성엔지니어링(주) 액정표시장치용 플라즈마 강화 화학기상증착 장치의샤워헤드 어셈블리
JP2007525822A (ja) 2003-05-30 2007-09-06 アヴィザ テクノロジー インコーポレイテッド ガス分配システム
US7198653B2 (en) 2003-07-31 2007-04-03 Delavau Llc Calcium carbonate granulation
JP4306403B2 (ja) 2003-10-23 2009-08-05 東京エレクトロン株式会社 シャワーヘッド構造及びこれを用いた成膜装置
KR100513920B1 (ko) 2003-10-31 2005-09-08 주식회사 시스넥스 화학기상증착 반응기
US20050230350A1 (en) 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US7298534B2 (en) 2004-04-13 2007-11-20 Philip Morris Usa Inc. Off-axis holographic light concentrator and method of use thereof
US7273526B2 (en) 2004-04-15 2007-09-25 Asm Japan K.K. Thin-film deposition apparatus
US8083853B2 (en) 2004-05-12 2011-12-27 Applied Materials, Inc. Plasma uniformity control by gas diffuser hole design
US7712434B2 (en) 2004-04-30 2010-05-11 Lam Research Corporation Apparatus including showerhead electrode and heater for plasma processing
US20050241767A1 (en) 2004-04-30 2005-11-03 Ferris David S Multi-piece baffle plate assembly for a plasma processing system
US7708859B2 (en) * 2004-04-30 2010-05-04 Lam Research Corporation Gas distribution system having fast gas switching capabilities
US20050241579A1 (en) 2004-04-30 2005-11-03 Russell Kidd Face shield to improve uniformity of blanket CVD processes
US8074599B2 (en) 2004-05-12 2011-12-13 Applied Materials, Inc. Plasma uniformity control by gas diffuser curvature
US8328939B2 (en) 2004-05-12 2012-12-11 Applied Materials, Inc. Diffuser plate with slit valve compensation
US20060021703A1 (en) 2004-07-29 2006-02-02 Applied Materials, Inc. Dual gas faceplate for a showerhead in a semiconductor wafer processing system
CN102154628B (zh) 2004-08-02 2014-05-07 维高仪器股份有限公司 用于化学气相沉积反应器的多气体分配喷射器
KR20060059305A (ko) 2004-11-26 2006-06-01 삼성전자주식회사 반도체 공정 장비
US20060124169A1 (en) 2004-12-09 2006-06-15 Tokyo Electron Limited Gas supply unit, substrate processing apparatus, and supply gas setting method
US7601242B2 (en) 2005-01-11 2009-10-13 Tokyo Electron Limited Plasma processing system and baffle assembly for use in plasma processing system
KR100636038B1 (ko) * 2005-02-01 2006-10-18 삼성전자주식회사 가스 공급 장치 및 이를 갖는 막 형성 장치
JP2006261217A (ja) 2005-03-15 2006-09-28 Canon Anelva Corp 薄膜形成方法
US7674393B2 (en) 2005-03-25 2010-03-09 Tokyo Electron Limited Etching method and apparatus
KR100747735B1 (ko) 2005-05-13 2007-08-09 주식회사 테스 반도체 제조 장치
JP4506557B2 (ja) * 2005-05-18 2010-07-21 株式会社島津製作所 シャワーヘッドおよび表面波励起プラズマ処理装置
KR100731164B1 (ko) 2005-05-19 2007-06-20 주식회사 피에조닉스 샤워헤드를 구비한 화학기상 증착 방법 및 장치
US7895970B2 (en) 2005-09-29 2011-03-01 Tokyo Electron Limited Structure for plasma processing chamber, plasma processing chamber, plasma processing apparatus, and plasma processing chamber component
JP4997842B2 (ja) 2005-10-18 2012-08-08 東京エレクトロン株式会社 処理装置
US20070119370A1 (en) 2005-11-04 2007-05-31 Paul Ma Apparatus and process for plasma-enhanced atomic layer deposition
DE102005055468A1 (de) 2005-11-22 2007-05-24 Aixtron Ag Verfahren zum Abscheiden von Schichten in einem CVD-Reaktor sowie Gaseinlassorgan für einen CVD-Reaktor
US20070264427A1 (en) 2005-12-21 2007-11-15 Asm Japan K.K. Thin film formation by atomic layer growth and chemical vapor deposition
CN101003895B (zh) 2006-01-16 2011-10-19 中微半导体设备(上海)有限公司 一种传送反应物到基片的装置及其处理方法
JP2007191792A (ja) 2006-01-19 2007-08-02 Atto Co Ltd ガス分離型シャワーヘッド
KR100752622B1 (ko) 2006-02-17 2007-08-30 한양대학교 산학협력단 원거리 플라즈마 발생장치
JP4844167B2 (ja) 2006-02-24 2011-12-28 東京エレクトロン株式会社 冷却ブロック及びプラズマ処理装置
US20070202701A1 (en) 2006-02-27 2007-08-30 Tokyo Electron Limited Plasma etching apparatus and method
JP4827083B2 (ja) 2006-02-27 2011-11-30 東京エレクトロン株式会社 プラズマエッチング装置およびプラズマエッチング方法
US8268078B2 (en) 2006-03-16 2012-09-18 Tokyo Electron Limited Method and apparatus for reducing particle contamination in a deposition system
US8231799B2 (en) 2006-04-28 2012-07-31 Applied Materials, Inc. Plasma reactor apparatus with multiple gas injection zones having time-changing separate configurable gas compositions for each zone
KR101140502B1 (ko) * 2006-06-13 2012-04-30 주식회사 케이씨텍 중성화빔을 이용한 표면처리장치
JP2008027796A (ja) 2006-07-24 2008-02-07 Canon Inc プラズマ処理装置
US8187679B2 (en) 2006-07-29 2012-05-29 Lotus Applied Technology, Llc Radical-enhanced atomic layer deposition system and method
JP2008066413A (ja) 2006-09-05 2008-03-21 Tokyo Electron Ltd シャワーヘッド構造及びこれを用いた処理装置
KR100849929B1 (ko) 2006-09-16 2008-08-26 주식회사 피에조닉스 반응 기체의 분사 속도를 적극적으로 조절하는 샤워헤드를구비한 화학기상 증착 방법 및 장치
JP2008088228A (ja) 2006-09-29 2008-04-17 Fujifilm Corp インクジェット用インク組成物、及び、これを用いた画像形成方法並びに記録物
US20080081114A1 (en) 2006-10-03 2008-04-03 Novellus Systems, Inc. Apparatus and method for delivering uniform fluid flow in a chemical deposition system
CN100451163C (zh) 2006-10-18 2009-01-14 中微半导体设备(上海)有限公司 用于半导体工艺件处理反应器的气体分布装置及其反应器
US7674352B2 (en) * 2006-11-28 2010-03-09 Applied Materials, Inc. System and method for depositing a gaseous mixture onto a substrate surface using a showerhead apparatus
US20080156631A1 (en) 2006-12-27 2008-07-03 Novellus Systems, Inc. Methods of Producing Plasma in a Container
US20080156264A1 (en) 2006-12-27 2008-07-03 Novellus Systems, Inc. Plasma Generator Apparatus
KR101281188B1 (ko) 2007-01-25 2013-07-02 최대규 유도 결합 플라즈마 반응기
US7846497B2 (en) * 2007-02-26 2010-12-07 Applied Materials, Inc. Method and apparatus for controlling gas flow to a processing chamber
US7775236B2 (en) * 2007-02-26 2010-08-17 Applied Materials, Inc. Method and apparatus for controlling gas flow to a processing chamber
EP1970468B1 (de) 2007-03-05 2009-07-15 Applied Materials, Inc. Beschichtungsanlage und Gasleitungssystem
US7978631B1 (en) 2007-05-31 2011-07-12 Oracle America, Inc. Method and apparatus for encoding and mapping of virtual addresses for clusters
US20090136652A1 (en) 2007-06-24 2009-05-28 Applied Materials, Inc. Showerhead design with precursor source
JP2009016453A (ja) 2007-07-02 2009-01-22 Tokyo Electron Ltd プラズマ処理装置
JP5444599B2 (ja) 2007-09-28 2014-03-19 東京エレクトロン株式会社 ガス供給装置及び成膜装置
US7976631B2 (en) 2007-10-16 2011-07-12 Applied Materials, Inc. Multi-gas straight channel showerhead
US20090095222A1 (en) 2007-10-16 2009-04-16 Alexander Tam Multi-gas spiral channel showerhead
US20090095221A1 (en) 2007-10-16 2009-04-16 Alexander Tam Multi-gas concentric injection showerhead
FI123322B (fi) 2007-12-17 2013-02-28 Beneq Oy Menetelmä ja laitteisto plasman muodostamiseksi
US8512509B2 (en) 2007-12-19 2013-08-20 Applied Materials, Inc. Plasma reactor gas distribution plate with radially distributed path splitting manifold
KR20090078538A (ko) 2008-01-15 2009-07-20 삼성전기주식회사 샤워 헤드와 이를 구비하는 화학 기상 증착 장치
JP5230225B2 (ja) 2008-03-06 2013-07-10 東京エレクトロン株式会社 蓋部品、処理ガス拡散供給装置、及び基板処理装置
US8110068B2 (en) 2008-03-20 2012-02-07 Novellus Systems, Inc. Gas flow distribution receptacles, plasma generator systems, and methods for performing plasma stripping processes
KR101004927B1 (ko) 2008-04-24 2010-12-29 삼성엘이디 주식회사 Cvd용 샤워 헤드 및 이를 구비하는 화학 기상 증착 장치
JP5222040B2 (ja) 2008-06-25 2013-06-26 東京エレクトロン株式会社 マイクロ波プラズマ処理装置
US8291857B2 (en) 2008-07-03 2012-10-23 Applied Materials, Inc. Apparatuses and methods for atomic layer deposition
US8147648B2 (en) 2008-08-15 2012-04-03 Lam Research Corporation Composite showerhead electrode assembly for a plasma processing apparatus
US9222172B2 (en) 2008-08-20 2015-12-29 Applied Materials, Inc. Surface treated aluminum nitride baffle
JP5026373B2 (ja) 2008-09-04 2012-09-12 シャープ株式会社 気相成長装置及び気相成長方法
JP2010084190A (ja) 2008-09-30 2010-04-15 Sharp Corp 気相成長装置および気相成長方法
ATE538337T1 (de) * 2008-11-18 2012-01-15 Sauer Danfoss Aps Flüssigkeitsverteilungsventil
JP2010192513A (ja) 2009-02-16 2010-09-02 Hitachi High-Technologies Corp プラズマ処理装置およびその運転方法
KR101658758B1 (ko) 2009-02-20 2016-09-21 엔지케이 인슐레이터 엘티디 세라믹스-금속 접합체 및 그 제조 방법
WO2010101369A2 (ko) 2009-03-03 2010-09-10 주성엔지니어링㈜ 가스 분배 장치 및 이를 구비하는 기판 처리 장치
JP4576466B2 (ja) 2009-03-27 2010-11-10 シャープ株式会社 気相成長装置及び気相成長方法
US8183132B2 (en) * 2009-04-10 2012-05-22 Applied Materials, Inc. Methods for fabricating group III nitride structures with a cluster tool
US20100263588A1 (en) 2009-04-15 2010-10-21 Gan Zhiyin Methods and apparatus for epitaxial growth of semiconductor materials
KR101064210B1 (ko) 2009-06-01 2011-09-14 한국생산기술연구원 막증착 진공장비용 샤워헤드
US8894767B2 (en) 2009-07-15 2014-11-25 Applied Materials, Inc. Flow control features of CVD chambers
CN102576667A (zh) 2009-07-22 2012-07-11 应用材料公司 中空阴极喷头
KR101062462B1 (ko) 2009-07-28 2011-09-05 엘아이지에이디피 주식회사 샤워헤드 및 이를 포함하는 화학기상증착장치
US8258025B2 (en) 2009-08-07 2012-09-04 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing microcrystalline semiconductor film and thin film transistor
US20110117728A1 (en) 2009-08-27 2011-05-19 Applied Materials, Inc. Method of decontamination of process chamber after in-situ chamber clean
US20110065276A1 (en) 2009-09-11 2011-03-17 Applied Materials, Inc. Apparatus and Methods for Cyclical Oxidation and Etching
US8419959B2 (en) 2009-09-18 2013-04-16 Lam Research Corporation Clamped monolithic showerhead electrode
TWI385272B (zh) 2009-09-25 2013-02-11 Ind Tech Res Inst 氣體分佈板及其裝置
KR101097386B1 (ko) 2009-10-01 2011-12-23 주식회사 뉴파워 프라즈마 원격 플라즈마 발생기를 지지하는 거치대
WO2011044451A2 (en) 2009-10-09 2011-04-14 Applied Materials, Inc. Multi-gas centrally cooled showerhead design
TWI430714B (zh) 2009-10-15 2014-03-11 Orbotech Lt Solar Llc 電漿處理腔之噴撒頭組件及電漿處理腔之噴撒頭組件之氣體電離板之製備方法
US20110097492A1 (en) * 2009-10-27 2011-04-28 Kerr Roger S Fluid distribution manifold operating state management system
US20120321910A1 (en) 2010-01-12 2012-12-20 Sundew Technologies Llc Methods and apparatus for atomic layer deposition on large area substrates
US8381275B2 (en) 2010-01-27 2013-02-19 International Business Machines Corporation Staged user deletion
ATE551439T1 (de) 2010-02-08 2012-04-15 Roth & Rau Ag PARALLELER PLATTENREAKTOR ZUR GLEICHMÄßIGEN DÜNNFILMABLAGERUNG MIT REDUZIERTER WERKZEUGAUFSTELLFLÄCHE
JP5445252B2 (ja) 2010-03-16 2014-03-19 東京エレクトロン株式会社 成膜装置
US20110256692A1 (en) 2010-04-14 2011-10-20 Applied Materials, Inc. Multiple precursor concentric delivery showerhead
JP4840832B2 (ja) 2010-04-28 2011-12-21 シャープ株式会社 気相成長装置、気相成長方法、および半導体素子の製造方法
US8608852B2 (en) * 2010-06-11 2013-12-17 Applied Materials, Inc. Temperature controlled plasma processing chamber component with zone dependent thermal efficiencies
US8910644B2 (en) 2010-06-18 2014-12-16 Applied Materials, Inc. Method and apparatus for inducing turbulent flow of a processing chamber cleaning gas
JP5474193B2 (ja) 2010-07-12 2014-04-16 株式会社アルバック 成膜装置
US8721791B2 (en) 2010-07-28 2014-05-13 Applied Materials, Inc. Showerhead support structure for improved gas flow
US9184028B2 (en) * 2010-08-04 2015-11-10 Lam Research Corporation Dual plasma volume processing apparatus for neutral/ion flux control
US8869742B2 (en) 2010-08-04 2014-10-28 Lam Research Corporation Plasma processing chamber with dual axial gas injection and exhaust
US20120052216A1 (en) 2010-08-27 2012-03-01 Applied Materials, Inc. Gas distribution showerhead with high emissivity surface
JP5689294B2 (ja) * 2010-11-25 2015-03-25 東京エレクトロン株式会社 処理装置
US20120135609A1 (en) 2010-11-30 2012-05-31 Applied Materials, Inc. Apparatus and Process for Atomic Layer Deposition
US9719169B2 (en) 2010-12-20 2017-08-01 Novellus Systems, Inc. System and apparatus for flowable deposition in semiconductor fabrication
WO2012122054A2 (en) 2011-03-04 2012-09-13 Novellus Systems, Inc. Hybrid ceramic showerhead
TWI534291B (zh) 2011-03-18 2016-05-21 應用材料股份有限公司 噴淋頭組件
US9695510B2 (en) 2011-04-21 2017-07-04 Kurt J. Lesker Company Atomic layer deposition apparatus and process
US8562785B2 (en) 2011-05-31 2013-10-22 Lam Research Corporation Gas distribution showerhead for inductively coupled plasma etch reactor
US9245717B2 (en) 2011-05-31 2016-01-26 Lam Research Corporation Gas distribution system for ceramic showerhead of plasma etch reactor
DE102011056589A1 (de) 2011-07-12 2013-01-17 Aixtron Se Gaseinlassorgan eines CVD-Reaktors
JP5792563B2 (ja) 2011-08-31 2015-10-14 東京エレクトロン株式会社 プラズマエッチング方法及びプラズマエッチング装置
US8960235B2 (en) 2011-10-28 2015-02-24 Applied Materials, Inc. Gas dispersion apparatus
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
CN102424956B (zh) 2011-12-02 2013-07-10 彭继忠 用于金属有机化合物化学气相沉积设备的喷淋装置
US20130288485A1 (en) 2012-04-30 2013-10-31 Applied Materials, Inc. Densification for flowable films
US9447499B2 (en) 2012-06-22 2016-09-20 Novellus Systems, Inc. Dual plenum, axi-symmetric showerhead with edge-to-center gas delivery
US9121097B2 (en) 2012-08-31 2015-09-01 Novellus Systems, Inc. Variable showerhead flow by varying internal baffle conductance
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
KR101477602B1 (ko) 2012-10-30 2014-12-30 피에스케이 주식회사 기판 처리 장치
US20140127911A1 (en) 2012-11-07 2014-05-08 Lam Research Corporation Palladium plated aluminum component of a plasma processing chamber and method of manufacture thereof
US10316409B2 (en) 2012-12-21 2019-06-11 Novellus Systems, Inc. Radical source design for remote plasma atomic layer deposition
US20140235069A1 (en) * 2013-02-15 2014-08-21 Novellus Systems, Inc. Multi-plenum showerhead with temperature control
CN103993293B (zh) * 2013-02-15 2018-06-26 诺发系统公司 带温度控制的多室喷头
US9255326B2 (en) 2013-03-12 2016-02-09 Novellus Systems, Inc. Systems and methods for remote plasma atomic layer deposition
US9353439B2 (en) 2013-04-05 2016-05-31 Lam Research Corporation Cascade design showerhead for transient uniformity
US9677176B2 (en) 2013-07-03 2017-06-13 Novellus Systems, Inc. Multi-plenum, dual-temperature showerhead
US10808317B2 (en) * 2013-07-03 2020-10-20 Lam Research Corporation Deposition apparatus including an isothermal processing zone
CN104342632B (zh) * 2013-08-07 2017-06-06 北京北方微电子基地设备工艺研究中心有限责任公司 预清洗腔室及等离子体加工设备
CN103521956A (zh) 2013-10-10 2014-01-22 光达光电设备科技(嘉兴)有限公司 分离式喷淋头结构
US10077497B2 (en) 2014-05-30 2018-09-18 Lam Research Corporation Hollow cathode discharge (HCD) suppressing capacitively coupled plasma electrode and gas distribution faceplate
US10107490B2 (en) 2014-06-30 2018-10-23 Lam Research Corporation Configurable liquid precursor vaporizer
US20150380221A1 (en) 2014-06-30 2015-12-31 Applied Materials, Inc. Hole Pattern For Uniform Illumination Of Workpiece Below A Capacitively Coupled Plasma Source
US9970108B2 (en) * 2014-08-01 2018-05-15 Lam Research Corporation Systems and methods for vapor delivery in a substrate processing system
US9951421B2 (en) * 2014-12-10 2018-04-24 Lam Research Corporation Inlet for effective mixing and purging
JP5963893B2 (ja) 2015-01-09 2016-08-03 株式会社日立国際電気 基板処理装置、ガス分散ユニット、半導体装置の製造方法およびプログラム
US9738975B2 (en) * 2015-05-12 2017-08-22 Lam Research Corporation Substrate pedestal module including backside gas delivery tube and method of making
US10378107B2 (en) 2015-05-22 2019-08-13 Lam Research Corporation Low volume showerhead with faceplate holes for improved flow uniformity
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
US10358722B2 (en) 2015-12-14 2019-07-23 Lam Research Corporation Showerhead assembly
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10604841B2 (en) * 2016-12-14 2020-03-31 Lam Research Corporation Integrated showerhead with thermal control for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition
US20190119815A1 (en) 2017-10-24 2019-04-25 Applied Materials, Inc. Systems and processes for plasma filtering
US11015247B2 (en) 2017-12-08 2021-05-25 Lam Research Corporation Integrated showerhead with improved hole pattern for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition
US10472716B1 (en) 2018-05-17 2019-11-12 Lam Research Corporation Showerhead with air-gapped plenums and overhead isolation gas distributor
TW202020218A (zh) 2018-09-14 2020-06-01 美商應用材料股份有限公司 用於多流前驅物配分劑量的裝置
US11420217B2 (en) 2019-12-19 2022-08-23 Applied Materials, Inc. Showerhead for ALD precursor delivery
KR20220049926A (ko) 2020-10-15 2022-04-22 주식회사 원익아이피에스 기판처리장치

Also Published As

Publication number Publication date
CN117497451A (zh) 2024-02-02
KR20190087608A (ko) 2019-07-24
US20210371982A1 (en) 2021-12-02
CN110088885A (zh) 2019-08-02
WO2018112197A1 (en) 2018-06-21
JP2023002673A (ja) 2023-01-10
US20230175134A1 (en) 2023-06-08
US20180163305A1 (en) 2018-06-14
US20200219757A1 (en) 2020-07-09
JP2020502793A (ja) 2020-01-23
JP7163289B2 (ja) 2022-10-31
US12000047B2 (en) 2024-06-04
US11101164B2 (en) 2021-08-24
TW201836440A (zh) 2018-10-01
US10604841B2 (en) 2020-03-31
US11608559B2 (en) 2023-03-21
CN110088885B (zh) 2023-10-13
KR20220158875A (ko) 2022-12-01

Similar Documents

Publication Publication Date Title
KR102470174B1 (ko) 리모트 플라즈마 막 증착을 인에이블하도록 다운스트림 챔버로 라디칼 및 전구체 가스를 전달하기 위한 열적 제어부와 통합된 샤워헤드
KR102525777B1 (ko) 기생 플라즈마를 억제하고 웨이퍼-내 불균일성을 감소시키기 위한 시스템들 및 방법들
KR102503328B1 (ko) 다운스트림 반응기에서 에지 에칭 레이트 제어를 위한 조정가능한 측면 가스 플레넘
KR20170082989A (ko) 복수의 가스 주입 지점들 및 듀얼 주입기를 포함한 기판 프로세싱 챔버
KR102430432B1 (ko) 개방 볼륨 이퀄라이제이션 통로들 및 측면 밀폐부를 가진 평면형 기판 에지 콘택트
US11015247B2 (en) Integrated showerhead with improved hole pattern for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition
KR102453999B1 (ko) 능동적으로 냉각된 그리드를 갖는 가스 분배 디바이스
US10157755B2 (en) Purge and pumping structures arranged beneath substrate plane to reduce defects
KR102088596B1 (ko) Rf 플라즈마 생성기 및 리모트 플라즈마 생성기에 공급하는 rf 신호 소스
US20230332291A1 (en) Remote plasma architecture for true radical processing
US20230009859A1 (en) Asymmetric purged block beneath wafer plane to manage non-uniformity
US20230087913A1 (en) Coolant channel with internal fins for substrate processing pedestals
KR20240093865A (ko) 라디칼 종 전달을 위한 구멍 크기를 갖는 샤워헤드

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant