KR102453999B1 - 능동적으로 냉각된 그리드를 갖는 가스 분배 디바이스 - Google Patents

능동적으로 냉각된 그리드를 갖는 가스 분배 디바이스 Download PDF

Info

Publication number
KR102453999B1
KR102453999B1 KR1020150137940A KR20150137940A KR102453999B1 KR 102453999 B1 KR102453999 B1 KR 102453999B1 KR 1020150137940 A KR1020150137940 A KR 1020150137940A KR 20150137940 A KR20150137940 A KR 20150137940A KR 102453999 B1 KR102453999 B1 KR 102453999B1
Authority
KR
South Korea
Prior art keywords
tubes
cooling grid
manifolds
grid assembly
fluid communication
Prior art date
Application number
KR1020150137940A
Other languages
English (en)
Other versions
KR20160037120A (ko
Inventor
이벨린 안젤로브
서지 코쉐
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20160037120A publication Critical patent/KR20160037120A/ko
Application granted granted Critical
Publication of KR102453999B1 publication Critical patent/KR102453999B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4411Cooling of the reaction chamber walls
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45572Cooled nozzles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Mechanical Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

기판 프로세싱 시스템을 위한 그리드 어셈블리는 중앙 개구부를 형성하는 제 1 바디, 유입부, 유출부, 및 제 1 바디 내에 위치되고 유입부 또는 유출부와 유체 연통하는 상부 매니폴드를 포함하는 제 1 부분을 포함한다. 제 2 부분은 제 1 부분에 인접하게 배열되고 중앙 개구부를 형성하는 제 2 바디를 포함한다. 복수의 튜브들이 제 2 바디의 중앙 개구부 내에 배열된다. 복수의 튜브들 중 첫번째 튜브는 상부 매니폴드와 유체 연통한다. 하부 매니폴드는 제 2 바디 내에 위치되고 유입부 또는 유출부 중 다른 하나와 유체 연통한다. 복수의 튜브들 중 두번째 튜브는 하부 매니폴드와 유체 연통한다. 그리드 어셈블리는 리모트 플라즈마 소스와 기판 사이에 배열된다.

Description

능동적으로 냉각된 그리드를 갖는 가스 분배 디바이스{GAS DISTRIBUTION DEVICE WITH ACTIVELY COOLED GRID}
본 개시는 기판 프로세싱 시스템들에 관한 것이고, 보다 구체적으로 능동적으로 냉각된 그리드를 갖는 가스 분배 디바이스를 포함하는 기판 프로세싱 시스템들에 관한 것이다.
본 명세서에 제공된 배경기술 설명은 일반적으로 본 개시의 맥락을 제공하기 위한 것이다. 본 발명자들의 성과로서 본 배경기술 섹션에 기술되는 정도의 성과 및 출원시 종래 기술로서 인정되지 않을 수도 있는 기술의 양태들은 본 개시에 대한 종래 기술로서 명시적으로나 암시적으로 인정되지 않는다.
기판 프로세싱 시스템들은 통상적으로 반도체 웨이퍼와 같은 기판 상에 다양한 타입들의 막을 패터닝, 증착, 에칭 및 애싱하도록 사용된다. 기판 프로세싱 시스템들은 통상적으로 페데스탈, 정전척, 플레이트, 등과 같은 기판 지지부를 갖는 프로세싱 챔버를 포함한다. 반도체 웨이퍼와 같은 기판은 기판 지지부 상에 배열될 수도 있다.
CVD (chemical vapor deposition) 프로세스 또는 ALD (atomic layer deposition) 프로세스에서, 하나 이상의 전구체들을 포함하는 가스 혼합물은 기판 상에 막을 증착하도록 프로세싱 챔버 내로 도입될 수도 있다. 일부 기판 프로세싱 시스템들에서, 화학 반응들을 활성화하도록 RF (radio frequency) 플라즈마가 사용될 수도 있다. RF 플라즈마는 가스 분배 디바이스를 통해 프로세싱 챔버로 원격으로 전달되거나 프로세싱 챔버 내에서 직접적으로 생성될 수도 있다.
예를 들어, 프로세싱 동안, 포토레지스트층은 막 내에 피처들을 규정하도록 사용될 수도 있다. 그후에, 포토레지스트층은 통상적으로 제거된다. 애싱은 포토레지스트층을 제거하도록 사용될 수도 있다. 애싱은 리모트 플라즈마 소스를 사용하여 수행될 수도 있다. 리모트 플라즈마 소스는 통상적으로 무선 주파수 또는 마이크로파 신호를 사용하여 산소 또는 불소와 같은 반응성 가스를 여기시킴으로써 플라즈마를 생성한다.
샤워헤드와 같은 가스 분배 디바이스는 리모트 플라즈마 소스와 기판 사이에 배열될 수도 있다. 샤워헤드는 홀 패턴을 규정하는 복수의 홀들을 포함하는 알루미늄으로 제작된 플레이트를 포함할 수도 있다. 플레이트 내의 홀 패턴은 아래에 놓인 기판의 에지에 가깝게 연장할 수도 있다. 홀들은 이온 필터로서 기능한다. 사용 동안, 플레이트 내의 열은 홀들 내의 이온들의 재조합에 의해 증가하는 경향이 있다.
기판들이 사이즈 및 면적이 증가함에 따라, 리모트 플라즈마 소스에 의해 공급된 RF 전력의 양은 통상적으로 보다 큰 기판에 대해 목표된 애시 레이트를 유지하도록 증가될 필요가 있다. 보다 높은 RF 전력 레벨들에서, 플레이트의 가열은 수용불가능한 온도로 증가한다. 납땜된 (brazed) 샤워헤드 설계들은 물로 하여금 플레이트의 보다 낮은 온도로 냉각하게 하는 반면, 납땜된 샤워헤드 설계들은 상대적으로 낮은 신뢰도를 갖는 경향이 있다. 건 드릴된 (gun drilled) 샤워헤드 설계들은 또한 물로 하여금 플레이트의 보다 낮은 온도로 냉각하게 하지만 제작에 비용이 많이 든다.
기판 프로세싱 시스템을 위한 그리드 어셈블리는, 중앙 개구부를 규정하는 제 1 바디, 유입부, 유출부, 및 제 1 바디 내에 위치되고 유입부 또는 유출부와 유체로 연통하는 상부 매니폴드를 포함한다. 제 2 부분은 제 1 부분에 인접하게 배열되고 중앙 개구부를 규정하는 제 2 바디를 포함한다. 복수의 튜브들은 제 2 바디의 중앙 개구부 내에 배열된다. 복수의 튜브들 중 제 1 튜브들은 상부 매니폴드와 유체로 연통한다. 하부 매니폴드는 제 2 바디 내에 위치되고 유입부 또는 유출부 중 다른 하나와 유체로 연통한다. 복수의 튜브들 중 제 2 튜브들은 하부 매니폴드와 유체로 연통한다. 그리드 어셈블리는 리모트 플라즈마 소스와 기판 사이에 배열된다.
다른 특징들에서, 제 1 바디 및 제 2 바디는 환형-형상이다. 복수의 튜브들은 제 2 부분의 중앙 개구부 내에 평행하게 배열된다. 상부 매니폴드는 복수의 튜브들의 제 1 튜브들의 복수의 제 2 홀들과 각각 유체로 연통하는 복수의 제 1 홀들을 갖는 하단 표면을 포함한다. O-링은 제 1 바디의 하단 표면과 제 2 바디의 상부 표면 사이에 시일을 제공하도록 복수의 제 1 홀들 둘레의 제 1 바디의 하단 표면 상에 배열된다.
다른 특징들에서, 제 2 부분은 제 2 바디의 외측 원주의 측표면 내에 복수의 제 1 홀들을 포함한다. 복수의 튜브들은 제 1 홀들 내에 배열된다.
다른 특징들에서, 제 1 플러그들은 복수의 튜브들 중 제 1 튜브들 내에 배열된다. 제 2 플러그들은 복수의 튜브들 중 제 2 튜브들 내에 배열된다. 제 2 플러그들은 제 1 플러그와 상이한 길이를 갖는다.
다른 특징들에서, 제 1 바디를 관통하는 홀은 유입부 또는 유출부 중 다른 하나와 유체로 연통한다. 홀은 또한 하부 매니폴드와 유체로 연통한다. O-링은 제 1 바디의 하단 표면과 제 2 바디의 상부 표면 사이에 시일을 제공하도록 홀 둘레의 제 1 바디의 하단 표면 상에 배열된다.
다른 특징들에서, S 개의 상부 매니폴드들이 제 1 바디 내에 규정된다. S 개의 상부 매니폴드들은 상부 매니폴드를 포함하고, 여기서 S는 1보다 큰 정수이다. T 개의 하부 매니폴드들이 제 2 바디 내에 규정된다. T 개의 하부 매니폴드들은 하부 매니폴드를 포함하고, 여기서 T는 1보다 큰 정수이다.
다른 특징들에서, S 개의 상부 매니폴드들 중 1/2은 제 1 바디의 일 측면 상에 위치된다. S 개의 상부 매니폴드들 중 1/2은 제 1 바디의 반대되는 측면 상에 위치된다. T 개의 하부 매니폴드들 중 1/2은 제 2 바디의 일 측면 상에 위치된다. T 개의 하부 매니폴드들 중 1/2은 제 2 바디의 반대되는 측면 상에 위치된다.
다른 특징들에서, 기판 프로세싱 시스템은 그리드 어셈블리 및 복수의 홀들을 포함하는 홀 패턴을 포함하고 그리드 어셈블리의 하부 부분에 인접하게 배열된 대면 플레이트 (faceplate) 를 포함한다.
다른 특징들에서, 그리드 어셈블리의 복수의 튜브들은 알루미늄으로 제작되고 대면 플레이트는 석영으로 이루어진다. 복수의 튜브들은 원형 단면을 갖는다. 복수의 튜브들은 타원형 단면을 갖는다. 타원형 단면은 복수의 튜브들을 포함하는 플레인에 평행한 단축을 갖는다.
본 개시의 추가 적용가능 영역들은 상세한 기술, 청구항들 및 도면들로부터 명백해질 것이다. 상세한 기술 및 구체적인 예들은 단지 예시를 목적으로 의도되고, 본 개시의 범위를 제한하는 것으로 의도되지 않았다.
본 개시는 상세한 설명 및 첨부된 도면들로부터 보다 완전히 이해될 것이다.
도 1은 본 개시에 따른 기판 프로세싱 시스템의 예의 기능 블록도이다.
도 2는 본 개시에 따른 그리드 어셈블리의 예의 사시도이다.
도 3은 그리드 어셈블리 및 대면 플레이트의 예의 절단 사시도이다.
도 4는 그리드 어셈블리의 상부 부분의 예의 상단 표면의 평면도이다.
도 5는 도 4의 상부 부분의 하단 표면의 사시도이다.
도 6a는 그리드 어셈블리의 하부 부분의 예의 상단 표면의 평면도이다.
도 6b는 튜브들의 예의 단면도이다.
도 7은 도 6의 하부 부분의 하단 표면의 사시도이다.
도 8a 및 도 8b는 대면 플레이트 내의 홀들에 대한 튜브들의 간격 및 튜브들의 예를 예시한다.
도 9는 대면 플레이트 위에 배열된 복수의 냉각된 그리드 어셈블리들의 예를 에시한다.
도면들에서, 참조 번호들은 유사하고/하거나 동일한 엘리먼트들을 식별하기 위해 재사용될 수도 있다.
본 개시에 따른 가스 분배 디바이스는 상부 부분, 하부 부분, 및 복수의 튜브들을 포함하는 그리드 어셈블리를 포함한다. 원치 않고 대미지를 주는 이온들을 필터링하는 동안 복수의 튜브들은 기판으로부터 플라즈마를 분리한다. 일부 예들에서, 그리드 어셈블리는 전기적으로 접지되고 기판에 대한 대미지를 방지하도록 이온들을 트랩 (trap) 한다. 그리드 어셈블리는 융해를 방지하도록 튜브들을 통해 흐르는 냉각 유체에 의해 냉각될 수도 있다. 단지 예를 들어, 냉각 유체는 물, GaldenTM 또는 다른 적합한 냉각 유체를 포함할 수도 있다.
일부 예들에서, 그리드 어셈블리의 튜브들은 샤워헤드 대면 플레이트 위 및 샤워헤드 대면 플레이트에 평행한 플레인 내에 평행하게 또는 교차하는 패턴으로 배열된다. 사용된다면, 대면 플레이트는 그리드 어셈블리 아래에 배열될 수도 있다. 부가적으로, 튜브 패턴은 그리드 어셈블리 위 또는 아래에 위치된 또 다른 그리드 어셈블리와 결합될 수도 있다. 그리드 어셈블리의 패턴은 또한 부가적인 가스 분배를 제공하도록 샤워헤드 패턴과 결합하여 사용될 수도 있다.
이해될 수 있는 바와 같이, 냉각된 그리드 어셈블리를 갖는 가스 분배 디바이스는 임의의 기판 프로세싱 시스템에 사용될 수도 있다. 단지 예를 들어, 냉각된 그리드 어셈블리를 갖는 가스 분배 디바이스는 반도체 웨이퍼와 같은 기판 상에 막을 패터닝, 증착, 에칭 및 애시하도록 사용된 기판 프로세싱 시스템들 내에 사용될 수도 있다.
이제 도 1을 참조하면, 본 개시에 따른 기판 프로세싱 시스템 (10) 의 예가 도시된다. 기판 프로세싱 시스템 (10) 은 프로세스 챔버 (12) 및 그리드 어셈블리 (14) 를 포함하는 가스 분배 디바이스 (13) 를 포함한다. 일부 예들에서, 리모트 플라즈마는 이하에 더 기술될 바와 같이 가스 분배 디바이스 (13) 로 공급되거나 가스 분배 디바이스 (13) 내에서 생성될 수도 있다. 페데스탈 (16) 은 프로세스 챔버 (12) 내에 배열될 수도 있다. 사용 동안, 반도체 웨이퍼와 같은 기판 (18) 또는 다른 타입의 기판이 페데스탈 (16) 상에 배열될 수도 있다.
기판 프로세싱 시스템 (10) 은 가스 전달 시스템 (20) 을 포함한다. 단지 예를 들어, 가스 전달 시스템 (20) 은 하나 이상의 가스 소스들 (22-1, 22-2, ..., 및 22-N) (집합적으로 가스 소스들 (22)) (여기서 N은 1 이상의 정수), 밸브들 (24-1, 24-2, ..., 및 24-N) (집합적으로 밸브들 (24)), 및 MFC들 (mass flow controllers) (26-1, 26-2, ..., 및 26-N) (집합적으로 MFC (26)) 을 포함할 수도 있다. 가스 전달 시스템 (20) 의 출력들은 매니폴드 (30) 내에서 혼합될 수도 있고 리모트 플라즈마 소스 및/또는 가스 분배 디바이스 (13) 로 전달될 수도 있다.
제어기 (40) 는 온도, 압력, 등과 같은 프로세스 챔버 (12) 내의 동작 파라미터들을 모니터링하는 하나 이상의 센서들 (41) 에 연결될 수도 있다. 히터 (42) 는 필요에 따라 페데스탈 (16) 및 기판 (18) 을 가열하도록 제공될 수도 있다. 밸브 (50) 및 펌프 (52) 는 프로세스 챔버 (12) 로부터 배기하도록 제공될 수도 있다.
단지 예를 들어, 리모트 플라즈마 소스 (56) 는 리모트 플라즈마를 생성하기 위해 플라즈마 튜브, 유도 코일 또는 또 다른 디바이스를 포함할 수도 있다. 단지 예를 들어, 리모트 플라즈마 소스 (56) 는 이로 제한되는 것은 아니지만, 산소, 불소, 산소 및/또는 불소를 포함하는 가스 혼합물들, 및/또는 다른 반응성 가스들과 같은 반응성 가스를 사용하여 리모트 플라즈마를 생성하도록 RF 전력 또는 마이크로파 전력을 사용할 수도 있다. 일부 예들에서, 유도 코일은 샤워헤드의 상부 스템부 둘레에 감기고 (wound) RF 소스 및 매칭 네트워크에 의해 생성된 RF 신호에 의해 여기된다. 스템부를 통해 흐르는 반응성 가스는 유도 코일을 통과하는 RF 신호에 의해 플라즈마 상태로 여기된다.
제어기 (40) 는 가스 전달 시스템 (20), 히터 (42), 밸브 (50), 펌프 (52), 및 리모트 플라즈마 소스 (56) 에 의해 생성된 플라즈마를 제어하도록 사용될 수도 있다.
일부 예들에서, 냉각 유체 소스 (64) 는 그리드 어셈블리 (14) 의 유체 유입부들과 유체로 연통하는 밸브 (66) 및 펌프 (68) 로 냉각 유체를 제공한다. 순환 후에, 유체는 유체 유출부들을 통해 냉각 유체 소스 (64) 로 돌아갈 수도 있다. 밸브 (66) 및 펌프 (68) 가 그리드 어셈블리 (14) 의 유입부 측면 상에 배열되지만, 밸브 (66) 및 펌프 (68) 는 또한 그리드 어셈블리 (14) 의 유출부 측면 상에 배열될 수도 있다.
이제 도 2를 참조하면, 본 개시에 따른 그리드 어셈블리 (14) 의 예의 사시도가 도시된다. 그리드 어셈블리 (14) 는 복수의 튜브들 (100-1, 100-2, ..., 및 100-T) (집합적으로 튜브들 (100)), 상부 부분 (102), 및 하부 부분 (도 3, 도 6 및 도 7에 도시됨) 을 포함한다. 일부 예들에서, 튜브들 (100), 상부 부분 (102), 및/또는 하부 부분은 알루미늄으로 제작될 수 있다. 일부 예들에서, 튜브들 (100) 은 심리스 (seamless) 이고 원형, 타원형, 또는 또 다른 단면을 갖는다. 홀들의 패턴을 포함하는 대면 플레이트 (도 3 및 도 8에 도시됨) 는 그리드 어셈블리 (14) 에 평행하게 또는 그리드 어셈블리 (14) 아래에 배열될 수도 있다. 일부 예들에서, 대면 플레이트는 석영으로 이루어진다.
상부 부분 (102) 은 일반적으로 환형 형상힐 수도 있고 중앙 개구부 (114) 를 규정하는 상부 표면 (110) 을 포함할 수도 있다. 튜브들 (100) 은 중앙 개구부 (114) 내에 위치된 플레인 내에서 평행하게 배열될 수도 있다. 상부 부분 (102) 은 냉각 유체를 수용하기 위한 하나 이상의 매니폴드들 (120) 을 규정하는 바디 (115) 를 포함한다. 매니폴드들 (120) 은 매니폴드 커버 (126) 에 의해 둘러싸일 (enclosed) 수도 있다.
유체 유입부들 (130) 은 매니폴드들 (120) 과 유체로 연통한다. 유체 유출부들 (132) 은 이하에 더 기술될 바와 같이 하부 부분과 연관된 매니폴드들과 유체로 연통한다. 대안적으로, 유체 유입부들은 하부 부분과 연관될 수도 있고 유체 유출부들은 상부 부분과 연관될 수도 있다. 또한, 상부 부분의 매니폴드들은 유입부들과 유출부들 사이에서 교번할 수도 있다. 상부 부분에 의해 규정된 매니폴드들의 수는 하부 부분에 의해 규정된 매니폴드들의 수와 같을 필요는 없다. 일부 예들에서, M 개의 매니폴드들 (120) 이 바디 (115) 의 반대되는 측면들 상에 배열되고, 여기서 M은 1 이상의 정수이다. 도 3의 예에서, M = 3이고 총 6 개의 매니폴드들 (120) 이 있다. 하부 부분은 유사한 레이아웃을 가질 수도 있다. 이 예시적인 배열에서, 유체는 등온성 설계를 제공하도록 양 측면들로부터 제공되고 제거된다. 여전히 다른 변화들이 고려된다.
이제 도 3을 참조하면, 그리드 어셈블리 (14) 는 대면 플레이트 (156) 에 인접하게 배열될 수도 있다. 냉각 유체는 유체 유입부들 (130) 중 하나를 통해 매니폴드들 (120) 중 하나로 공급될 수도 있다. 냉각 유체는 매니폴드들 (120) 의 하단 표면 내의 홀들 (142) 을 통해 튜브들 (100) 중 선택된 튜브들로 흐른다. (바디 (115) 의 반대되는 측면 상의 매니폴드들 (120) 로부터 기원하는) 냉각 유체는 튜브들 (100) 을 통해 하부 부분 (148) 의 바디에 의해 규정된 매니폴드들 (144) 내로 흐른다.
매니폴드들 (144) 중 하나 내의 유체는 상부 부분 (102) 내의 개구부 (152) 를 통해 유체 유출부들 (132) 중 하나 내로 흐르는 것을 도시된다. 이해될 수 있는 바와 같이, 냉각 유체의 플로우는 반전될 수도 있다. 홀들 (158) 의 패턴을 포함하는 대면 플레이트 (156) 는 튜브들 (100) 및/또는 하부 부분 (148) 아래에 배열될 수도 있다. 일부 예들에서, 대면 플레이트 (156) 는 석영으로 이루어진다.
도 4 및 도 5를 참조하면, 상부 부분 (102) 의 예의 상단 표면 및 하단 표면이 각각 도시된다. 홀들 (142) 은 매니폴드들 (120) 내측으로 바디 (115) 의 하단 표면을 통과하고 도 3 및 도 5에 도시된 바와 같이 매니폴드들 (120) 로부터 튜브들 (100) 로의 유체 경로를 제공한다. 하나 이상의 O-링들 (160) 이 상부 부분 (102) 의 하단 표면과 하부 부분 (148) 의 상부 표면 사이에 시일을 제공하도록 홀들 (142) 둘레에 사용될 수도 있다. 유사하게, 유체 유출부들 (132) 과 연관된 홀들 (164) 은 상부 부분 (102) 의 하단 표면과 하부 부분 (148) 의 상부 표면 사이에 시일을 제공하도록 O-링 (168) 을 채용할 수도 있다.
이제 도 6a 및 도 6b를 참조하면, 하부 부분 (148) 의 예가 도시된다. 도 6a에서, 하부 부분 (148) 은 바디 (150) 를 포함하고, 일반적으로 환형 형상일 수도 있고 하나 이상의 매니폴드들 (206) 을 규정할 수도 있다. 매니폴드 커버들 (208) 은 매니폴드들 (206) 을 둘러싸도록 사용될 수도 있다. 매니폴드 커버들 (208) 내의 개구부들 (210) 은 냉각 유체로 하여금 유체 유출부들 (132) 로 흐르게 하도록 상부 부분 (102) 내의 개구부들 (164) 과 일직선이 될 수도 있다.
일부 예들에서, 복수의 홀들 (240-1, 240-2, ..., 및 240-T) (집합적으로 홀들 (240)) 은 하부 부분 (148) 의 외측 원주 측표면 (242) 내에 형성될 수도 있다. 튜브들 (100) 은 홀들 (240) 내로 삽입될 수도 있고 매니폴드들 (206) 을 통과할 수도 있다. 일부 예들에서, 도 6b에 도시된 바와 같이 제 1 길이를 갖는 제 1 플러그들 (250) 및 제 2 길이를 갖는 제 2 플러그들 (252) 이 튜브들 (100) 중 교번하는 튜브들로 삽입될 수도 있다. 제 1 플러그들 (250) 은 제 2 플러그들 (252) 보다 길 수도 있다. 플러그들 (250 및 252) 은 튜브들 (100) 의 단부들을 시일하도록 사용된다.
도 3 및 도 7을 참조하면, 하부 부분 (148) 을 어셈블하기 위한 예시적인 방법이 기술될 것이다. 튜브들 (100) 은 하부 부분 (148) 내의 홀들 (240) 을 통해 삽입된다. 플러그들 (250 및 252) 은 상기 기술된 바와 같이 튜브들 (100) 의 단부들 내로 삽입된다. 매니폴드 커버들 (208) 이 이동되어, 튜브들 (100) 은 도 3 및 도 7에 도시된 바와 같이 260에서 매니폴드들 (206) 의 내측 영역으로 절단된다. 절단 후에, 제 2 플러그들 (252) 을 갖는 튜브들 (100) 의 일 단부는 하부 부분 (148) 의 매니폴드들 (206) 와 유체로 연통한다. 반대로, 제 1 플러그들 (250) 과 연관된 튜브들의 양 단부들은 차단된 채로 남고 하부 부분 (148) 의 대응하는 매니폴드 (206) 와 직접적으로 유체로 연통하지 않는다. 대신, 제 1 플러그들 (250) 과 연관된 튜브들 (100) 은 드릴링되고 (drilled) 매니폴드들 (120) 로부터 제 1 플러그들 (250) 과 연관된 튜브들 (100) 로의 유체 경로를 제공하도록 홀들 (142) 과 연통한다.
이제 도 8a를 참조하면, 대면 플레이트 내의 홀들에 대한 튜브들의 간격의 예가 도시된다. 이 예에서, 튜브들 (100) 은 0.25"의 직경을 갖고 d1에서 도시된 바와 같이 중심 상에서 0.375"로 이격되지만, 다른 치수들이 사용될 수도 있다. 이 예에서, 대면 플레이트 홀들은 직경이 0.25"이고 d2로 도시된 바와 같이 중심 상에서 0.350"로 이격된다. 도 8b에서, 타원형 튜브들을 사용하는 예가 도시된다. 일부 예들에서, 그리드 어셈블리는 2 이상의 튜브 직경들, 2 이상의 튜브 형상들 또는 2 이상의 튜브 간격 치수들을 갖는 튜브들을 포함할 수도 있다.
도 9를 참조하면, 복수의 냉각된 그리드 어셈블리들 (220-1, 220-2, ..., 및 220-G) (집합적으로 냉각된 그리드 어셈블리들 (220)) 의 예가 도시되고, 여기서 G는 1보다 큰 정수이다. 냉각된 그리드 어셈블리들 (220) 은 대면 플레이트 (224) 위에 배열된다. 냉각된 그리드 어셈블리들 (220) 은 서로 일직선으로 배열될 수도 있고 또는 서로에 대해 회전할 수도 있다. 대안적으로, 냉각된 그리드 어셈블리들 (220) 각각은 상이한 튜브 간격 및/또는 직경들을 가질 수도 있고 서로 일직선으로 배열될 수도 있고 또는 서로에 대해 회전할 수도 있다.
그리드 어셈블리가 플라즈마를 사용하여 가열됨에 따라, 냉각 유체는 튜브들을 냉각한다. 그리드 패턴의 간격은 대미지로부터 기판을 보호하기 위해 이온 필터로서 기능하고 가스 분배의 균일성을 개선한다.
프로세싱 기판이 증가된 직경을 가질 때, 기판을 애시하기 위해 상당한 부가적인 플라즈마 전력이 필요하다. 통상적으로, 플라즈마 전력은 기판의 면적과 함께 증가한다. 예를 들어 기판 직경이 300 ㎜로부터 450 ㎜로 증가하는 것은 (면적으로 2.25 배 증가) 플라즈마 전력의 유사한 증가를 필요로 한다. 예를 들어, 플라즈마 전력은 5 ㎾로부터 12.5 ㎾로 증가해야 할 수도 있다. 대면 플레이트의 온도는 플라즈마 전력과 함께 증가한다. 대면 플레이트에 대한 통상적인 최대 동작 온도는 300 ℃일 수도 있다. 냉각된 그리드 어셈블리는 대면 플레이트 최대 동작 온도를 초과하지 않고 플라즈마 전력의 증가를 허용한다. 일부 예들에서, 냉각된 그리드 어셈블리는 대략 50 ℃ 내지 200 ℃ 이상과 유사하게 대면 플레이트의 온도를 감소시킨다.
시뮬레이션들에서, 석영 대면 플레이트와 결합된 능동적으로 냉각된 그리드 어셈블리는 납땜된 샤워헤드 설계들 및 건 드릴된 샤워헤드 설계들에서와 유사한 애시 레이트들 (대략 18 %) 을 갖는 것으로 도시되었다.
일부 예들에서, 튜브들은 플라즈마 내에서 중성자들에 대해 낮은 재조합 계수를 갖는 전기적으로 도전성 재료로 코팅된다. 일부 예들에서, 튜브들의 단면은 원형, 사각형, 3 이상의 측면들을 갖는 다각형, 또는 타원형이다. 타원형 튜브들은 대면 플레이트를 향하는 이온들을 보다 효과적으로 제거하도록 인접한 튜브들 사이의 공간의 종횡비를 증가시킬 것이다. 에너제틱 (energetic) 이온들이 튜브들을 히트하고 (hit) 튜브들에 부착되고, 중성자들은 튜브들로부터 튀고, 결국 대면 플레이트 아래로 이동한다.
전술한 기술은 단순히 특성을 예시하는 것이고 어떠한 방식으로도 본 개시, 이의 애플리케이션, 또는 용도를 제한하도록 의도되지 않는다. 본 개시의 광범위한 교시들은 다양한 형태들로 구현될 수 있다. 따라서, 본 개시는 특별한 예들을 포함하지만, 본 개시의 진정한 범위는 다른 수정들이 도면들, 명세서, 및 이하의 청구항들을 연구함으로써 명백해질 것이기 때문에 그렇게 제한되지 않아야 한다. 본 명세서에서 사용된 바와 같이, 구 A, B, 및 C 중 적어도 하나는 비배타적인 논리 OR를 사용하여, 논리적으로 (A 또는 B 또는 C) 를 의미하는 것으로 해석되어야 하고, "적어도 하나의 A, 적어도 하나의 B, 및 적어도 하나의 C"를 의미하도록 해석되지 않아야 한다. 방법 내에서 하나 이상의 단계들은 본 개시의 원리를 변경하지 않고 다른 순서로 (또는 동시에) 실행될 수도 있다는 것이 이해되어야 한다.
일부 구현예들에서, 제어기는 상술한 예들의 일부일 수도 있는 시스템의 일부이다. 이러한 시스템들은, 프로세싱 툴 또는 툴들, 챔버 또는 챔버들, 프로세싱용 플랫폼 또는 플랫폼들, 및/또는 특정 프로세싱 컴포넌트들 (웨이퍼 페데스탈, 가스 플로우 시스템, 등) 을 포함하는, 반도체 프로세싱 장비를 포함할 수 있다. 이러한 시스템들은 반도체 웨이퍼 또는 기판의 프로세싱 이전에, 프로세싱 동안에 그리고 프로세싱 이후에 그들의 동작을 제어하기 위한 전자장치에 통합될 수 있다. 전자장치는 시스템 또는 시스템들의 다양한 컴포넌트들 또는 하위부품들을 제어할 수 있는 "제어기"로서 지칭될 수도 있다. 제어기는, 시스템의 프로세싱 요건들 및/또는 타입에 따라서, 예를 들어 프로세싱 가스들의 전달, 온도 설정사항들 (예를 들어, 가열 및/또는 냉각), 압력 설정사항들, 진공 설정사항들, 전력 설정사항들, 무선 주파수 (RF) 생성기 설정사항들, RF 매칭 회로 설정사항들, 주파수 설정사항들, 플로우 레이트 설정사항들, 유체 전달 설정사항들, 위치 및 동작 설정사항들, 툴들 및 다른 전달 툴들 및/또는 특정 시스템과 연결되거나 인터페이싱된 로드록들 내외로의 웨이퍼 이송들을 포함하는, 본 명세서에 개시된 프로세스들 중 임의의 프로세스를 제어하도록 프로그램될 수도 있다.
일반적으로 말하면, 제어기는 인스트럭션들을 수신하고 인스트럭션들을 발행하고 동작을 제어하고 세정 동작들을 인에이블하고, 엔드포인트 측정들을 인에이블하는 등을 하는 다양한 집적 회로들, 로직, 메모리, 및/또는 소프트웨어를 갖는 전자장치로서 규정될 수도 있다. 집적 회로들은 프로그램 인스트럭션들을 저장하는 펌웨어의 형태의 칩들, 디지털 신호 프로세서들 (DSP), ASIC (application specific integrated circuit) 으로서 규정되는 칩들 및/또는 프로그램 인스트럭션들 (예를 들어, 소프트웨어) 을 실행하는 하나 이상의 마이크로프로세서들, 또는 마이크로제어기들을 포함할 수도 있다. 프로그램 인스트럭션들은 반도체 웨이퍼 상에서 또는 반도체 웨이퍼에 대한 특정 프로세스를 실행하기 위한 동작 파라미터들을 규정하는, 다양한 개별 설정사항들 (또는 프로그램 파일들) 의 형태로 제어기로 또는 시스템으로 전달되는 인스트럭션들일 수 있다. 일부 실시예들에서, 동작 파라미터들은 하나 이상의 층들, 재료들, 금속들, 산화물들, 실리콘, 이산화 실리콘, 표면들, 회로들, 및/또는 웨이퍼의 다이들의 제조 동안에 하나 이상의 프로세싱 단계들을 달성하도록 프로세스 엔지니어에 의해서 규정된 레시피의 일부일 수도 있다.
제어기는, 일부 구현예들에서, 시스템에 통합되거나, 시스템에 커플링되거나, 이와 달리 시스템에 네트워킹되거나, 또는 이들의 조합으로 될 수 있는 컴퓨터에 커플링되거나 이의 일부일 수 있다. 예를 들어, 제어기는 웨이퍼 프로세싱의 원격 액세스를 가능하게 할 수 있는 공장 (fab) 호스트 컴퓨터 시스템의 전부 또는 일부이거나 "클라우드" 내에 있을 수 있다. 컴퓨터는 제조 동작들의 현 진행을 모니터링하고, 과거 제조 동작들의 이력을 조사하고, 복수의 제조 동작들로부터 경향들 또는 성능 계측치들을 조사하고, 현 프로세싱의 파라미터들을 변경하고, 현 프로세싱을 따르는 프로세싱 단계들을 설정하고, 또는 새로운 프로세스를 시작하기 위해서 시스템으로의 원격 액세스를 인에이블할 수도 있다. 일부 예들에서, 원격 컴퓨터 (예를 들어, 서버) 는 로컬 네트워크 또는 인터넷을 포함할 수도 있는 네트워크를 통해서 프로세스 레시피들을 시스템에 제공할 수 있다. 원격 컴퓨터는 차후에 원격 컴퓨터로부터 시스템으로 전달될 파라미터들 및/또는 설정사항들의 입력 또는 프로그래밍을 가능하게 하는 사용자 인터페이스를 포함할 수 있다. 일부 예들에서, 제어기는 하나 이상의 동작들 동안에 수행될 프로세스 단계들 각각에 대한 파라미터들을 특정한, 데이터의 형태의 인스트럭션들을 수신한다. 이 파라미터들은 제어기가 제어하거나 인터페이싱하도록 구성된 툴의 타입 및 수행될 프로세스의 타입에 특정적일 수 있다는 것이 이해되어야 한다. 따라서, 상술한 바와 같이, 제어기는 예를 들어 서로 네트워킹되어서 함께 공통 목적을 위해서, 예를 들어 본 명세서에 기술된 프로세스들 및 제어들을 위해서 협력하는 하나 이상의 개별 제어기들을 포함함으로써 분산될 수도 있다. 이러한 목적을 위한 분산형 제어기의 예는 챔버 상의 프로세스를 제어하도록 조합되는, (예를 들어, 플랫폼 레벨에서 또는 원격 컴퓨터의 일부로서) 원격으로 위치한 하나 이상의 집적 회로들과 통신하는 챔버 상의 하나 이상의 집적 회로들일 수 있다.
비한정적으로, 예시적인 시스템들은 플라즈마 에칭 챔버 또는 모듈, 증착 챔버 또는 모듈, 스핀-린스 챔버 또는 모듈, 금속 도금 챔버 또는 모듈, 세정 챔버 또는 모듈, 베벨 에지 에칭 챔버 또는 모듈, PVD (physical vapor deposition) 챔버 또는 모듈, CVD (chemical vapor deposition) 챔버 또는 모듈, ALD (atomic layer deposition) 챔버 또는 모듈, ALE (atomic layer etch) 챔버 또는 모듈, 이온 주입 챔버 또는 모듈, 트랙 (track) 챔버 또는 모듈, 및 반도체 웨이퍼들의 제조 및/또는 가공 시에 사용되거나 연관될 수도 있는 임의의 다른 반도체 프로세싱 시스템들을 포함할 수도 있다.
상술한 바와 같이, 툴에 의해서 수행될 프로세스 단계 또는 단계들에 따라서, 제어기는, 반도체 제조 공장 내의 툴 위치들 및/또는 로드 포트들로부터/로 웨이퍼들의 컨테이너들을 이동시키는 재료 이송 시에 사용되는, 다른 툴 회로들 또는 모듈들, 다른 툴 컴포넌트들, 클러스터 툴들, 다른 툴 인터페이스들, 인접 툴들, 이웃하는 툴들, 공장 도처에 위치한 툴들, 메인 컴퓨터, 다른 제어기 또는 툴들 중 하나 이상과 통신할 수도 있다.

Claims (35)

  1. 기판 프로세싱 시스템을 위한 냉각 그리드 어셈블리에 있어서,
    제 1 부분 및 상기 제 1 부분에 인접하게 배열된 제 2 부분을 포함하고,
    상기 제 1 부분은,
    중앙 개구부를 규정하는 제 1 바디;
    유입부;
    유출부; 및
    상기 제 1 바디 내에 위치되고 상기 유입부 또는 상기 유출부와 유체로 연통하는 상부 매니폴드를 포함하고; 그리고
    상기 제 2 부분은,
    중앙 개구부를 규정하는 제 2 바디;
    상기 제 2 바디의 상기 중앙 개구부 내에 배열된 복수의 튜브들로서, 상기 복수의 튜브들 각각의 제 1 단부들은 상기 상부 매니폴드와 유체로 연통하는, 상기 복수의 튜브들; 및
    상기 제 2 바디 내에 위치되고 상기 유입부 또는 상기 유출부 중 다른 하나와 유체로 연통하는 하부 매니폴드를 포함하고,
    상기 복수의 튜브들 각각의 제 2 단부들은 상기 하부 매니폴드와 유체로 연통하고, 그리고
    상기 냉각 그리드 어셈블리는 리모트 플라즈마 소스와 기판 사이에 배열되는, 기판 프로세싱 시스템을 위한 냉각 그리드 어셈블리.
  2. 제 1 항에 있어서,
    상기 제 1 바디 및 상기 제 2 바디는 환형-형상이고,
    상기 복수의 튜브들은 상기 제 2 부분의 상기 중앙 개구부 내에 평행하게 배열되는, 기판 프로세싱 시스템을 위한 냉각 그리드 어셈블리.
  3. 제 1 항에 있어서,
    상기 상부 매니폴드는, 상기 복수의 튜브들 중 상기 제 1 튜브들 내 복수의 제 2 홀들과 각각 유체로 연통하는 복수의 제 1 홀들을 갖는 하단 표면을 포함하는, 기판 프로세싱 시스템을 위한 냉각 그리드 어셈블리.
  4. 제 3 항에 있어서,
    상기 제 1 바디의 하단 표면과 상기 제 2 바디의 상부 표면 사이에 시일을 제공하도록 상기 복수의 제 1 홀들 둘레의 상기 제 1 바디의 상기 하단 표면 상에 배열된 O-링을 더 포함하는, 기판 프로세싱 시스템을 위한 냉각 그리드 어셈블리.
  5. 제 1 항에 있어서,
    상기 제 2 부분은 상기 제 2 바디의 외측 원주의 측표면 내에 복수의 제 1 홀들을 포함하고, 그리고
    상기 복수의 튜브들은 상기 제 1 홀들 내에 배열되는, 기판 프로세싱 시스템을 위한 냉각 그리드 어셈블리.
  6. 제 5 항에 있어서,
    상기 복수의 튜브들 중 상기 제 1 튜브들 내에 배열된 제 1 플러그들; 및
    상기 복수의 튜브들 중 상기 제 2 튜브들 내에 배열된 제 2 플러그들을 더 포함하고,
    상기 제 2 플러그들은 상기 제 1 플러그들과 상이한 길이를 갖는, 기판 프로세싱 시스템을 위한 냉각 그리드 어셈블리.
  7. 제 1 항에 있어서,
    상기 유입부 또는 상기 유출부 중 상기 다른 하나와 유체로 연통하는 상기 제 1 바디를 관통하는 홀로서, 상기 홀은 또한 상기 하부 매니폴드와 유체로 연통하는, 상기 홀; 및
    상기 제 1 바디의 하단 표면과 상기 제 2 바디의 상부 표면 사이에 시일을 제공하도록 상기 홀 둘레의 상기 제 1 바디의 상기 하단 표면 상에 배열된 O-링을 더 포함하는, 기판 프로세싱 시스템을 위한 냉각 그리드 어셈블리.
  8. 제 1 항에 있어서,
    상기 제 1 바디 내에 규정된 S 개의 상부 매니폴드들로서, 상기 S 개의 상부 매니폴드들은 상기 상부 매니폴드를 포함하고, 여기서 S는 1보다 큰 정수인, 상기 S 개의 상부 매니폴드들; 및
    상기 제 2 바디 내에 규정된 T 개의 하부 매니폴드들로서, 상기 T 개의 하부 매니폴드들은 상기 하부 매니폴드를 포함하고, 여기서 T는 1보다 큰 정수인, 상기 T 개의 하부 매니폴드들을 더 포함하는, 기판 프로세싱 시스템을 위한 냉각 그리드 어셈블리.
  9. 제 8 항에 있어서,
    S 와 T는 짝수인 정수이고;
    상기 S 개의 상부 매니폴드들 중 1/2은 상기 제 1 바디의 일 측면 상에 위치되고;
    상기 S 개의 상부 매니폴드들 중 1/2은 상기 제 1 바디의 반대되는 측면 상에 위치되고;
    상기 T 개의 하부 매니폴드들 중 1/2은 상기 제 2 바디의 일 측면 상에 위치되고; 그리고
    상기 T 개의 하부 매니폴드들 중 1/2은 상기 제 2 바디의 반대되는 측면 상에 위치되는, 기판 프로세싱 시스템을 위한 냉각 그리드 어셈블리.
  10. 제 1 항에 기재된 상기 냉각 그리드 어셈블리; 및
    복수의 홀들을 포함하는 홀 패턴을 포함하고 상기 냉각 그리드 어셈블리의 상기 제 2 부분에 인접하게 배열된 대면 플레이트 (faceplate) 를 포함하는, 기판 프로세싱 시스템.
  11. 제 10 항에 있어서,
    상기 냉각 그리드 어셈블리의 상기 복수의 튜브들은 알루미늄으로 이루어지고 상기 대면 플레이트는 석영으로 이루어지는, 기판 프로세싱 시스템.
  12. 제 10 항에 있어서,
    상기 복수의 튜브들은 원형 단면을 갖는, 기판 프로세싱 시스템.
  13. 제 10 항에 있어서,
    상기 복수의 튜브들은 타원형 단면을 갖는, 기판 프로세싱 시스템.
  14. 제 13 항에 있어서,
    상기 타원형 단면은 상기 복수의 튜브들을 포함하는 플레인에 평행한 단축을 갖는, 기판 프로세싱 시스템.
  15. 제 10 항에 있어서,
    상기 기판 프로세싱 시스템은 애싱을 수행하는, 기판 프로세싱 시스템.
  16. 제 10 항에 있어서,
    상기 기판 프로세싱 시스템은 막 증착을 수행하는, 기판 프로세싱 시스템.
  17. 제 10 항에 있어서,
    상기 기판 프로세싱 시스템은 에칭을 수행하는, 기판 프로세싱 시스템.
  18. 복수의 제 1 항에 기재된 상기 냉각 그리드 어셈블리들; 및
    복수의 홀들을 포함하는 홀 패턴을 포함하고 상기 복수의 냉각 그리드 어셈블리들 중 하나의 상기 제 2 부분에 인접하게 배열된 대면 플레이트를 포함하는, 기판 프로세싱 시스템.
  19. 제 18 항에 있어서,
    상기 복수의 냉각 그리드 어셈블리들은 서로 일직선으로 배열되는, 기판 프로세싱 시스템.
  20. 제 18 항에 있어서,
    상기 복수의 냉각 그리드 어셈블리들은 서로에 대해 회전되는, 기판 프로세싱 시스템.
  21. 제 18 항에 있어서,
    상기 복수의 냉각 그리드 어셈블리들 중 적어도 하나는 상기 복수의 냉각 그리드 어셈블리들 증 또 다른 하나와 상이한 튜브 간격 또는 상이한 튜브 직경을 갖는, 기판 프로세싱 시스템.
  22. 기판 프로세싱 시스템을 위한 냉각 그리드 어셈블리에 있어서,
    제 1 부분으로서,
    중앙 개구부를 규정하는 제 1 바디;
    상기 제 1 바디 내에 규정된 S 개의 상부 매니폴드들로서, S는 1보다 큰 짝수 정수인, 상기 S 개의 상부 매니폴드들을 포함하는, 상기 제 1 부분;
    상기 제 1 부분에 인접하게 배열된 제 2 부분으로서,
    중앙 개구부를 규정하는 제 2 바디;
    상기 제 2 바디 내에 규정된 T 개의 하부 매니폴드들로서, T는 1보다 큰 짝수 정수인, 상기 T 개의 하부 매니폴드들;
    상기 제 2 바디의 상기 중앙 개구부 내에 배열된 복수의 튜브들로서, 상기 복수의 튜브들 중 제 1 튜브들은 상기 S 개의 상부 매니폴드들과 유체로 연통하고, 그리고 상기 복수의 튜브들 중 제 2 튜브들은 상기 T 개의 하부 매니폴드들과 유체로 연통하는, 상기 복수의 튜브들을 포함하는, 상기 제 2 부분; 및
    상기 S 개의 상부 매니폴드들의 쌍 각각 및 상기 T 개의 하부 매니폴드들의 쌍 각각과 유체로 연통하는 유입부 및 유출구를 포함하고,
    상기 냉각 그리드 어셈블리는 리모트 플라즈마 소스와 기판 사이에 배열되는, 기판 프로세싱 시스템을 위한 냉각 그리드 어셈블리.
  23. 제 22 항에 있어서,
    상기 S 개의 상부 매니폴드들 중 1/2은 상기 제 1 바디의 일 측면 상에 위치되고;
    상기 S 개의 상부 매니폴드들 중 1/2은 상기 제 1 바디의 반대되는 측면 상에 위치되고;
    상기 T 개의 하부 매니폴드들 중 1/2은 상기 제 2 바디의 일 측면 상에 위치되고; 및
    상기 T 개의 하부 매니폴드들 중 1/2은 상기 제 2 바디의 반대되는 측면 상에 위치되는, 기판 프로세싱 시스템을 위한 냉각 그리드 어셈블리.
  24. 제 22 항에 기재된 상기 냉각 그리드 어셈블리; 및
    복수의 홀들을 포함하는 홀 패턴을 포함하고 상기 냉각 그리드 어셈블리의 상기 제 2 부분에 인접하게 배열된 대면 플레이트를 포함하는, 기판 프로세싱 시스템.
  25. 제 24 항에 있어서,
    상기 냉각 그리드 어셈블리의 상기 복수의 튜브들은 알루미늄으로 이루어지고 상기 대면 플레이트는 석영으로 이루어지는, 기판 프로세싱 시스템.
  26. 제 24 항에 있어서,
    상기 복수의 튜브들은 원형 단면을 갖는, 기판 프로세싱 시스템.
  27. 제 24 항에 있어서,
    상기 복수의 튜브들은 타원형 단면을 갖는, 기판 프로세싱 시스템.
  28. 제 27 항에 있어서,
    상기 타원형 단면은 상기 복수의 튜브들을 포함하는 플레인에 평행한 단축을 갖는, 기판 프로세싱 시스템.
  29. 제 24 항에 있어서,
    상기 기판 프로세싱 시스템은 애싱을 수행하는, 기판 프로세싱 시스템.
  30. 제 24 항에 있어서,
    상기 기판 프로세싱 시스템은 막 증착을 수행하는, 기판 프로세싱 시스템.
  31. 제 24 항에 있어서,
    상기 기판 프로세싱 시스템은 에칭을 수행하는, 기판 프로세싱 시스템.
  32. 복수의 제 22 항에 기재된 상기 냉각 그리드 어셈블리들; 및
    복수의 홀들을 포함하는 홀 패턴을 포함하고 상기 복수의 냉각 그리드 어셈블리들 중 하나의 상기 제 2 부분에 인접하게 배열된 대면 플레이트를 포함하는, 기판 프로세싱 시스템.
  33. 제 32 항에 있어서,
    상기 복수의 냉각 그리드 어셈블리들은 서로 일직선으로 배열되는, 기판 프로세싱 시스템.
  34. 제 32 항에 있어서,
    상기 복수의 냉각 그리드 어셈블리들은 서로에 대해 회전되는, 기판 프로세싱 시스템.
  35. 제 32 항에 있어서,
    상기 복수의 냉각 그리드 어셈블리들 중 적어도 하나는 상기 복수의 냉각 그리드 어셈블리들 증 또 다른 하나와 상이한 튜브 간격 또는 상이한 튜브 직경을 갖는, 기판 프로세싱 시스템.
KR1020150137940A 2014-09-26 2015-09-30 능동적으로 냉각된 그리드를 갖는 가스 분배 디바이스 KR102453999B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/498,049 US9679749B2 (en) 2014-09-26 2014-09-26 Gas distribution device with actively cooled grid
US14/498,049 2014-09-26

Publications (2)

Publication Number Publication Date
KR20160037120A KR20160037120A (ko) 2016-04-05
KR102453999B1 true KR102453999B1 (ko) 2022-10-12

Family

ID=55585229

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020150137940A KR102453999B1 (ko) 2014-09-26 2015-09-30 능동적으로 냉각된 그리드를 갖는 가스 분배 디바이스

Country Status (5)

Country Link
US (1) US9679749B2 (ko)
KR (1) KR102453999B1 (ko)
CN (1) CN105603390B (ko)
SG (1) SG10201507980YA (ko)
TW (1) TWI676706B (ko)

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9679749B2 (en) * 2014-09-26 2017-06-13 Lam Research Corporation Gas distribution device with actively cooled grid
CN108695189B (zh) * 2017-04-12 2021-05-04 台湾积体电路制造股份有限公司 晶圆加工装置及加工半导体晶圆的方法
JP2022511046A (ja) * 2018-12-07 2022-01-28 アプライド マテリアルズ インコーポレイテッド 部品、部品を製造する方法、及び部品を洗浄する方法
US11721527B2 (en) * 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
WO2020154244A1 (en) * 2019-01-23 2020-07-30 Lam Research Corporation Substrate processing system including dual ion filter for downstream plasma
CN113795610A (zh) * 2019-04-26 2021-12-14 朗姆研究公司 在处理室中衬底的高温加热
CN114576179B (zh) * 2022-03-28 2023-04-18 浙江颐顿机电有限公司 一种气环式鼓风机

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040219737A1 (en) 2001-12-20 2004-11-04 Tokyo Electron Limited Method and apparatus for processing a workpiece with a plasma
US20090200948A1 (en) 2008-02-11 2009-08-13 Apjet, Inc. Large area, atmospheric pressure plasma for downstream processing
US20100078320A1 (en) 2008-09-26 2010-04-01 Applied Materials, Inc. Microwave plasma containment shield shaping
US20140004707A1 (en) 2012-07-02 2014-01-02 Novellus Systems, Inc. Polysilicon etch with high selectivity

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102154628B (zh) * 2004-08-02 2014-05-07 维高仪器股份有限公司 用于化学气相沉积反应器的多气体分配喷射器
JP2010521820A (ja) * 2007-03-12 2010-06-24 東京エレクトロン株式会社 基板内での処理の均一性を改善するための動的な温度背面ガス制御
JP5417338B2 (ja) * 2007-10-31 2014-02-12 ラム リサーチ コーポレーション 冷却液と構成部品本体との間の熱伝導性を制御するためにガス圧を使用する温度制御モジュール及び温度制御方法
US8749053B2 (en) * 2009-06-23 2014-06-10 Intevac, Inc. Plasma grid implant system for use in solar cell fabrications
US9966236B2 (en) * 2011-06-15 2018-05-08 Lam Research Corporation Powered grid for plasma chamber
US9613825B2 (en) * 2011-08-26 2017-04-04 Novellus Systems, Inc. Photoresist strip processes for improved device integrity
US9679749B2 (en) * 2014-09-26 2017-06-13 Lam Research Corporation Gas distribution device with actively cooled grid

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040219737A1 (en) 2001-12-20 2004-11-04 Tokyo Electron Limited Method and apparatus for processing a workpiece with a plasma
US20090200948A1 (en) 2008-02-11 2009-08-13 Apjet, Inc. Large area, atmospheric pressure plasma for downstream processing
US20100078320A1 (en) 2008-09-26 2010-04-01 Applied Materials, Inc. Microwave plasma containment shield shaping
US20140004707A1 (en) 2012-07-02 2014-01-02 Novellus Systems, Inc. Polysilicon etch with high selectivity

Also Published As

Publication number Publication date
CN105603390B (zh) 2018-04-27
KR20160037120A (ko) 2016-04-05
TW201632654A (zh) 2016-09-16
CN105603390A (zh) 2016-05-25
SG10201507980YA (en) 2016-04-28
TWI676706B (zh) 2019-11-11
US9679749B2 (en) 2017-06-13
US20160093472A1 (en) 2016-03-31

Similar Documents

Publication Publication Date Title
KR102453999B1 (ko) 능동적으로 냉각된 그리드를 갖는 가스 분배 디바이스
US20230175134A1 (en) Integrated showerhead with thermal control for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition
KR102535931B1 (ko) 기판 프로세싱 시스템 내의 재순환을 감소시키기 위한 칼라, 원추형 샤워헤드들 및/또는 상단 플레이트들
KR102333806B1 (ko) 기생 플라즈마를 억제하고 웨이퍼 내 불균일성을 감소시키기 위한 시스템들 및 방법들
KR102458699B1 (ko) 개선된 프로세스 균일도를 갖는 기판 지지부
KR20160062689A (ko) 반도체 기판 프로세싱 장치 내에서 반도체 기판을 균일하게 프로세싱하기 위한 가스 주입 방법
KR102430432B1 (ko) 개방 볼륨 이퀄라이제이션 통로들 및 측면 밀폐부를 가진 평면형 기판 에지 콘택트
KR102521717B1 (ko) 아킹 (arcing) 을 감소시키기 위한 헬륨 플러그 설계
KR102620610B1 (ko) 원자층 증착 동안 화학물질들의 제어된 분리 및 전달을 통해 저 디펙트 프로세싱을 가능하게 하는 시스템들 및 방법들
KR20190133282A (ko) 동시에 발생하는 인시츄 플라즈마 소스 및 리모트 플라즈마 소스를 사용한 신속한 챔버 세정
KR20200087267A (ko) 리모트 플라즈마 막 증착을 인에이블하도록 다운스트림 챔버로 라디칼 및 전구체 가스를 전달하기 위해 개선된 홀 패턴을 갖는 통합된 샤워헤드
KR20180012697A (ko) 복잡한 3-d 구조체들을 에칭하기 위한 압력 퍼지 에칭 방법
US11837446B2 (en) High power cable for heated components in RF environment
US20230332291A1 (en) Remote plasma architecture for true radical processing
US10096471B2 (en) Partial net shape and partial near net shape silicon carbide chemical vapor deposition
KR102261127B1 (ko) Rf 플라즈마 생성기 및 리모트 플라즈마 생성기에 공급하는 rf 신호 소스
US20230009859A1 (en) Asymmetric purged block beneath wafer plane to manage non-uniformity
WO2023076321A1 (en) Modulating thermal conductivity to control cooling of showerhead

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant