KR20160062689A - 반도체 기판 프로세싱 장치 내에서 반도체 기판을 균일하게 프로세싱하기 위한 가스 주입 방법 - Google Patents

반도체 기판 프로세싱 장치 내에서 반도체 기판을 균일하게 프로세싱하기 위한 가스 주입 방법 Download PDF

Info

Publication number
KR20160062689A
KR20160062689A KR1020150159441A KR20150159441A KR20160062689A KR 20160062689 A KR20160062689 A KR 20160062689A KR 1020150159441 A KR1020150159441 A KR 1020150159441A KR 20150159441 A KR20150159441 A KR 20150159441A KR 20160062689 A KR20160062689 A KR 20160062689A
Authority
KR
South Korea
Prior art keywords
gas
discrete
sector
flowing
discrete sector
Prior art date
Application number
KR1020150159441A
Other languages
English (en)
Inventor
제임스 로저스
지강 첸
존 홀란드
카일 스폴딩
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20160062689A publication Critical patent/KR20160062689A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/228Gas flow assisted PVD deposition
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • H01L21/02315Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • H01L21/205
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Analytical Chemistry (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Chemical & Material Sciences (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • Plasma Technology (AREA)

Abstract

샤워헤드의 프로세스 노출된 표면의 개별 섹터들 내에 가스 유출부들을 포함하는 샤워헤드를 포함하는 플라즈마 프로세싱 장치 내에서 반도체 기판의 상부면을 균일하게 프로세싱하는 방법은, 가스가 샤워헤드의 인접한 개별 섹터를 통해 흐르는 것을 방지하는 동안, 샤워헤드의 제 1 개별 섹터를 통해 가스를 흘림으로써 반도체 기판의 상부면을 프로세싱하는 단계, 및 가스가 샤워헤드의 인접한 개별 섹터를 통해 흐르는 것을 방지하는 동안, 샤워헤드의 제 2 개별 섹터를 통해 가스를 흘림으로써 반도체 기판의 상부면을 프로세싱하는 단계를 포함한다. 샤워헤드의 제 1 개별 섹터 및 제 2 개별 섹터를 통한 가스의 플로우는, 반도체 기판의 상부면이 균일하게 프로세싱되도록 시간 평균된다.

Description

반도체 기판 프로세싱 장치 내에서 반도체 기판을 균일하게 프로세싱하기 위한 가스 주입 방법{GAS INJECTION METHOD FOR UNIFORMLY PROCESSING A SEMICONDUCTOR SUBSTRATE IN A SEMICONDUCTOR SUBSTRATE PROCESSING APPARATUS}
본 명세서에 개시된 실시예들은 반도체 기판 프로세싱 장치의 진공 챔버 내에서 반도체 기판을 균일하게 프로세싱하기 위해 샤워헤드의 개별 섹터들을 통해 가스를 주입하는 방법들에 관한 것이고, 반도체 기판 프로세싱 장치의 진공 챔버 내에서 반도체 기판을 균일하게 프로세싱하기 위해 샤워헤드의 개별 섹터들을 통해 가스를 연속적으로 주입하는 방법들의 특정한 용도를 찾을 수도 있다.
반도체 구조체들은 진공 챔버, 챔버 내로 프로세스 가스를 공급하는 가스 소스, 및 프로세스 가스로부터 플라즈마를 생성하는 에너지 소스를 포함하는 플라즈마 프로세싱 장치와 같은 반도체 기판 프로세싱 장치들에서 프로세싱된다. 반도체 구조체들은 건식 에칭 프로세스들, 습식 에칭 프로세스들, 증착 프로세스들, 예를 들어, 금속, 유전체 및 반도체 재료들의 CVD (chemical vapor deposition), PVD (physical vapor deposition), 또는 PECVD (plasma-enhanced chemical vapor deposition) 및 레지스트 스트립핑 프로세스들을 포함하는 기법들에 의해 이러한 장치들에서 프로세싱된다. 상이한 프로세스 가스들은 이들 프로세싱 기법들을 위해, 뿐만 아니라 반도체 구조체들의 상이한 재료들을 프로세싱하기 위해 사용된다.
반도체 기판 프로세싱 장치 내에서 반도체 기판의 상부면을 균일하게 프로세싱하는 방법이 본 명세서에 개시된다. 반도체 기판 프로세싱 장치는 샤워헤드의 프로세스 노출된 표면의 개별 섹터들 내에 가스 유출부들을 가진 샤워헤드를 포함한다. 방법은 가스가 샤워헤드의 인접한 개별 섹터를 통해 흐르는 것을 방지하는 동안, 샤워헤드의 제 1 개별 섹터를 통해 가스를 흘림으로써 반도체 기판의 상부면을 프로세싱하는 단계, 및 가스가 샤워헤드의 인접한 개별 섹터를 통해 흐르는 것을 방지하는 동안, 샤워헤드의 제 2 개별 섹터를 통해 가스를 흘림으로써 반도체 기판의 상부면을 프로세싱하는 단계를 포함한다. 샤워헤드의 제 1 개별 섹터 및 제 2 개별 섹터를 통한 가스의 플로우는, 반도체 기판의 상부면이 균일하게 프로세싱되도록 시간에 대해 평균된다 (time averaged).
반도체 기판 프로세싱 장치 내에서 반도체 기판의 상부면을 균일하게 프로세싱하는 방법이 본 명세서에 또한 개시된다. 반도체 기판 프로세싱 장치는 샤워헤드의 프로세스 노출된 표면의 개별 섹터들 내에 가스 유출부들을 가진 샤워헤드를 포함한다. 방법은 적어도 하나의 다른 개별 섹터를 통한 가스의 플로우를 방지하는 동안 개별 섹터들 중 하나 이상을 통해 가스를 연속적으로 흘리는 단계로서, 개별 섹터들을 통해 흐른 가스는 반도체 기판의 상부면이 균일하게 프로세싱되도록 시간에 대해 평균되는, 가스를 연속적으로 흘리는 단계를 포함한다.
도 1은 본 명세서에 개시된 실시예들 따라 사용될 수도 있는 플라즈마 프로세싱 장치의 개략도이다.
도 2a 내지 도 2c는 본 명세서에 개시된 실시예들에 따른 샤워헤드의 개별 섹터들을 통한 연속적인 가스 주입의 프로세스 단계들을 도시한다.
다음의 기술에서, 다수의 구체적인 실시예들이 본 명세서에 개시된 시스템들, 장치들, 및 방법들의 철저한 이해를 제공하기 위해서 제시된다. 그러나, 본 실시예들은 이들 구체적인 상세사항들 없이 또는 대안적인 엘리먼트들 (element) 또는 프로세스들을 사용함으로써 실행될 수도 있다는 것이 당업자들에게 자명할 것이다. 다른 예들에서, 잘 알려진 프로세스 동작들, 절차들, 및/또는 컴포넌트들 (component) 은 본 명세서에서 개시된 실시예들의 양태들을 불필요하게 모호하게 하지 않도록 상세히 기술되지 않았다. 도면들에서 유사한 부호들은 유사한 엘리먼트들을 나타낸다. 본 명세서에서 사용되는 바와 같이, 용어 "약"은 ± 10 % 를 지칭한다.
반도체 기판 프로세싱 장치들의 일 계측사항 (metric) 은 증가된 프로세싱 균일도이며, 이는 반도체 기판 표면 상에서의 프로세스 결과들의 균일도뿐만 아니라 명목상 (nominally) 동일한 입력 파라미터들로 프로세싱된 일련의 기판들의 프로세스 결과들의 균일도를 포함한다. 기판-상 균일도의 연속적인 개선은 바람직하다. 다른 것들 중에서도, 이는 개선된 균일도, 일관성 및 자가-진단들을 갖는 플라즈마 챔버들을 요구한다.
불균일한 반도체 기판 프로세싱은 RF 전력의 공간적 변형 (예를 들어, 플라즈마 프로세싱 장치의 진공 챔버 내의 플라즈마 밀도), 온도 (예를 들어, 프로세싱되는 반도체 기판의 상부면에 걸친 온도 또는 챔버 부분 주변 온도), 및/또는 (활성화된 분자 및 비-활성화된 분자 및 화학 반응들로부터의 부산물들 및 화학적 불균일도를 포함하는) 화학 종에 의해 구동될 수 있다. 본 명세서에 개시된 방법들의 실시예들은 반도체 기판들이 보다 균일하게 프로세싱 (예를 들어, 플라즈마 에칭) 되도록 반도체 기판들의 프로세싱 동안 화학적 균일도를 개선한다. 바람직한 실시예에서, 화학적 균일도를 개선하기 위해서, 가스는 반도체 기판 위에 배치된 샤워헤드를 통해 반도체 기판 프로세싱 장치의 진공 챔버 내로 주입될 수 있고, 샤워헤드는 반도체 기판의 상부면에 걸쳐 가스를 균일하게 주입하도록 균일한 홀 패턴을 포함할 수 있다.
샤워헤드를 통한 대칭적인 가스 주입으로부터, 샤워헤드의 중심을 통해 반도체 기판의 중심을 향하여 주입된 가스는 샤워헤드의 중심으로부터 방사상으로 외측으로 주입된 가스보다 보다 긴 체류 시간을 가진다. 보다 긴 체류 시간은 가스가 반도체 기판의 상부면에 걸쳐 반도체 기판의 중심으로부터 방사상으로 외측으로 이동해야 하기 때문에 발생하고, 가스는 진공 펌프에 의해 진공 챔버로부터 제거된다. 가스가 진공 챔버로부터 제거되도록 반도체 기판의 에지로 흐를 필요가 있기 때문에, 반도체 기판의 에지의 방사상으로 내측으로 반도체 기판의 부분들에서 보다는 반도체 기판의 에지에서 보다 고 비율의 부산물들이 또한 있다. 반도체 기판의 프로세싱 동안 진공 챔버 내로 공급된 가스의 플로우 경로는 프로세싱된 반도체 기판의 임계 치수들 (CD) 에서 "W" 형상의 형성을 발생시킬 수 있고 피크는 프로세싱된 반도체 기판의 중심에서 형성되고, 저 구역은 프로세싱된 반도체 기판의 중간 반경에서 형성되고, 그리고 고 구역은 프로세싱된 반도체 기판의 에지에서 형성된다.
화학적 불균일도는 샤워헤드의 프로세스 노출된 표면 (예를 들어, 플라즈마 노출된 표면) 내에 형성된 개별 섹터들 내에 배치된 상이한 유출부들을 통해 가스를 주입함으로써 그리고 제 때에 샤워헤드의 개별 섹터들을 통해 가스의 주입을 시퀀싱함으로써 (sequencing) 감소될 수 있다. 따라서, 프로세싱되는 반도체 기판의 상부면에 걸친 상이한 영역들은 상부면에 걸쳐 유사하거나 동일한 시간 평균 체류 시간들 (또는 가스 플로우) 을 갖고, 따라서 보다 양호한 시간에 대해 평균된 화학적 균일도를 갖는다. 바람직하게, 개별 섹터들은 샤워헤드의 중심 둘레에 배열된다.
반도체 기판 프로세싱 장치는 플라즈마를 생성하도록 RF 에너지, 마이크로파 에너지, 자기장들, 등을 사용하는 에너지 소스를 포함하는 저-밀도, 중간-밀도 또는 고-밀도 플라즈마 반응기와 같은 플라즈마 프로세싱 장치일 수 있다. 예를 들어, 고-밀도 플라즈마는 유도 결합 플라즈마 챔버로서 또한 알려진, TCP™(transformer coupled plasma) 반응기, ECR (electron-cyclotron resonance) 플라즈마 반응기, 용량성-타입 방전 반응기, 유도 결합 플라즈마 프로세싱 챔버 등에서 생성될 수 있다. 가스 공급 전달 장치의 실시예들이 사용될 수 있는 예시적인 플라즈마 반응기들은 캘리포니아 프리몬트에 위치된, Lam Research Corporation으로부터 입수 가능한, 2300 Excelan™ 플라즈마 반응기와 같은, Exelan™ 플라즈마 반응기들을 포함한다. 일 실시예에서, 본 명세서에 개시된 바와 같이 플라즈마 프로세싱 시스템은 가스 주입 시스템이 가스 분배 플레이트인, 유도 결합 플라즈마 프로세싱 챔버인 진공 챔버를 포함할 수 있거나, 대안적으로, 챔버는 가스 주입 시스템이 샤워헤드 전극일 수도 있는 용량 결합 플라즈마 프로세싱 챔버이다. 본 명세서에 사용된 바와 같이, 용어 "샤워헤드"는 샤워헤드 전극 또는 가스 분배 플레이트로 지칭될 수도 있다. 플라즈마 에칭 프로세스들 동안, 복수의 주파수들은 전극 및 정전 척을 포함하는 기판 지지부에 적용될 수 있다. 대안적으로, 이중-주파수 플라즈마 반응기들에서, 상이한 주파수들은 플라즈마 생성 구역을 규정하도록 반도체 기판으로부터 이격된, 샤워헤드 전극과 같은, 전극 및 기판 지지부에 적용될 수 있다.
예를 들어, 도 1은 본 명세서에 개시된 방법들의 실시예들을 수행하도록 동작 가능한 평행한 플레이트 용량 결합 플라즈마 프로세싱 장치의 샤워헤드 전극 어셈블리 (100) 의 절반을 도시한다. 샤워헤드 전극 어셈블리 (100) 는 샤워헤드 전극 (103) 및 샤워헤드 전극 (103) 에 고정된 선택적인 백킹 부재 (backing member) (102), 열 제어 플레이트 (101), 및 진공 챔버 (12) 의 상부 벽을 형성하는 상단 플레이트 (111) 를 포함한다. 샤워헤드 전극 어셈블리 (100) 의 샤워헤드 전극 (103) 은 진공 챔버 (12) 내에 배치되는 기판 지지부 (160) 위에 배치된다. 기판 지지부 (160) 는 기판 지지부 (160) 가 기판의 상부면 상에 반도체 기판 (162) (예를 들어, 반도체 웨이퍼) 을 지지하고 정전기적으로 (electrostatically) 클램핑하기 (clamp) 위해 동작 가능하도록 내부에 임베딩된 (embedded) 정전 클램핑 전극 (미도시) 을 포함한다. 에지 링 (163) 은 반도체 기판 (162) 의 프로세싱 동안 에칭 균일도를 향상시키도록 반도체 기판 (162) 둘레에 피팅될 (fitted) 수도 있다. 기판 지지부 (160) 의 상부면은 그 위에 지지된 반도체 기판 (162) 의 후면에 헬륨을 공급하기 위한 홈들을 포함할 수 있다. 기판의 후면에 헬륨을 공급하기 위한 홈들을 포함하는 기판 지지부의 상세사항들은 전체가 참조로서 본 명세서에 인용되는 공동으로-양도된 미국 특허 제 7,869,184 호에서 발견될 수 있다. 기판 지지부 (160) 는 기판의 상부면으로 반도체 기판을 하강시키고 기판의 상부면으로부터 반도체 기판을 상승시키도록 동작 가능한 리프트 핀 어셈블리를 또한 포함할 수 있다. 기판 지지부에 대한 리프트 핀 어셈블리의 상세사항들은 전체가 참조로서 본 명세서에 인용되는 공동으로-양도된 미국 특허 제 8,840,754 호에서 발견될 수 있다.
상단 플레이트 (111) 는 플라즈마 에칭 진공 챔버와 같은, 진공 챔버 (12) 의 이동식 상단 벽을 형성할 수 있다. 도시된 바와 같이, 샤워헤드 전극 (103) 은 내측 전극 부재 (105), 및 선택적인 외측 전극 부재 (107) 를 포함하는 샤워헤드 전극일 수 있다. 내측 전극 부재 (105) 는 통상적으로 단결정 실리콘으로 이루어진다. 원한다면, 내측 및 외측 전극들 (105, 107) 은 CVD 실리콘 탄화물, 단결정 실리콘 또는 알루미늄 산화물 등을 포함하는 실리콘 기반 전극 재료와 같은 다른 적합한 재료와 같은 재료의 단일 피스로 이루어질 수 있다. 샤워헤드 전극 (103) 은 개별 섹터들 (도 2a 내지 도 2c 참조) 을 포함하는 플라즈마 노출된 표면 (118) 을 포함하고, 가스는 가스 공급 전달 장치 (500) 에 의해 개별 섹터들의 유출부들 (113) 을 통해 독립적으로 공급될 수 있다.
가스 공급 전달 장치 (500) 는 플라즈마 에칭 프로세스와 같은 플라즈마 프로세싱 동안 개별 섹터 각각 아래에 놓인 반도체 기판 (162) 의 상부면을 걸쳐 존들 각각에 가스를 분배하도록, 샤워헤드 전극 어셈블리 (100) 의 샤워헤드 전극 (103) 의 개별 섹터들의 가스 유출부들 (113) 을 통해 진공 챔버 (12) 로의 제어 가능하고 튜닝 가능한 (tunable) 가스 전달을 제공할 수 있다. 가스 공급 전달 장치 (500) 는 일련의 가스 분배 및 제어 컴포넌트들, 예를 들어, 하나 이상의 각각의 가스 공급부들과 유체로 통신하는 하나 이상의 MFC들 (mass flow controller), 하나 이상의 압력 변환기들 및/또는 조절기들, 히터들, 하나 이상의 필터들 또는 정화기들, 가스 전환 섹션들, 가스 스플리터들, 및 차단 밸브들을 포함할 수 있다. 주어진 가스 공급 전달 장치에 사용된 컴포넌트들은 가스 공급 전달 장치의 설계 및 의도된 적용에 따라 가변할 수 있다. 반도체 프로세싱 장치의 일 실시예에서, 17개 초과의 가스들은 가스 공급 라인들, 가스 분배 컴포넌트들, 및 혼합 매니폴드들을 통해 프로세싱 챔버에 연결될 수도 있다. 이들 컴포넌트들은 "가스 패널" 또는 "가스 박스"로서 알려진 완전한 시스템을 형성하는 베이스 플레이트에 부착된다. 가스 전환 섹션의 예시적인 실시예는 전체가 참조로서 본 명세서에 인용되는 공동으로-양도된 미국 특허 제 8,772,171 호에서 발견될 수 있다.
일 실시예에서, 가스 전달 장치 (500) 는 샤워헤드 전극 (103) 의 개별 섹터 각각에 가스를 공급하도록 동작 가능한 가스 라인들 각각을 포함한다. 가스 전달 장치 (500) 의 가스 라인 각각은 가스가 샤워헤드 전극 (103) 의 개별 섹터 각각의 2개 이상의 방사상의 존들에 독립적으로 전달될 수 있도록 분할될 수 있다. 가스는 가스 라인들을 통해 샤워헤드 전극 어셈블리 (100) 의 플레넘들 각각에 공급될 수 있고, 가스가 반도체 기판 (162) 의 플라즈마 프로세싱 동안 반도체 기판 (162) 의 상부면에 걸쳐 존들 각각에 분배될 수 있도록, 플레넘 각각은 샤워헤드 전극 (103) 의 개별 섹터 각각의 방사상의 존 또는 개별 섹터에 대응한다.
예를 들어, 도 1에 예시된 바와 같이, 가스 전달 장치 (500) 는 가스 라인 (510) 을 포함하고, 가스 라인 (510) 을 통해 공급된 가스는 샤워헤드 전극 (103) 의 제 1 개별 섹터 (1) 의 가스 유출부들 (113) 을 통해 진공 챔버 (12) 에 전달된다. 가스 라인 (510) 은 내측 가스 라인 (511a) 과 외측 가스 라인 (511b) 으로 분할된다. 내측 가스 라인 (511a) 은 샤워헤드 전극 (103) 의 제 1 개별 섹터 (1) 의 (방사상의) 내측 존 (1a) 의 가스 유출부들 (113) 을 통해 진공 챔버 (12) 에 가스를 공급하도록 동작 가능하고, 외측 가스 라인 (511b) 은 제 1 개별 섹터 (1) 의 (방사상의) 외측 존 (1b) 의 가스 유출부들 (113) 을 통해 진공 챔버 (12) 에 가스를 공급하도록 동작 가능하다. 내측 및 외측 가스 라인들 (511a, 511b) 은 진공 챔버 (12) 내의 프로세싱 동안 반도체 기판 (162) 의 상부면에 걸쳐 제 1 개별 섹터 (1) 의 내측 존 (1a) 및 외측 존 (1b) 을 통해 전달된 가스의 플로우 레이트가 독립적으로 제어될 수 있도록, 각각의 밸브 (501a, 501b) 를 각각 포함할 수 있다. 제어기 (505) 는 밸브들 (501a, 501b), 그리고 이로써 내측 가스 라인 (511a) 및 외측 가스 라인 (511b) 각각을 통한 가스의 플로우를 제어하도록 동작 가능하다. 일 실시예에서, 가스는 제 1 개별 섹터 (1) 의 내측 존 (1a) 및 외측 존 (1b) 에 대응하는 샤워헤드 전극 어셈블리 내에 포함된 플레넘들 (551a, 551b) 각각으로 가스 전달 장치 (500) 의 내측 및 외측 가스 라인들 (511a, 511b) 에 의해 공급될 수 있다. 추가의 실시예들에서, 샤워헤드 전극 (103) 의 개별 섹터 각각은 2개 초과의 방사상의 존들, 예를 들어, 내측 존, 중간 존, 및 외측 존을 포함하는 3개의 방사상의 존들, 또는 대안적으로, 내측 존, 외측 존, 및 내측 존과 외측 존 사이의 2개 이상의 중간 존들을 포함하는 4개 이상의 존들로 나눠질 수 있고, 밸브들 각각은 개별 섹터 각각의 존 각각을 통해 플로우 레이트를 제어하도록 사용될 수 있다.
본 명세서에 개시된 방법들에 따라 프로세싱될 수 있는 예시적인 유전체 재료들은, 예를 들어, 불소화 실리콘 산화물과 같은, 도핑된 실리콘 산화물; 실리콘 이산화물과 같은, 도핑되지 않은 실리콘 산화물; 스핀-온 유리; 규산염 유리들; 도핑되거나 도핑되지 않은 열 실리콘 산화물; 및 도핑되거나 도핑되지 않은 TEOS 증착된 실리콘 산화물이다. 유전체 재료는 선택된 k 값을 가진 로우-k 재료일 수 있다. 이러한 유전체 재료들은 다결정질 실리콘과 같은, 전도성 또는 반도체 층; 알루미늄, 구리, 티타늄, 텅스텐, 몰리브덴 및 이들의 합금들과 같은, 금속들; 티타늄 질화물과 같은, 질화물들; 및 티타늄 규화물, 텅스텐 규화물 및 몰리브덴 규화물과 같은, 금속 규화물들 위에 놓일 수 있다. 예를 들어, 멀티-단계 에칭 프로세스 동안 프로세싱되는 다양한 층들을 포함하는 멀티-층 막 스택 (반도체 기판) 은 전체가 참조로서 본 명세서에 인용되는, 공동으로-양도된 미국 특허 제 8,668,835 호에 개시된다.
가스 공급 전달 장치 (500) 에 포함된 가스 소스들의 수는 가스 소스들의 임의의 특정한 수에 제한되지 않지만, 바람직하게 적어도 2개의 상이한 가스 소스들을 포함한다. 예를 들어, 가스 공급 전달 장치 (500) 는 8개 초과 또는 8개 미만의 가스 소스들, 예를 들어, 최대 17개의 가스 소스들을 포함할 수 있고, 가스 소스들 각각은 가스 패널 및 각각의 MFC를 통해 가스 스플리터와 유체로 통신한다. 가스 소스들 각각에 의해 제공될 수 있는 상이한 가스들은 개별적인 가스들, 예를 들어, O2, Ar, H2, Cl2, N2 등, 뿐만 아니라 가스 플루오로카본 및/또는 플루오로하이드로카본 화합물들, 예를 들어, CF4, CH3F 등을 포함한다. 일 실시예에서, 프로세스 챔버는 플라즈마 프로세싱 에칭 챔버이고 가스 소스들은 (임의의 적합한 순서로) Ar, O2, N2, Cl2, CH3, CF4, C4F8 및 CH3F 또는 CHF3을 공급할 수 있다. 가스 소스들 각각에 의해 공급된 특정한 가스들은 프로세싱될 반도체 기판의 상부면의 특정한 재료 조성에 의해 결정되는, 플라즈마 프로세싱 챔버 내에서 수행되는 목표된 프로세스, 예를 들어, 특정한 건식 에칭 및/또는 재료 증착 프로세스에 기초하여 선택될 수 있다. 가스 공급 전달 장치 (500) 는 에칭 프로세스들을 수행하기 위해 공급될 수 있는 가스들의 선택에 관한 넓은 융통성을 제공할 수 있다. 가스 공급 전달 장치 (500) 는 바람직하게 또한 가스 조성을 조정하도록 적어도 하나의 튜닝 가스 소스를 포함한다. 튜닝 가스는 예를 들어, O2, 아르곤과 같은, 불활성 가스, 또는 플루오로카본 또는 플루오로하이드로카본 가스, 예를 들어, C4F8와 같은, 반응성 가스일 수 있다.
본 명세서에 개시된 본 실시예들은 플라즈마 프로세싱 장치와 같은 반도체 기판 프로세싱 장치 내에서 반도체 기판의 상부면을 균일하게 프로세싱하는 방법들을 포함한다. 플라즈마 프로세싱 장치는 샤워헤드의 프로세스 노출된 표면의 개별 섹터들 내에 가스 유출부를 가진 샤워헤드를 포함한다. 방법은 가스가 샤워헤드의 인접한 개별 섹터를 통해 흐르는 것을 방지하는 동안, 샤워헤드의 제 1 개별 섹터를 통해 가스를 흘림으로써 반도체 기판의 상부면을 프로세싱하는 단계, 및 가스가 샤워헤드의 인접한 개별 섹터를 통해 흐르는 것을 방지하는 동안, 샤워헤드의 제 2 개별 섹터를 통해 가스를 흘림으로써 반도체 기판의 상부면을 프로세싱하는 단계를 포함할 수 있다. 샤워헤드의 제 1 개별 섹터 및 제 2 개별 섹터를 통한 가스의 플로우는, 반도체 기판의 상부면이 균일하게 프로세싱되도록 시간에 대해 평균된다.
일 실시예에서, 샤워헤드는 제 3 개별 섹터를 포함할 수 있고, 반도체 기판의 상부면은 가스가 샤워헤드의 인접한 개별 섹터를 통해 흐르는 것을 방지하는 동안 샤워헤드의 제 3 개별 섹터를 통해 가스를 흘림으로써 프로세싱될 수 있고, 제 1, 제 2, 및 제 3 개별 섹터들을 통한 가스의 플로우는, 반도체 기판의 상부면이 균일하게 프로세싱되도록 시간에 대해 평균된다. 추가의 실시예에서, 샤워헤드는 제 4 개별 섹터를 포함할 수 있고, 반도체 기판의 상부면은 가스가 샤워헤드의 인접한 개별 섹터를 통해 흐르는 것을 방지하는 동안 샤워헤드의 제 4 개별 섹터를 통해 가스를 흘림으로써 프로세싱될 수 있고, 제 1, 제 2, 제 3 및 제 4 개별 섹터들을 통한 가스의 플로우는, 반도체 기판의 상부면이 균일하게 프로세싱되도록 시간에 대해 평균된다.
예를 들어, 도 2a 내지 도 2c는 샤워헤드의 프로세스 노출된 표면의 4개의 개별 섹터들 (1, 2, 3, 및 4) 을 통해 공급되는 가스의 방법 단계들을 도시한다. 일 실시예에서, 개별 섹터 (1, 2, 3, 및 4) 각각은 각각의 내측 존 및 외측 존 (1a, 1b, 2a, 2b, 3a, 3b, 4a, 4b) 을 포함할 수 있다. 개별 섹터의 내측 존 및 외측 존을 통해 공급된 가스의 플로우 레이트는 프로세싱 동안 독립적으로 제어될 수 있다. 예를 들어, 가스가 내측 존으로 덜 흐르고, 보다 많은 가스가 외측 존으로 흐른다면, 플로우 및 압력 기울기는 내측 존에서 감소될 수 있고, 외측 존 내의 여분의 프로세스 가스는 외측 존 내의 부산물들을 방출시킬 (displace) 수 있다. 추가의 실시예에서, 가스는 가스가 프로세싱 동안 흐르는 제 1, 제 2, 제 3, 또는 제 4 개별 섹터들 (1, 2, 3, 또는 4) 의 내측 존 또는 외측 존을 통해 단속적으로 차단된다.
도 2a는 본 명세서에 개시된 바와 같이 실시예에 따라 샤워헤드의 프로세스 노출된 표면의 4개의 개별 섹터들 (1, 2, 3, 및 4) 을 통해 연속적으로 공급되는 가스의 방법들의 단계들을 도시한다. 방법은 단계 320에서, 가스가 제 2, 제 3, 및 제 4 개별 섹터들 (2, 3, 및 4) 을 통해 흐르는 것을 방지하는 동안 제 1 개별 섹터 (1) 를 통해 가스를 흘리는 단계를 포함한다. 단계 321에서, 가스가 제 3, 제 4, 및 제 1 개별 섹터들 (3, 4, 및 1) 을 통해 흐르는 것이 방지되는 동안 가스는 제 2 개별 섹터 (2) 를 통해 흐른다. 단계 322에서, 가스가 제 4, 제 1, 및 제 2 개별 섹터들 (4, 1, 및 2) 을 통해 흐르는 것이 방지되는 동안 가스는 제 3 개별 섹터 (3) 를 통해 흐른다. 단계 323에서, 가스가 제 1, 제 2, 및 제 3 개별 섹터들을 통해 흐르는 것이 방지되는 동안 가스는 제 4 개별 섹터를 통해 흐른다. 일 실시예에서 단계들 320 내지 323은 프로세스 레시피가 완료될 때까지 1회 이상 반복될 수 있다.
일 실시예에서, 도 2b의 방법 단계들에 의해 도시된 바와 같이, 가스는 주어진 시간에서 1개 초과의 개별 섹터를 통해 연속적으로 흐를 수 있다. 예를 들어, 단계 300은 가스가 제 3 및 제 4 개별 섹터들 (3, 4) 을 통해 흐르는 것이 방지되는 동안 제 1 및 제 2 개별 섹터들 (1, 2) 을 통해 흐르는 가스를 도시한다. 단계 301에서, 가스가 제 4 및 제 1 개별 섹터들 (4, 1) 을 통해 흐르는 것이 방지되는 동안 가스는 제 2 및 제 3 개별 섹터들 (2, 3) 을 통해 흐른다. 단계 302에서, 가스가 제 1 및 제 2 개별 섹터들 (1, 2) 을 통해 흐르는 것이 방지되는 동안 가스는 제 3 및 제 4 개별 섹터들 (3, 4) 을 통해 흐른다. 단계 303에서, 가스가 제 2 및 제 3 개별 섹터들 (2, 3) 을 통해 흐르는 것이 방지되는 동안 가스는 제 4 및 제 1 개별 섹터들 (4, 1) 을 통해 흐른다. 일 실시예에서 단계들 300 내지 303은 프로세스 레시피가 완료될 때까지 1회 이상 반복될 수도 있다.
일 실시예에서, 도 2c의 방법 단계들에 의해 도시된 바와 같이, 가스는 주어진 시간에서 1개 초과의 개별 섹터를 통해 연속적으로 흐를 수 있고, 가스는 가스가 프로세싱 동안 흐르는 제 1, 제 2, 제 3, 또는 제 4 개별 섹터들 (1, 2, 3, 또는 4) 의 내측 존 또는 외측 존을 통해 단속적으로 차단된다. 예를 들어, 단계 310은 가스가 제 1 개별 섹터 (1) 의 외측 존 (1b), 제 3 개별 섹터 (3) 의 내측 존 (3a) 및 제 4 개별 섹터 (4) 를 통해 흐르는 것이 방지되는 동안, 제 1 개별 섹터 (1) 의 내측 존 (1a), 제 2 개별 섹터 (2) 및 제 3 개별 섹터 (3) 의 외측 존 (3b) 을 통해 흐르는 가스를 도시한다. 단계 311에서, 가스는 가스가 제 2 개별 섹터 (2) 의 외측 존 (2b), 제 4 개별 섹터 (4) 의 내측 존 (4a) 및 제 1 개별 섹터 (1) 를 통해 흐르는 것이 방지되는 동안, 제 2 개별 섹터 (2) 의 내측 존 (2a), 제 3 개별 섹터 (3), 및 제 4 개별 섹터 (4) 의 외측 존 (4b) 을 통해 흐른다. 단계 312에서, 가스는 가스가 제 3 개별 섹터 (3) 의 외측 존 (3b), 제 1 개별 섹터 (1) 의 내측 존 (1a) 및 제 2 개별 섹터 (2) 를 통해 흐르는 것이 방지되는 동안, 제 3 개별 섹터 (3) 의 내측 존 (3a), 제 4 개별 섹터 (4), 및 제 1 개별 섹터 (1) 의 외측 존 (1b) 을 통해 흐른다. 단계 313에서, 가스는 가스가 제 4 개별 섹터 (4) 의 외측 존 (4b), 제 2 개별 섹터 (2) 의 내측 존 (2a) 및 제 1 개별 섹터 (1) 를 통해 흐르는 것이 방지되는 동안, 제 4 개별 섹터 (4) 의 내측 존 (4a), 제 1 개별 섹터 (1), 및 제 2 개별 섹터 (2) 의 외측 존 (2b) 을 통해 흐른다. 일 실시예에서 단계들 310 내지 313은 프로세스 레시피가 완료될 때까지 1회 이상 반복될 수 있다.
도 2a 내지 도 2c에 도시된 실시예들과 같은, 본 명세서에 개시된 방법들의 실시예들에 따라, 동일한 플로우 레이트로 동일한 가스는 동일한 플로우 레이트로 제 1, 제 2, 제 3, 및 제 4 개별 섹터들 (1, 2, 3, 및 4) 에 단속적으로 공급될 수 있다. 대안적인 실시예에서, 상이한 플로우 레이트들로 동일한 가스는 가변하는 플로우 레이트들로 제 1, 제 2, 제 3, 및 제 4 개별 섹터들 (1, 2, 3, 및 4) 에 단속적으로 공급된다. 추가의 실시예에서, 상이한 가스들은 동일한 플로우 레이트 또는 가변하는 플로우 레이트로 제 1, 제 2, 제 3, 및 제 4 개별 섹터들 (1, 2, 3, 및 4) 중 하나 이상을 통해 공급될 수 있다.
일 실시예에서, 방법은 적어도 하나의 다른 개별 섹터를 통한 가스의 플로우가 방지되는 동안 개별 섹터들 중 하나 이상을 통해 가스를 연속적으로 흘리는 것을 포함할 수 있고, 개별 섹터들을 통해 흐른 가스는 반도체 기판의 상부면이 균일하게 프로세싱되도록 시간에 대해 평균된다. 상기에 설명한 바와 같이, 개별 섹터 각각은 내측 존 및 외측 존을 포함할 수 있고, 본 명세서에 개시된 방법들의 실시예들은 프로세싱 동안 개별 섹터 각각의 내측 존 및 외측 존을 통해 가스의 플로우 레이트를 독립적으로 제어하는 것을 포함할 수 있다. 일 실시예에서, 가스의 플로우는 임의의 개별 섹터의 내측 존 또는 외측 존을 통해 단속적으로 차단될 수 있다. 바람직한 실시예에서, 가스는 제 1 개별 섹터의 내측 존 및 제 1 개별 섹터와 인접한 제 2 개별 섹터의 외측 존을 통해 단속적으로 공급될 수 있고, 제 1 개별 섹터의 외측 존 및/또는 제 2 개별 섹터의 내측 존은 가스가 그 사이에 공급되는 것을 방지할 수도 있다.
가스는 동일한 길이들의 시간 동안 개별 섹터들을 통해 단속적으로 흐를 수 있거나, 대안적으로 가스는 동일하지 않은 길이들의 시간 동안 개별 섹터들을 통해 단속적으로 흐른다. 바람직하게, 가스는 개별 섹터들을 통해 연속적으로 흐르고, 시퀀스는 약 1 초가 걸린다. 대안적인 실시예들에서, 시퀀스는 1초 미만 또는 1초 초과가 걸릴 수도 있다. 일 실시예에서, 가스는 샤워헤드의 상이한 조합들의 개별 섹터들을 통해 연속적으로 흐른다. 예를 들어, 인접한 개별 섹터들의 조합은 섹터들 사이를 연속적으로 흐르는 가스를 가질 수도 있거나, 대안적으로, 하나 이상의 개별 섹터들에 의해 분리되는 2개의 개별 섹터들은 섹터들 사이를 연속적으로 흐르는 가스를 가질 수도 있다.
본 명세서에 개시된 바와 같은 방법들의 실시예들을 수행하도록 동작 가능한 반도체 기판 프로세싱 장치 (100) 및 관련된 가스 공급 전달 장치 (500) 는, 반도체 웨이퍼 또는 기판의 프로세싱 이전에, 프로세싱 동안에 그리고 프로세싱 이후에 그들의 동작을 제어하기 위한 전자장치에 통합될 수도 있다. 전자장치는 시스템 또는 시스템들의 다양한 컴포넌트들 또는 하위부품들을 제어할 수도 있는 "제어기"로서 지칭될 수도 있다. 예를 들어, 도 1에 예시된 바와 같이, 반도체 기판 프로세싱 장치 (100) 및/또는 가스 공급 전달 장치 (500) 는 연관된 제어기 (505) 를 포함한다. 제어기 (505) 는, 반도체 기판 프로세싱 장치 (100) 의 프로세싱 요건들 및/또는 타입에 따라서, 예를 들어 프로세싱 가스들의 전달, 온도 설정사항들 (예를 들어, 가열 및/또는 냉각), 압력 설정사항들, 진공 설정사항들, 전력 설정사항들, 무선 주파수 (RF) 생성기 설정사항들, RF 매칭 회로 설정사항들, 주파수 설정사항들, 플로우 레이트 설정사항들, 유체 전달 설정사항들, 위치 및 동작 설정사항들, 툴들 및 다른 전달 툴들 및/또는 특정 시스템과 연결되거나 인터페이싱된 로드록들 내외로의 웨이퍼 전달들을 포함하는, 본 명세서에 개시된 프로세스들 중 임의의 프로세스를 제어하도록 프로그램될 수도 있다.
일반적으로 말하면, 제어기는 인스트럭션들을 수신하고 인스트럭션들을 발행하고 동작을 제어하고 세정 동작들을 인에이블하고, 엔드포인트 측정들을 인에이블하는 등을 하는 다양한 집적 회로들, 로직, 메모리, 및/또는 소프트웨어를 갖는 전자장치로서 규정될 수도 있다. 집적 회로들은 프로그램 인스트럭션들을 저장하는 펌웨어의 형태의 칩들, 디지털 신호 프로세서들 (DSP), ASIC (application specific integrated circuit) 으로서 규정되는 칩들 및/또는 프로그램 인스트럭션들 (예를 들어, 소프트웨어) 을 실행하는 하나 이상의 마이크로프로세서들, 또는 마이크로제어기들을 포함할 수도 있다. 프로그램 인스트럭션들은 반도체 웨이퍼 상에서 또는 반도체 웨이퍼에 대한 특정 프로세스를 실행하기 위한 동작 파라미터들을 규정하는, 다양한 개별 설정사항들 (또는 프로그램 파일들) 의 형태로 제어기로 또는 시스템으로 전달되는 인스트럭션들일 수도 있다. 일부 실시예들에서, 동작 파라미터들은 하나 이상의 층들, 재료들, 금속들, 산화물들, 실리콘, 이산화 실리콘, 표면들, 회로들, 및/또는 웨이퍼의 다이들의 제조 동안에 하나 이상의 프로세싱 단계들을 달성하도록 프로세스 엔지니어에 의해서 규정된 레시피의 일부일 수도 있다.
제어기 (505) 는, 일부 구현예들에서, 시스템에 통합되거나, 시스템에 커플링되거나, 이와 달리 시스템에 네트워킹되거나, 또는 이들의 조합으로 되는 컴퓨터에 커플링되거나 이의 일부일 수도 있다. 예를 들어, 제어기는 웨이퍼 프로세싱의 원격 액세스를 가능하게 할 수 있는 공장 (fab) 호스트 컴퓨터 시스템의 전부 또는 일부이거나 "클라우드" 내에 있을 수도 있다. 컴퓨터는 제조 동작들의 현 진행을 모니터링하고, 과거 제조 동작들의 이력을 조사하고, 복수의 제조 동작들로부터 경향들 또는 성능 계측치들을 조사하고, 현 프로세싱의 파라미터들을 변경하고, 현 프로세싱을 따르는 프로세싱 단계들을 설정하고, 또는 새로운 프로세스를 시작하기 위해서 시스템으로의 원격 액세스를 인에이블할 수도 있다. 일부 예들에서, 원격 컴퓨터 (예를 들어, 서버) 는 로컬 네트워크 또는 인터넷을 포함할 수도 있는 네트워크를 통해서 프로세스 레시피들을 시스템에 제공할 수 있다. 원격 컴퓨터는 차후에 원격 컴퓨터로부터 시스템으로 전달될 파라미터들 및/또는 설정사항들의 입력 또는 프로그래밍을 가능하게 하는 사용자 인터페이스를 포함할 수도 있다. 일부 예들에서, 제어기는 하나 이상의 동작들 동안에 수행될 프로세스 단계들 각각에 대한 파라미터들을 특정한, 데이터의 형태의 인스트럭션들을 수신한다. 이 파라미터들은 제어기가 제어하거나 인터페이싱하도록 구성된 툴의 타입 및 수행될 프로세스의 타입에 특정적일 수 있다는 것이 이해되어야 한다. 따라서, 상술한 바와 같이, 제어기 (505) 는 예를 들어 서로 네트워킹되어서 함께 공통 목적을 위해서, 예를 들어 본 명세서에 기술된 프로세스들 및 제어들을 위해서 협력하는 하나 이상의 개별 제어기들을 포함함으로써 분산될 수도 있다. 이러한 목적을 위한 분산형 제어기의 예는 챔버 상의 프로세스를 제어하도록 조합되는, (예를 들어, 플랫폼 레벨 (즉, 플라즈마 프로세싱 장치 (100)) 에서 또는 원격 컴퓨터의 일부로서) 원격으로 위치한 하나 이상의 집적 회로들과 통신하는 챔버 상의 하나 이상의 집적 회로들일 수 있다.
비한정적으로, 예시적인 반도체 기판 프로세싱 장치 (100) 는 플라즈마 에칭 챔버 또는 모듈, 증착 챔버 또는 모듈, 스핀-린스 챔버 또는 모듈, 금속 도금 챔버 또는 모듈, 세정 챔버 또는 모듈, 베벨 에지 에칭 챔버 또는 모듈, PVD (physical vapor deposition) 챔버 또는 모듈, CVD (chemical vapor deposition) 챔버 또는 모듈, ALD (atomic layer deposition) 챔버 또는 모듈, ALE (atomic layer etch) 챔버 또는 모듈, 이온 주입 챔버 또는 모듈, 트랙 (track) 챔버 또는 모듈을 포함하는 프로세싱 챔버들, 및 반도체 웨이퍼들의 제조 및/또는 제작 시에 사용되거나 연관될 수도 있는 임의의 다른 반도체 프로세싱 장치들 또는 시스템들을 포함할 수도 있다.
상술한 바와 같이, 반도체 기판 프로세싱 장치 (100) 에 의해 수행될 프로세스 단계 또는 단계들에 따라서, 반도체 기판 프로세싱 장치의 제어기 (505) 는, 반도체 제조 공장 내의 툴 위치들 및/또는 로드 포트들로부터/로 웨이퍼들의 컨테이너들을 이동시키는 재료 이송 시에 사용되는, 다른 툴 회로들 또는 모듈들, 다른 툴 컴포넌트들, 클러스터 툴들, 다른 툴 인터페이스들, 인접 툴들, 이웃하는 툴들, 공장 도처에 위치한 툴들, 메인 컴퓨터, 다른 제어기 또는 툴들 중 하나 이상과 통신할 수도 있다. 바람직하게, 비-일시적인 컴퓨터 머신-판독 가능한 매체는 반도체 기판 프로세싱 장치 (100) 의 제어를 위한 프로그램 인스트럭션들을 포함한다.
본 명세서에 개시된 실시예들은 바람직한 실시예들을 참조하여 기술된다. 그러나, 본 발명의 정신으로부터 벗어나지 않고서 상기에 기술된 것과는 다른 특정한 형태들로 본 발명을 구현하는 것이 가능하다는 것이 당업자들에게 이의 없이 자명할 것이다. 바람직한 실시예들은 예시적이고 어떤 방식으로도 제한적인 것으로 고려되어서는 안 된다. 본 발명의 범위는 선행하는 기술보다는, 첨부된 청구항들에 의해 주어지고, 청구항들의 범위 내에 속하는 모든 변형들 및 등가물들이 본 명세서에 포괄되도록 의도된다.

Claims (20)

  1. 샤워헤드의 프로세스 노출된 표면의 개별 섹터들 내에 가스 유출부들을 포함하는 상기 샤워헤드를 포함하는 반도체 기판 프로세싱 장치 내에서 반도체 기판의 상부면을 균일하게 프로세싱하는 방법에 있어서,
    상기 방법은:
    가스가 상기 샤워헤드의 인접한 개별 섹터를 통해 흐르는 것을 방지하는 동안, 상기 샤워헤드의 제 1 개별 섹터를 통해 가스를 흘림으로써 상기 반도체 기판의 상기 상부면을 프로세싱하는 단계; 및
    가스가 상기 샤워헤드의 인접한 개별 섹터를 통해 흐르는 것을 방지하는 동안, 상기 샤워헤드의 제 2 개별 섹터를 통해 가스를 흘림으로써 상기 반도체 기판의 상기 상부면을 프로세싱하는 단계를 포함하고,
    상기 샤워헤드의 상기 제 1 개별 섹터 및 상기 제 2 개별 섹터를 통한 상기 가스의 플로우는, 상기 반도체 기판의 상기 상부면이 균일하게 프로세싱되도록 시간에 대해 평균되는 (time averaged), 반도체 기판의 상부면을 균일하게 프로세싱하는 방법.
  2. 제 1 항에 있어서,
    가스가 상기 샤워헤드의 인접한 개별 섹터를 통해 흐르는 것을 방지하는 동안, 상기 샤워헤드의 제 3 개별 섹터를 통해 가스를 흘림으로써 상기 반도체 기판의 상기 상부면을 프로세싱하는 단계를 더 포함하고,
    상기 제 1 개별 섹터, 상기 제 2 개별 섹터, 및 상기 제 3 개별 섹터를 통한 상기 가스의 플로우는, 상기 반도체 기판의 상기 상부면이 균일하게 프로세싱되도록 시간에 대해 평균되는, 반도체 기판의 상부면을 균일하게 프로세싱하는 방법.
  3. 제 2 항에 있어서,
    가스가 상기 샤워헤드의 인접한 개별 섹터를 통해 흐르는 것을 방지하는 동안, 상기 샤워헤드의 제 4 개별 섹터를 통해 가스를 흘림으로써 상기 반도체 기판의 상기 상부면을 프로세싱하는 단계를 더 포함하고,
    상기 제 1 개별 섹터, 상기 제 2 개별 섹터, 상기 제 3 개별 섹터, 및 상기 제 4 개별 섹터를 통한 상기 가스의 플로우는, 상기 반도체 기판의 상기 상부면이 균일하게 프로세싱되도록 시간에 대해 평균되는, 반도체 기판의 상부면을 균일하게 프로세싱하는 방법.
  4. 제 3 항에 있어서,
    (a) 개별 섹터 각각은 내측 존 및 외측 존을 갖고, 상기 방법은 프로세싱 동안 개별 섹터 각각의 상기 내측 존 및 상기 외측 존을 통한 상기 가스의 플로우 레이트를 독립적으로 제어하는 단계를 포함하거나;
    (b) 개별 섹터 각각은 내측 존 및 외측 존, 및 상기 내측 존과 상기 외측 존 사이의 하나 이상의 중간 존들을 갖고, 상기 방법은 프로세싱 동안 개별 섹터 각각의 상기 내측 존 및 상기 외측 존, 및 상기 하나 이상의 중간 존들을 통한 상기 가스의 플로우 레이트를 독립적으로 제어하는 단계를 포함하는, 반도체 기판의 상부면을 균일하게 프로세싱하는 방법.
  5. 제 3 항에 있어서,
    개별 섹터 각각은 내측 존 및 외측 존을 갖고, 상기 가스의 플로우는 가스가 프로세싱 동안 흐르는 상기 제 1 개별 섹터, 상기 제 2 개별 섹터, 상기 제 3 개별 섹터, 또는 상기 제 4 개별 섹터의 내측 존 또는 외측 존을 통해 단속적으로 차단되는, 반도체 기판의 상부면을 균일하게 프로세싱하는 방법.
  6. 제 3 항에 있어서,
    (a) 동일한 플로우 레이트로 동일한 가스가 상기 제 1 개별 섹터, 상기 제 2 개별 섹터, 상기 제 3 개별 섹터, 및 상기 제 4 개별 섹터에 동일한 플로우 레이트로 단속적으로 공급되거나;
    (b) 상이한 플로우 레이트들로 동일한 가스가 상기 제 1 개별 섹터, 상기 제 2 개별 섹터, 상기 제 3 개별 섹터, 및 상기 제 4 개별 섹터에 가변하는 플로우 레이트들로 단속적으로 공급되는, 반도체 기판의 상부면을 균일하게 프로세싱하는 방법.
  7. 제 3 항에 있어서,
    (a) 가스가 상기 제 3 개별 섹터 및 상기 제 4 개별 섹터를 통해 흐르는 것을 방지하는 동안 상기 제 1 개별 섹터 및 상기 제 2 개별 섹터를 통해 가스를 흘리는 단계;
    (b) 가스가 상기 제 4 개별 섹터 및 상기 제 1 개별 섹터를 통해 흐르는 것을 방지하는 동안 상기 제 2 개별 섹터 및 상기 제 3 개별 섹터를 통해 가스를 흘리는 단계;
    (c) 가스가 상기 제 1 개별 섹터 및 상기 제 2 개별 섹터를 통해 흐르는 것을 방지하는 동안 상기 제 3 개별 섹터 및 상기 제 4 개별 섹터를 통해 가스를 흘리는 단계; 및
    (d) 가스가 상기 제 2 개별 섹터 및 상기 제 3 개별 섹터를 통해 흐르는 것을 방지하는 동안 상기 제 4 개별 섹터 및 상기 제 1 개별 섹터를 통해 가스를 흘리는 단계를 포함하는, 반도체 기판의 상부면을 균일하게 프로세싱하는 방법.
  8. 제 7 항에 있어서,
    상기 단계들 (a) 내지 (d) 를 반복하는, 반도체 기판의 상부면을 균일하게 프로세싱하는 방법.
  9. 제 3 항에 있어서,
    (a) 가스가 상기 제 2 개별 섹터, 제 3 개별 섹터, 및 제 4 개별 섹터를 통해 흐르는 것을 방지하는 동안 상기 제 1 개별 섹터를 통해 가스를 흘리는 단계;
    (b) 가스가 상기 제 3 개별 섹터, 제 4 개별 섹터, 및 제 1 개별 섹터를 통해 흐르는 것을 방지하는 동안 상기 제 2 개별 섹터를 통해 가스를 흘리는 단계;
    (c) 가스가 상기 제 4 개별 섹터, 제 1 개별 섹터, 및 제 2 개별 섹터를 통해 흐르는 것을 방지하는 동안 상기 제 3 개별 섹터를 통해 가스를 흘리는 단계; 및
    (d) 가스가 상기 제 1 개별 섹터, 제 2 개별 섹터, 및 제 3 개별 섹터를 통해 흐르는 것을 방지하는 동안 상기 제 4 개별 섹터를 통해 가스를 흘리는 단계를 포함하는, 반도체 기판의 상부면을 균일하게 프로세싱하는 방법.
  10. 제 9 항에 있어서,
    상기 단계들 (a) 내지 (d) 를 반복하는, 반도체 기판의 상부면을 균일하게 프로세싱하는 방법.
  11. 제 1 항에 있어서,
    상기 샤워헤드는 샤워헤드 전극이고,
    상기 프로세싱은 상기 반도체 기판의 상기 상부면을 플라즈마 에칭하는 것을 포함하는, 반도체 기판의 상부면을 균일하게 프로세싱하는 방법.
  12. 제 1 항에 기재된 방법에 따른, 플라즈마 프로세싱 장치의 제어를 위한 프로그램 인스트럭션들을 포함하는, 비-일시적인 컴퓨터 머신-판독 가능한 매체.
  13. 샤워헤드의 프로세스 노출된 표면의 개별 섹터들 내에 가스 유출부들을 포함하는 상기 샤워헤드를 포함하는 반도체 기판 프로세싱 장치 내에서 반도체 기판의 상부면을 균일하게 프로세싱하는 방법에 있어서,
    상기 방법은:
    적어도 하나의 다른 개별 섹터를 통한 가스의 플로우를 방지하는 동안 상기 개별 섹터들 중 하나 이상을 통해 가스를 연속적으로 흘리는 단계로서, 상기 개별 섹터들을 통해 흐른 상기 가스는 상기 반도체 기판의 상기 상부면이 균일하게 프로세싱되도록 시간에 대해 평균되는, 상기 가스를 연속적으로 흘리는 단계를 포함하는, 반도체 기판의 상부면을 균일하게 프로세싱하는 방법.
  14. 제 13 항에 있어서,
    상기 샤워헤드는 샤워헤드 전극이고,
    상기 프로세싱은 상기 반도체 기판의 상기 상부면을 플라즈마 에칭하는 것을 포함하는, 반도체 기판의 상부면을 균일하게 프로세싱하는 방법.
  15. 제 13 항에 있어서,
    (a) 개별 섹터 각각은 내측 존 및 외측 존을 포함하고, 상기 방법은 프로세싱 동안 개별 섹터 각각의 상기 내측 존 및 상기 외측 존을 통한 상기 가스의 플로우 레이트를 독립적으로 제어하는 단계를 포함하거나;
    (b) 개별 섹터 각각은 내측 존 및 외측 존, 및 상기 내측 존과 상기 외측 존 사이의 하나 이상의 중간 존들을 갖고, 상기 방법은 프로세싱 동안 개별 섹터 각각의 상기 내측 존 및 상기 외측 존, 및 상기 하나 이상의 중간 존들을 통한 상기 가스의 플로우 레이트를 독립적으로 제어하는 단계를 포함하는, 반도체 기판의 상부면을 균일하게 프로세싱하는 방법.
  16. 제 13 항에 있어서,
    개별 섹터 각각은 내측 존 및 외측 존을 포함하고, 상기 방법은 임의의 개별 섹터의 내측 존 또는 외측 존을 통해 상기 가스의 플로우를 단속적으로 차단하는 것을 포함하는, 반도체 기판의 상부면을 균일하게 프로세싱하는 방법.
  17. 제 13 항에 있어서,
    (a) 상기 가스는 동일한 길이들의 시간 동안 개별 섹터들을 통해 단속적으로 흐르거나;
    (b) 상기 가스는 동일하지 않은 길이들의 시간 동안 개별 섹터들을 통해 단속적으로 흐르는, 반도체 기판의 상부면을 균일하게 프로세싱하는 방법.
  18. 제 13 항에 있어서,
    (a) 상기 가스는 제 1 개별 섹터의 내측 존 및 상기 제 1 개별 섹터와 인접한 제 2 개별 섹터의 외측 존을 통해 단속적으로 공급되고;
    (b) 동일한 가스는 동일한 플로우 레이트로 상기 개별 섹터들을 통해 단속적으로 공급되고; 및/또는
    (c) 상기 가스는 상기 샤워헤드의 상이한 조합들의 개별 섹터들을 통해 연속적으로 흐르는, 반도체 기판의 상부면을 균일하게 프로세싱하는 방법.
  19. 제 13 항에 있어서,
    개별 섹터 각각은 내측 존 및 외측 존을 포함하고,
    상기 방법은 제 1 개별 섹터의 외측 존 및 상기 제 1 개별 섹터와 인접한 제 2 개별 섹터의 내측 존을 통해 상기 가스의 플로우를 단속적으로 차단하는 것을 포함하는, 반도체 기판의 상부면을 균일하게 프로세싱하는 방법.
  20. 제 13 항에 기재된 방법에 따른, 플라즈마 프로세싱 장치의 제어를 위한 프로그램 인스트럭션들을 포함하는, 비-일시적인 컴퓨터 머신-판독 가능한 매체.
KR1020150159441A 2014-11-25 2015-11-13 반도체 기판 프로세싱 장치 내에서 반도체 기판을 균일하게 프로세싱하기 위한 가스 주입 방법 KR20160062689A (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/553,439 US20160148813A1 (en) 2014-11-25 2014-11-25 Gas injection method for uniformly processing a semiconductor substrate in a semiconductor substrate processing apparatus
US14/553,439 2014-11-25

Publications (1)

Publication Number Publication Date
KR20160062689A true KR20160062689A (ko) 2016-06-02

Family

ID=56010926

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020150159441A KR20160062689A (ko) 2014-11-25 2015-11-13 반도체 기판 프로세싱 장치 내에서 반도체 기판을 균일하게 프로세싱하기 위한 가스 주입 방법

Country Status (5)

Country Link
US (1) US20160148813A1 (ko)
JP (1) JP2016105466A (ko)
KR (1) KR20160062689A (ko)
CN (1) CN105632914A (ko)
TW (1) TW201631654A (ko)

Families Citing this family (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10538381B2 (en) 2011-09-23 2020-01-21 Sandbox Logistics, Llc Systems and methods for bulk material storage and/or transport
US10464741B2 (en) 2012-07-23 2019-11-05 Oren Technologies, Llc Proppant discharge system and a container for use in such a proppant discharge system
US9809381B2 (en) 2012-07-23 2017-11-07 Oren Technologies, Llc Apparatus for the transport and storage of proppant
US8622251B2 (en) 2011-12-21 2014-01-07 John OREN System of delivering and storing proppant for use at a well site and container for such proppant
US9718610B2 (en) 2012-07-23 2017-08-01 Oren Technologies, Llc Proppant discharge system having a container and the process for providing proppant to a well site
US9421899B2 (en) 2014-02-07 2016-08-23 Oren Technologies, Llc Trailer-mounted proppant delivery system
US9340353B2 (en) 2012-09-27 2016-05-17 Oren Technologies, Llc Methods and systems to transfer proppant for fracking with reduced risk of production and release of silica dust at a well site
US20190135535A9 (en) 2012-07-23 2019-05-09 Oren Technologies, Llc Cradle for proppant container having tapered box guides
USD688351S1 (en) 2012-11-02 2013-08-20 John OREN Proppant vessel
USD688350S1 (en) 2012-11-02 2013-08-20 John OREN Proppant vessel
US9446801B1 (en) 2013-04-01 2016-09-20 Oren Technologies, Llc Trailer assembly for transport of containers of proppant material
USD688597S1 (en) 2013-04-05 2013-08-27 Joshua Oren Trailer for proppant containers
US11873160B1 (en) 2014-07-24 2024-01-16 Sandbox Enterprises, Llc Systems and methods for remotely controlling proppant discharge system
US9676554B2 (en) 2014-09-15 2017-06-13 Oren Technologies, Llc System and method for delivering proppant to a blender
US9620376B2 (en) * 2015-08-19 2017-04-11 Lam Research Corporation Self limiting lateral atomic layer etch
UY37068A (es) * 2016-01-06 2017-07-31 Oren Tech Llc Transportador con sistema integrado de recoleccion de polvo
US10256075B2 (en) * 2016-01-22 2019-04-09 Applied Materials, Inc. Gas splitting by time average injection into different zones by fast gas valves
US10518828B2 (en) 2016-06-03 2019-12-31 Oren Technologies, Llc Trailer assembly for transport of containers of proppant material
JP6788680B2 (ja) * 2016-09-28 2020-11-25 株式会社日立ハイテク プラズマ処理装置およびプラズマ処理方法
JP2018093150A (ja) 2016-12-07 2018-06-14 東京エレクトロン株式会社 成膜装置及び成膜方法
US10903054B2 (en) * 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US20210069745A1 (en) * 2019-09-10 2021-03-11 Applied Materials, Inc. Vapor delivery methods and apparatus
KR20220019359A (ko) * 2020-08-10 2022-02-17 주성엔지니어링(주) 가스분배유닛을 이용한 가스공급방법

Family Cites Families (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090236447A1 (en) * 2008-03-21 2009-09-24 Applied Materials, Inc. Method and apparatus for controlling gas injection in process chamber

Also Published As

Publication number Publication date
US20160148813A1 (en) 2016-05-26
CN105632914A (zh) 2016-06-01
JP2016105466A (ja) 2016-06-09
TW201631654A (zh) 2016-09-01

Similar Documents

Publication Publication Date Title
KR20160062689A (ko) 반도체 기판 프로세싱 장치 내에서 반도체 기판을 균일하게 프로세싱하기 위한 가스 주입 방법
KR102488729B1 (ko) 이동 가능한 에지 링 및 가스 주입 조정을 사용하여 웨이퍼 상 cd 균일성의 제어
KR102525777B1 (ko) 기생 플라즈마를 억제하고 웨이퍼-내 불균일성을 감소시키기 위한 시스템들 및 방법들
EP3207558B1 (en) Gas supply delivery arrangement including a gas splitter for tunable gas flow control and method using said gas supply delivery arrangement
TWI670783B (zh) 增進製程均勻性的方法及系統
KR102556016B1 (ko) 플라즈마 프로세싱을 위한 가변하는 두께를 갖는 상부 전극
TWI607503B (zh) 具有多個電漿配置構件之半導體處理系統
TWI783960B (zh) 具有改良的處理均勻性之基板支撐件
KR102556603B1 (ko) 플라즈마 프로세싱 시스템들을 위한 고순도 sp3 결합들을 가진 화학적 기상 증착 (cvd) 다이아몬드 코팅을 포함한 에지 링들과 같은 컴포넌트들
KR102521717B1 (ko) 아킹 (arcing) 을 감소시키기 위한 헬륨 플러그 설계
KR20160095631A (ko) 기판 프로세싱 시스템들에서 하드마스크들로서 사용된 비정질 탄소 및 실리콘 막들의 금속 도핑
CN110537241B (zh) 使用同时存在的原位和远程等离子体源进行快速室清洁
KR102453999B1 (ko) 능동적으로 냉각된 그리드를 갖는 가스 분배 디바이스
WO2019217185A1 (en) Edge ring focused deposition during a cleaning process of a processing chamber
CN112640084A (zh) 具有延长寿命的约束环
KR20180016300A (ko) 부분적으로 그물 형상이고 부분적으로 거의 그물 형상인 실리콘 카바이드 cvd
KR20220024568A (ko) 기판 프로세싱 시스템들을 위한 감소된 직경 캐리어 링 하드웨어