CN105632914A - 在半导体衬底处理装置中均匀处理半导体衬底的注气法 - Google Patents
在半导体衬底处理装置中均匀处理半导体衬底的注气法 Download PDFInfo
- Publication number
- CN105632914A CN105632914A CN201510755831.4A CN201510755831A CN105632914A CN 105632914 A CN105632914 A CN 105632914A CN 201510755831 A CN201510755831 A CN 201510755831A CN 105632914 A CN105632914 A CN 105632914A
- Authority
- CN
- China
- Prior art keywords
- gas
- discrete fan
- fan district
- discrete
- district
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Pending
Links
- 238000000034 method Methods 0.000 title claims abstract description 125
- 239000004065 semiconductor Substances 0.000 title claims abstract description 106
- 239000000758 substrate Substances 0.000 title claims abstract description 85
- 238000012545 processing Methods 0.000 title claims abstract description 64
- 238000002347 injection Methods 0.000 title abstract description 5
- 239000007924 injection Substances 0.000 title abstract description 5
- 230000008569 process Effects 0.000 claims abstract description 46
- 238000012935 Averaging Methods 0.000 claims description 11
- 238000001020 plasma etching Methods 0.000 claims description 4
- 230000002045 lasting effect Effects 0.000 claims description 3
- 239000007789 gas Substances 0.000 description 169
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 9
- 238000000429 assembly Methods 0.000 description 8
- 230000000712 assembly Effects 0.000 description 8
- 238000005229 chemical vapour deposition Methods 0.000 description 8
- 239000000463 material Substances 0.000 description 7
- 239000000126 substance Substances 0.000 description 6
- 238000009826 distribution Methods 0.000 description 5
- 238000005516 engineering process Methods 0.000 description 5
- 238000004519 manufacturing process Methods 0.000 description 5
- 238000005240 physical vapour deposition Methods 0.000 description 5
- 229910052710 silicon Inorganic materials 0.000 description 5
- 239000010703 silicon Substances 0.000 description 5
- 238000004891 communication Methods 0.000 description 4
- NBVXSUQYWXRMNV-UHFFFAOYSA-N fluoromethane Chemical compound FC NBVXSUQYWXRMNV-UHFFFAOYSA-N 0.000 description 4
- 229910052751 metal Inorganic materials 0.000 description 4
- 239000002184 metal Substances 0.000 description 4
- 238000005086 pumping Methods 0.000 description 4
- PNEYBMLMFCGWSK-UHFFFAOYSA-N Alumina Chemical compound [O-2].[O-2].[O-2].[Al+3].[Al+3] PNEYBMLMFCGWSK-UHFFFAOYSA-N 0.000 description 3
- 239000006227 byproduct Substances 0.000 description 3
- 230000001276 controlling effect Effects 0.000 description 3
- 239000003989 dielectric material Substances 0.000 description 3
- 239000012530 fluid Substances 0.000 description 3
- 239000000203 mixture Substances 0.000 description 3
- 239000000377 silicon dioxide Substances 0.000 description 3
- 229910052814 silicon oxide Inorganic materials 0.000 description 3
- XKRFYHLGVUSROY-UHFFFAOYSA-N Argon Chemical compound [Ar] XKRFYHLGVUSROY-UHFFFAOYSA-N 0.000 description 2
- 230000015572 biosynthetic process Effects 0.000 description 2
- 230000008859 change Effects 0.000 description 2
- 238000004140 cleaning Methods 0.000 description 2
- 230000000875 corresponding effect Effects 0.000 description 2
- 230000008878 coupling Effects 0.000 description 2
- 238000010168 coupling process Methods 0.000 description 2
- 238000005859 coupling reaction Methods 0.000 description 2
- 238000000151 deposition Methods 0.000 description 2
- 238000005530 etching Methods 0.000 description 2
- 229910052734 helium Inorganic materials 0.000 description 2
- 239000001307 helium Substances 0.000 description 2
- SWQJXJOGLNCZEY-UHFFFAOYSA-N helium atom Chemical compound [He] SWQJXJOGLNCZEY-UHFFFAOYSA-N 0.000 description 2
- 230000006872 improvement Effects 0.000 description 2
- 230000001939 inductive effect Effects 0.000 description 2
- 238000009616 inductively coupled plasma Methods 0.000 description 2
- 238000009832 plasma treatment Methods 0.000 description 2
- 235000012239 silicon dioxide Nutrition 0.000 description 2
- 238000012546 transfer Methods 0.000 description 2
- RYGMFSIKBFXOCR-UHFFFAOYSA-N Copper Chemical compound [Cu] RYGMFSIKBFXOCR-UHFFFAOYSA-N 0.000 description 1
- XPDWGBQVDMORPB-UHFFFAOYSA-N Fluoroform Chemical compound FC(F)F XPDWGBQVDMORPB-UHFFFAOYSA-N 0.000 description 1
- ZOKXTWBITQBERF-UHFFFAOYSA-N Molybdenum Chemical compound [Mo] ZOKXTWBITQBERF-UHFFFAOYSA-N 0.000 description 1
- BOTDANWDWHJENH-UHFFFAOYSA-N Tetraethyl orthosilicate Chemical compound CCO[Si](OCC)(OCC)OCC BOTDANWDWHJENH-UHFFFAOYSA-N 0.000 description 1
- RTAQQCXQSZGOHL-UHFFFAOYSA-N Titanium Chemical compound [Ti] RTAQQCXQSZGOHL-UHFFFAOYSA-N 0.000 description 1
- NRTOMJZYCJJWKI-UHFFFAOYSA-N Titanium nitride Chemical compound [Ti]#N NRTOMJZYCJJWKI-UHFFFAOYSA-N 0.000 description 1
- 229910045601 alloy Inorganic materials 0.000 description 1
- 239000000956 alloy Substances 0.000 description 1
- 229910052782 aluminium Inorganic materials 0.000 description 1
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 1
- 229910052786 argon Inorganic materials 0.000 description 1
- YXTPWUNVHCYOSP-UHFFFAOYSA-N bis($l^{2}-silanylidene)molybdenum Chemical compound [Si]=[Mo]=[Si] YXTPWUNVHCYOSP-UHFFFAOYSA-N 0.000 description 1
- 239000003990 capacitor Substances 0.000 description 1
- 239000004568 cement Substances 0.000 description 1
- 238000006243 chemical reaction Methods 0.000 description 1
- 239000002131 composite material Substances 0.000 description 1
- 239000004567 concrete Substances 0.000 description 1
- 238000001816 cooling Methods 0.000 description 1
- 229910052802 copper Inorganic materials 0.000 description 1
- 239000010949 copper Substances 0.000 description 1
- 230000002596 correlated effect Effects 0.000 description 1
- 230000008021 deposition Effects 0.000 description 1
- 238000005137 deposition process Methods 0.000 description 1
- 238000013461 design Methods 0.000 description 1
- 238000010586 diagram Methods 0.000 description 1
- 230000009977 dual effect Effects 0.000 description 1
- 230000000694 effects Effects 0.000 description 1
- 239000007772 electrode material Substances 0.000 description 1
- 239000003792 electrolyte Substances 0.000 description 1
- 239000011521 glass Substances 0.000 description 1
- 238000010438 heat treatment Methods 0.000 description 1
- 150000002430 hydrocarbons Chemical class 0.000 description 1
- 230000010354 integration Effects 0.000 description 1
- 238000005468 ion implantation Methods 0.000 description 1
- 238000005007 materials handling Methods 0.000 description 1
- 238000005259 measurement Methods 0.000 description 1
- 238000012986 modification Methods 0.000 description 1
- 230000004048 modification Effects 0.000 description 1
- 229910052750 molybdenum Inorganic materials 0.000 description 1
- 239000011733 molybdenum Substances 0.000 description 1
- 229910021344 molybdenum silicide Inorganic materials 0.000 description 1
- 150000004767 nitrides Chemical class 0.000 description 1
- 229910052756 noble gas Inorganic materials 0.000 description 1
- 230000003647 oxidation Effects 0.000 description 1
- 238000007254 oxidation reaction Methods 0.000 description 1
- -1 oxide Substances 0.000 description 1
- 229910021420 polycrystalline silicon Inorganic materials 0.000 description 1
- 229920005591 polysilicon Polymers 0.000 description 1
- 238000002360 preparation method Methods 0.000 description 1
- 239000000376 reactant Substances 0.000 description 1
- 230000001105 regulatory effect Effects 0.000 description 1
- 239000005368 silicate glass Substances 0.000 description 1
- 229910021332 silicide Inorganic materials 0.000 description 1
- FVBUAEGBCNSCDD-UHFFFAOYSA-N silicide(4-) Chemical compound [Si-4] FVBUAEGBCNSCDD-UHFFFAOYSA-N 0.000 description 1
- HBMJWWWQQXIZIP-UHFFFAOYSA-N silicon carbide Chemical compound [Si+]#[C-] HBMJWWWQQXIZIP-UHFFFAOYSA-N 0.000 description 1
- 229910010271 silicon carbide Inorganic materials 0.000 description 1
- 238000004528 spin coating Methods 0.000 description 1
- 238000003860 storage Methods 0.000 description 1
- 239000010409 thin film Substances 0.000 description 1
- 239000010936 titanium Substances 0.000 description 1
- 229910052719 titanium Inorganic materials 0.000 description 1
- 229910021341 titanium silicide Inorganic materials 0.000 description 1
- WFKWXMTUELFFGS-UHFFFAOYSA-N tungsten Chemical compound [W] WFKWXMTUELFFGS-UHFFFAOYSA-N 0.000 description 1
- 229910052721 tungsten Inorganic materials 0.000 description 1
- 239000010937 tungsten Substances 0.000 description 1
- WQJQOUPTWCFRMM-UHFFFAOYSA-N tungsten disilicide Chemical compound [Si]#[W]#[Si] WQJQOUPTWCFRMM-UHFFFAOYSA-N 0.000 description 1
- 229910021342 tungsten silicide Inorganic materials 0.000 description 1
- 238000001039 wet etching Methods 0.000 description 1
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/3244—Gas supply means
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/302—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
- H01L21/306—Chemical or electrical treatment, e.g. electrolytic etching
- H01L21/3065—Plasma etching; Reactive-ion etching
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C14/00—Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
- C23C14/22—Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C14/00—Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
- C23C14/22—Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
- C23C14/228—Gas flow assisted PVD deposition
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45563—Gas nozzles
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/3244—Gas supply means
- H01J37/32449—Gas control, e.g. control of the gas flow
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
Landscapes
- Chemical & Material Sciences (AREA)
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- Plasma & Fusion (AREA)
- Chemical Kinetics & Catalysis (AREA)
- Organic Chemistry (AREA)
- Metallurgy (AREA)
- Mechanical Engineering (AREA)
- Materials Engineering (AREA)
- Manufacturing & Machinery (AREA)
- Analytical Chemistry (AREA)
- Power Engineering (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Computer Hardware Design (AREA)
- General Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Chemical & Material Sciences (AREA)
- Drying Of Semiconductors (AREA)
- Chemical Vapour Deposition (AREA)
- Plasma Technology (AREA)
Abstract
本发明涉及在半导体衬底处理装置中均匀处理半导体衬底的注气法。一种均匀处理等离子体处理装置中半导体衬底的上表面的方法,所述等离子体处理装置包括在其处理暴露表面的离散扇形区具有气体出口的喷头,该方法包括通过使气体流过喷头的第一离散扇形区,同时防止气体流过喷头的相邻离散扇形区,以处理半导体衬底的上表面,以及通过使气体流过喷头的第二离散扇形区,同时防止气体流过喷头的相邻离散扇形区,以处理半导体衬底的上表面。气体流过喷头的第一离散扇形区和第二离散扇形区的流量是时间平均的,使得半导体衬底的上表面被均匀处理。
Description
技术领域
本文所公开的实施方式涉及通过喷头离散扇形区注入气体的方法,用于在半导体衬底处理装置的真空腔室中均匀处理半导体衬底,并且可能发现在通过喷头离散扇形区按顺序注入气体的方法中的特别用途,该方法用于在半导体衬底处理装置的真空腔室中均匀处理半导体衬底。
背景技术
半导体结构在半导体衬底处理装置(例如,等离子体处理装置)中进行处理,该半导体衬底处理装置包括真空腔室、向腔室供给处理气体的气体源和从处理气体产生等离子体的能源。半导体结构在这样的设备中通过包括干法蚀刻工艺,湿法蚀刻工艺,沉积工艺,例如金属、电介质和半导体处理材料的化学气相沉积(CVD),物理气相沉积或者等离子增强化学气相沉积(PECVD)和抗剥离工艺的技术进行处理。不同的工艺气体用于这些处理技术以及处理不同的半导体结构材料。
发明内容
本文公开了在半导体衬底处理装置中均匀处理半导体衬底的上表面的方法。半导体衬底处理装置包括喷头,该喷头在其处理暴露表面的离散扇形区中具有气体出口。该方法包括:通过使气体流过喷头的第一离散扇形区,同时防止气体流过喷头的相邻离散扇形区,以处理半导体衬底的上表面;以及通过使气体流过喷头的第二离散扇形区处理半导体衬底上表面,同时防止气体流过喷头的相邻离散扇形区,以处理半导体衬底的上表面。气体流过喷头的第一离散扇形区和第二离散扇形区的流量是时间平均的,使得半导体衬底的上表面被均匀处理。
本文还公开了在半导体衬底处理装置中均匀处理半导体衬底的上表面的方法。半导体衬底处理装置包括喷头,该喷头在其处理暴露表面的离散扇形区中具有气体出口。该方法包括:使气体顺序流过离散扇形区中的一个或多个,同时防止气体流过至少一个其他离散扇形区,其中流过离散扇形区的气体是时间平均的,使得半导体衬底上表面被均匀处理。
附图说明
图1是可以根据本文公开的实施方式使用的等离子体处理装置的示意图。
图2A-2C示出了根据本文公开的实施方式顺序注入气体使其通过喷头的离散扇形区的工艺步骤。
具体实施方式
在下面的详细描述中,阐述了许多具体的实施例,以提供对本文公开的的系统、设备和方法的彻底理解。然而,如对于本领域那些技术人员而言将显而易见的是,本发明的实施方式可以在没有这些具体描述或可以使用可替代元件或工艺的情形下来实施。在其他情形下,未详细描述公知的工艺、程序和/或部件,以免不必要地模糊本文公开的实施方式的方面。图中类似的数值表示类似的元件。如本文所用的术语“约”是指±10%。
用于半导体衬底处理装置的一个标准是提高的处理均匀性,其包括半导体衬底表面上的处理结果的均匀性以及利用名义上相同的输入参数处理的一系列衬底的处理结果的均匀性。衬底上的均匀性的持续改进是所希望的。此外,这尤其需要具有改进的均匀性、一致性和自我诊断的等离子体腔室。
非均匀的半导体衬底处理可以通过RF功率(例如等离子体处理装置的真空腔室中的等离子体密度)、温度(例如跨越被处理的半导体衬底的上表面或周围腔室部件的温度)和/或化学物质(包括来自化学反应和化学不均匀性的活性和非活性分子和副产物)的空间变化来驱动。本文公开的方法的实施方式改善半导体衬底处理过程中的化学均匀性,使得半导体衬底被更均匀处理(例如等离子体蚀刻)。在一个优选的实施方式中,为了提高化学均匀性,通过设置在半导体衬底上方的喷头可将气体注入到半导体衬底处理装置的真空腔室中,其中该喷头可包括均匀的孔图案,从而在半导体衬底上表面上均匀地注入气体。
对于通过喷头进行对称气体注入而言,相比于从喷头中心径向向外注入的气体而言,通过喷头中心朝向半导体衬底中心注入的气体具有较长的停留时间。出现较长的停留时间,因为气体必须从半导体衬底中心径向向外移动跨越半导体衬底上表面,其中通过真空泵将气体从真空腔室中除去。因为气体需要流向半导体衬底的边缘,从而可从真空腔室中除去,半导体衬底边缘的副产物的比例也高于其边缘径向内部的半导体衬底的部分的比例。在半导体衬底处理过程中供给到真空腔室中的气体的流动路径可导致处理过的半导体衬底的关键尺寸(CD)中形成“W”形,其中峰在处理过的半导体衬底的中心形成,在处理过的半导体衬底的半径中间形成低区域,并在处理过的半导体衬底边缘形成高区域。
化学不均匀性可以通过设置在形成于喷头的处理暴露表面(例如等离子体暴露表面)内的离散扇形区中的不同出口注入气体并按照顺序通过喷头的离散扇形区及时注入气体而减小。因此,跨越被处理的半导体衬底的上表面的不同区域具有相似或相等的时间平均停留时间(或气流),并且因此具有更好的时间平均的化学均匀性。优选地,离散扇形区布置在喷头中心的周围。
半导体衬底处理装置可以是等离子体处理装置,例如低密度、中密度或高密度等离子体反应器,该反应器包括使用RF能量、微波能量、磁场等等的能源,以产生等离子体。例如,高密度等离子体可在变压器耦合等离子体产生(TCPTM)反应器中产生,该反应器也被称为感应耦合等离子腔室、电子回旋共振(ECR)等离子体反应器、电容型放电反应器、电容耦合等离子体处理腔室等等。可使用气体供给输送装置的实施方式的示例性等离子体反应器包括ExelanTM等离子体反应器,例如2300ExcelanTM等离子体反应器,其可获自位于加利福尼亚的弗里蒙特的LamResearchCorporation。在一个实施方式中,如本文所公开的等离子体处理系统可包括真空腔室,该真空腔室是感应耦合等离子处理腔室,在该腔室中气体注射系统是气体分配板,或者可替代地,该腔室是电容耦合等离子体处理腔室,其中气体注射系统可以是喷头电极。如本文所用的术语“喷头”可以指喷头电极或气体分配板。在等离子体蚀刻工艺中,多频率可被施加到包含电极和静电卡盘的衬底支撑物上。可替代地,在双频等离子体反应器中,不同的频率可被施加到衬底支撑物和与半导体衬底隔开的电极(例如喷头电极)上,以便限定等离子体产生区域。
例如,图1描述了平行板电容耦合型等离子体处理装置的喷头电极组件100的二分之一,其可操作以实施本文公开的方法的实施方式。喷头电极组件100包括喷头电极103和固定至喷头电极103的任选的背衬构件102、热控板101以及顶板111,顶板111形成真空腔室12的顶壁。喷头电极组件100的喷头电极103置于设置在真空腔室12中的衬底支撑件160的上方。衬底支撑件160包括嵌入在其中的静电夹持电极(未示出),使得衬底支撑件160是可操作的,以支持并静电夹持其上表面上的半导体衬底162(例如半导体晶片)。边缘环163可安装在半导体衬底162的周围,以在半导体衬底162的处理过程中提高蚀刻均匀性。衬底支撑件160的上表面可包括槽,以向支撑在其上的半导体衬底162的背面供给氦气。衬底支撑件包括用于向衬底背面供给氦气的槽的细节可见于共同转让的美国专利No.7869184,其在此通过引用整体并入本文。衬底支撑件160还可包括升降销组件,该升降销组件可操作地降低半导体衬底至衬底支撑件160的上表面以及从衬底支撑件160的上表面举升半导体衬底。用于衬底支撑件的升降销组件的细节可见于共同转让的美国专利No.8840754,其在此通过引用整体并入本文。
顶板111可形成真空腔室12(例如等离子体蚀刻真空腔室)的可移动的顶壁。如所示,喷头电极103可以是包括内电极构件105和任选的外电极构件107的喷头电极。内电极构件105通常由单晶硅制成。必要时,内和外电极105、107可由单件材料(例如CVD碳化硅、单晶硅或包括氧化铝在内的其他合适的材料例如基于硅的电极材料等等)制成。喷头电极103包括等离子体暴露表面118,其包括离散扇形区(见图2A-2C),其中气体可通过气体供给输送装置500独立地穿过离散扇形区的出口113供给。
气体供给输送装置500能够通过喷头电极组件100的喷头电极103的离散扇形区的气体出口113向真空腔室12提供可控和可调的气体输送,以便在等离子体处理过程例如等离子体蚀刻过程中将气体分配至横跨位于每个离散扇形区下的半导体衬底162的上表面的各个区。气体供给输送装置500可包括一系列气体分配和控制组件,例如与一个或更多个各气体供给源流体连通的一个或更多个质量流量控制器(MFC),一个或更多个压力转换器和/或调节器、加热器,一个或更多个过滤器或净化器,气体切换部分,气体分离器和切断阀。在给定的气体供给输送装置中使用的部件可根据气体供给输送装置的设计和预期应用而变化。在半导体处理装置的实施方式中,超过17种气体可通过气体供给管线、气体分配组件和混合歧管连接至处理腔室。这些组件连接至底板,形成称为“气体板”或“气体箱”的完整系统。气体交换部分的示例性实施方式可见于共同转让的美国专利No.8772171,其在此通过引用整体并入本文。
在一个实施方式中,气体输送装置500包括各气体管线,各气体管线可操作地将气体供给至喷头电极103的每个离散扇形区。气体输送装置500的每个气体管线均可被分支,使得气体可独立地输送到喷头电极103的每个离散扇形区的两个或更多个径向区中。气体可通过气体管线供给至喷头电极组件100的各增压室,其中每个增压室对应于喷头电极103的一个离散扇形区或每个离散扇形区的径向区,使得气体在半导体衬底162的等离子体处理过程中可分配在跨越半导体衬底162上表面的相应区。
例如,如图1中所示,气体输送装置500包括气体管线510,其中通过气体管线510供给的气体通过喷头电极103的第一离散扇形区1的气体出口113输送至真空腔室12。气体管线510被分支为内气体管线511a和外气体管线511b。内气体管线511a通过喷头电极103的第一离散扇形区1的内(径向)区1a的气体出口113可操作地将气体供应至真空腔室12,并且外气体管线511b通过第一离散扇形区1的外(径向)区1b的气体出口113可操作地将气体供应至真空腔室12。内和外气体管线511a、511b可各自包括各自的阀501a、501b,使得在真空腔室12中进行处理的过程中通过跨越半导体衬底162的上表面的第一离散扇形区1的内区1a和外区1b输送的气体的流率可独立地控制。控制器505可操作地控制阀501a、501b,并且从而可操作地控制流过各自的内气体管线511a和外气线管线511b的气体的流量。在一个实施方式中,气体可以通过气体输送装置500的内和外气体管线511a、511b供给至包括在喷头电极组件100中的相应的增压室551a、551b,增压室551a、551b对应于第一离散扇形区1的内区1a和外区1b。在进一步的实施方式中,喷头电极103的每个离散扇形区可划分为多于两个的径向区,例如三个径向区,其包括内区、中间区和外区,或可替代地,四个或更多个区,其包括内区、外区和在内区和外区之间的两个或更多个中间区,其中各个阀可用于通过各离散扇形区的每个区控制流率。
根据本文公开的方法可进行处理的示例性介电材料为例如掺杂的氧化硅,例如氟化氧化硅;未掺杂的氧化硅,例如二氧化硅;旋涂玻璃;硅酸盐玻璃;掺杂或未掺杂的热氧化硅以及掺杂或未掺杂的TEOS沉积的氧化硅。介电材料可以是具有所选的k值的低k材料。这样的介电材料可以覆盖导电或半导电层,例如多晶硅;金属,例如铝、铜、钛、钨、钼和它们的合金;氮化物,例如氮化钛;以及金属硅化物,例如硅化钛、硅化钨和硅化钼。例如,在多步骤蚀刻工艺过程中处理的包括多个层的多层膜堆(半导体衬底)公开在共同转让的美国专利No.8668835中,其在此通过引用整体并入本文。
气体供给输送装置500中包括的气源的数量不限于任何特定数量的气源,而是优选包括至少两种不同的气源。例如,气体供给输送装置500可包括多于或少于八种气源,例如高达17种气源,各自通过气体板和各自的MFC与气体分离器流体连通。可以由各自的气源提供的不同气体包括单一的气体,例如O2、Ar、H2、Cl2、N2等等,以及气态的碳氟和/或氟代烃化合物,例如CF4、CH3F等等。在一个实施方式中,处理腔室是等离子体处理蚀刻腔室并且所述气源可供给Ar、O2、N2、Cl2、CH3、CF4、C4F8和CH3F或CHF3(以其任何合适的顺序)。可基于将在等离子体处理腔室中进行的所期望的处理选择由相应的气源供给的特定气体,所期望的处理由待处理的半导体衬底的上表面的特定材料组成来确定,例如,特定的干蚀刻和/或材料沉积工艺。气体供给输送装置500可提供有关可用于进行蚀刻工艺的气体的选择的广泛通用性。气体供给输送装置500优选还包括至少一种调节气源以调节气体组成。调节气体可以是例如:O2;惰性气体,例如氩气;或反应性气体,例如碳氟化合物或氟代烃气体,例如C4F8。
本文公开的本发明实施方式包括均匀处理半导体衬底处理装置(例如等离子体处理装置)的半导体衬底的上表面的方法。等离子体处理装置包括在其处理暴露表面的离散扇形区具有气体出口的喷头。该方法可包括通过使气体流过喷头的第一离散扇形区,同时防止气体流过喷头的相邻离散扇形区,以处理半导体衬底的上表面,以及通过使气体流过喷头的第二离散扇形区,同时防止气体流过喷头的相邻离散扇形区,以处理半导体衬底的上表面。气体流过喷头的第一离散扇形区和第二离散扇形区的流量是时间平均的,使得半导体衬底的上表面被均匀处理。
在一个实施方式中,喷头可包括第三离散扇形区,其中可通过使气体流过喷头的第三离散扇形区,同时防止气体流过喷头的相邻离散扇形区,以处理半导体衬底的上表面,其中气体流过第一、第二和第三离散扇形区的流量是时间平均的,使得半导体衬底的上表面被均匀处理。在进一步的实施方式中,喷头可包括第四离散扇形区,其中可通过使气体流过喷头的第四离散扇形区,同时防止气体流过喷头的相邻离散扇形区,以处理半导体衬底的上表面,其中所述气体流过第一、第二、第三和第四离散扇形区的流量是时间平均的,使得半导体衬底的上表面被均匀处理。
例如,图2A-2C示出了通过喷头的处理暴露表面的四个离散扇形区1、2、3、4供给气体的方法步骤。在一个实施方式中,每个离散扇形区1、2、3和4可包括各自的内区和外区1a、1b、2a、2b、3a、3b、4a、4b。通过离散扇形区的内区和外区供给的气体的流率可在处理过程中被独立地控制。例如,如果较少气体流到内区并且较多的气体流到外区,那么可降低内区的流量和压力梯度,并且外区中额外的处理气体可取代外区中的副产物。在进一步的实施方式中,气体被间歇阻止通过在处理过程中让气体通过的第一、第二、第三或第四离散扇形区1、2、3或4的内区或外区。
图2A示出了通过根据本文公开的实施方式的喷头的处理暴露表面的四个离散扇形区1、2、3、4顺序供给气体的方法步骤。该方法包括在步骤320中使气体流过第一离散扇形区1,同时防止气体流过第二、第三和第四离散扇形区2、3和4。在步骤321中,气体流过第二离散扇形区2,同时防止气体流过第三、第四和第一离散扇形区3、4和1。在步骤322中,气体流过第三离散扇形区3,同时防止气体流过第四、第一和第二离散扇形区4、1和2。在步骤323中,气体流过第四离散扇形区,同时防止气体流过第一、第二和第三离散扇形区。在一个实施方式中,步骤320-323可重复一次或更多次,直到完成工艺配方。
在一个实施方式中,如图2B的方法步骤所示,气体可在给定时间内顺序流过多于一个的离散扇形区。例如,步骤300示出了气体流过第一和第二离散扇形区1、2,同时防止气体流过第三和第四离散扇形区3、4。在步骤301中,气体流过第二和第三离散扇形区2、3,同时防止气体流过第四和第一离散扇形区4、1。在步骤302中,气体流过第三和第四离散扇形区3、4,同时防止气体流过第一和第二离散扇形区1、2。在步骤303中,气体流过第四和第一离散扇形区4、1,同时防止气体流过第二和第三离散的扇形区2、3。在一个实施方式中,步骤300-303可重复一次或更多次,直到完成工艺配方。
在一个实施方式中,如图2C的方法步骤所示,气体可在给定时间内顺序流过多于一个的离散扇形区,其中气体被间歇阻止通过在处理过程中让气体流动通过的第一、第二、第三或第四离散扇形区1、2、3或4的内区或外区。例如,步骤310示出了气体流过第一离散扇形区1的内区1a、第二离散扇形区2和第三离散扇形区3的外区3b同时防止气体流过第一离散扇形区1的外区1b、第三离散扇形区3的内区3a和第四离散扇形区4。在步骤311中,气体流过第二离散扇形区2的内区2a、第三离散扇形区3和第四离散扇形区4的外区4b,同时防止气体流过第二离散扇形区2的外区2b、第四离散扇形区4的内区4a和第一离散扇形区1。在步骤312中,气体流过第三离散扇形区3的内区3a、第四离散扇形区4和第一离散扇形区1的外区1b,同时防止气体流过第三离散扇形区3的外区3b、第一离散扇形区1的内区1a和第二离散扇形区2。在步骤313中,气体流过第四离散扇形区4的内区4a、第一离散扇形区1和第二离散扇形区2的外区2b,同时防止气体流过第四离散扇形区4的外区4b、第二离散扇形区2的内区2a和第一离散扇形区1。在一个实施方式中,步骤310-313可重复一次或更多次,直到完成工艺配方。
根据本文公开的方法的实施方式,如图2A-2C中所示的实施方式,相同流率的相同气体可以相同的流率间歇地供给至第一、第二、第三和第四离散扇形区1、2、3、和4。在一个替代的实施方式中,不同流率的相同气体以不同的流率间歇地供给至第一、第二、第三和第四离散扇形区1、2、3、和4。在进一步的实施方式中,不同的气体可以相同或不同的流率供给通过第一、第二、第三和第四离散扇形区1、2、3、和4中的一个或多个。
在一个实施方式中,该方法可包括使气体顺序流过离散扇形区中的一个或多个,同时防止气体流过至少一个其他离散扇形区,其中流过离散扇形区的气体是时间平均的,使得半导体衬底的上表面被均匀处理。如上所述,每个离散扇形区可包括内区和外区,其中本文公开的方法的实施方式可包括在处理过程中独立地控制气体流过每个离散扇形区的内区和外区的流率。在一个实施方式中,气体流可被间歇地阻止通过任何离散扇形区的内区或外区。在一个优选的实施方式中,气体可通过第一离散扇形区的内区和与第一离散扇形区相邻的第二离散扇形区的外区间歇地供给,其中第一离散扇形区的外区和/或第二离散扇形区的内区可防止气体供给通过该第一离散扇形区的外区和/或第二离散扇形区的内区。
气体可间歇地流过多个离散扇形区持续相等的时间长度,或者替代地气体间歇地流过多个离散扇形区持续不相等的时间长度。优选地,气体顺序地流过离散扇形区,其中该顺序需要约1秒。在替代的实施方式中,该顺序可以小于1秒或大于1秒。在一个实施方式中,气体顺序流过喷头的离散扇形区的不同组合。例如,相邻的离散扇形区的组合可使气体顺序流过该组合,或者替代地,被一个或更多个离散扇形区分开的两个离散扇形区可使气体顺序流过该两个离散扇形区。
可操作地实施如本文所公开的方法的实施方式的半导体衬底处理装置100和相关的气体供给输送装置500可以与用于控制它们在处理半导体晶片或衬底之前、期间和之后的操作的电子器件一体化。电子器件可以被称为“控制器”,该控制器可以控制一个或更多个系统的各种元件或子部件。例如,如图1所示,半导体衬底处理装置100和/或气体供给输送装置500包括相关的控制器505。根据处理要求和/或半导体衬底处理装置100的类型,控制器505可以被编程以控制本文公开的任何工艺,包括工艺气体输送、温度设置(例如,加热和/或冷却)、压强设置、真空设置、功率设置、射频(RF)发生器设置、RF匹配电路设置、频率设置、流率设置、流体输送设置、位置及操作设置、晶片转移进出工具和其他转移工具和/或与具体系统连接或通过接口连接的装载锁。
宽泛地讲,控制器可以定义为接收指令、发布指令、控制操作、启用清洁操作、启用端点测量等等的具有各种集成电路、逻辑、存储器和/或软件的电子器件。集成电路可以包括存储程序指令的固件形式的芯片、数字信号处理器(DSP)、定义为专用集成电路(ASIC)的芯片和/或一个或多个微处理器或执行程序指令(例如,软件)的微控制器。程序指令可以是以各种单独设置的形式(或程序文件)通信到控制器、定义用于在半导体晶片或系统上或针对半导体晶片或系统执行特定过程的操作参数的指令。在一些实施方式中,操作参数可以是由工艺工程师定义的用于在制备晶片的一个或多个(种)层、材料、金属、氧化物、硅、二氧化硅、表面、电路和/或管芯期间完成一个或多个处理步骤的配方(recipe)的一部分。
在一些实现方式中,控制器505可以是与系统集成、耦接或者说是通过网络连接系统或它们的组合的计算机的一部分或者与该计算机耦接。例如,控制器可以在“云端”或者是fab主机系统的全部或一部分,它们可以允许远程访问晶片处理。计算机可以启用对系统的远程访问以监测制造操作的当前进程,检查过去的制造操作的历史,检查多个制造操作的趋势或性能标准,改变当前处理的参数,设置处理步骤以跟随当前的处理或者开始新的工艺。在一些实例中,远程计算机(例如,服务器)可以通过网络给系统提供工艺配方,网络可以包括本地网络或互联网。远程计算机可以包括允许输入或编程参数和/或设置的用户界面,该参数和/或设置然后从远程计算机通信到系统。在一些实例中,控制器接收数据形式的指令,该指令指明在一个或多个操作期间将要执行的每个处理步骤的参数。应当理解,参数可以针对将要执行的工艺类型以及工具类型,控制器被配置成连接或控制该工具类型。因此,如上所述,控制器505可以例如通过包括一个或多个分立的控制器而分布,这些分立的控制器通过网络连接在一起并且朝着共同的目标(例如,本文所述的工艺和控制)工作。用于这些目的的分布式控制器的实例可以是与结合以控制室内工艺的一个或多个远程集成电路(例如,在平台水平(即等离子体处理装置100)或作为远程计算机的一部分)通信的室上的一个或多个集成电路。
在非限制的条件下,示例的半导体衬底处理装置100可以包括处理室,该处理室包括等离子体蚀刻室或模块、沉积室或模块、旋转清洗室或模块、金属镀敷室或模块、清洁室或模块、倒角边缘蚀刻室或模块、物理气相沉积(PVD)室或模块、化学气相沉积(CVD)室或模块、原子层沉积(ALD)室或模块、原子层蚀刻(ALE)室或模块、离子注入室或模块、轨道室或模块、以及在半导体晶片的制备和/或制造中可以关联上或使用的任何其他的半导体处理系统。
如上所述,根据半导体衬底处理装置100将要执行的一个或多个工艺步骤,控制器505可以与一个或多个其他的工具电路或模块、其他工具组件、组合工具、其他工具界面、相邻的工具、邻接工具、位于整个工厂中的工具、主机、另一个控制器、或者将晶片的容器搬运到半导体制造工厂中的工具位置和/或装载口以及从工具位置或装载口搬运晶片的容器的材料搬运中使用的工具通信。优选地,非暂时性计算机机器可读介质包括用于控制半导体衬底处理装置100的程序指令。
已参照优选的实施方式描述了本文所公开的实施方式。然而,对于本领域技术人员而言将显而易见的是在不背离本发明精神的情形下可能以特定形式而不是如上所述体现本发明。优选的实施方式是举例说明性的并且不应当以任何方式被认为是限制性的。本发明的范围由所附的权利要求书而不是由前面的描述给出,并且落入权利要求书范围内的所有变型和等价物旨在被包含在本发明的范围内。
Claims (20)
1.一种均匀处理半导体衬底处理装置中的半导体衬底的上表面的方法,所述半导体衬底处理装置包括喷头,该喷头在其处理暴露表面的离散扇形区具有气体出口,该方法包括:
通过使气体流过所述喷头的第一离散扇形区,同时防止气体流过喷头的相邻离散扇形区,以处理所述半导体衬底的上表面;以及
通过使气体流过所述喷头的第二离散扇形区,同时防止气体流过所述喷头的相邻离散扇形区,以处理所述半导体衬底的上表面;
其中气体流过所述喷头的所述第一离散扇形区和所述第二离散扇形区的流量是时间平均的,使得半导体衬底的上表面被均匀处理。
2.根据权利要求1所述的方法,其进一步包括:通过使气体流过所述喷头的第三离散扇形区,同时防止气体流过所述喷头的相邻离散扇形区,以处理所述半导体衬底的上表面,其中气体流过所述第一、第二和第三离散扇形区的流量是时间平均的,使得所述半导体衬底的上表面被均匀处理。
3.根据权利要求2所述的方法,其进一步包括:通过使气体流过所述喷头的第四离散扇形区,同时防止气体流过所述喷头的相邻离散扇形区,以处理所述半导体衬底的上表面,其中所述气体流过所述第一、第二、第三和第四离散扇形区的流量是时间平均的,使得所述半导体衬底的上表面被均匀处理。
4.根据权利要求3所述的方法,其中:
(a)每个离散扇形区包括内区和外区,所述方法包括在处理过程中独立地控制气体流过每个离散扇形区的所述内区和所述外区的流率;或者
(b)每个离散扇形区包括内区、外区和位于该内区和外区之间的一个或多个中间区,所述方法包括在处理过程中独立地控制气体流过每个离散扇形区的所述内区、所述外区和所述一个或多个中间区的流率。
5.根据权利要求3所述的方法,其中每个离散扇形区包括内区和外区,并且气体被间歇地阻止流过在处理过程中让气体流过的第一、第二、第三或第四离散扇形区的内区或外区。
6.根据权利要求3所述的方法,其中:
(a)将相同流率的相同气体以相同的流率间歇地供给至所述第一、第二、第三和第四离散扇形区;或者
(b)将不同流率的相同气体以不同的流率间歇地供给至所述第一、第二、第三和第四离散扇形区。
7.根据权利要求3所述的方法,其中:
(a)使气体流过所述第一和第二离散扇形区,同时防止气体流过所述第三和第四离散扇形区;
(b)使气体流过所述第二和第三离散扇形区,同时防止气体流过所述第四和第一离散扇形区;
(c)使气体流过第三和第四离散扇形区,同时防止气体流过所述第一和第二离散扇形区;以及
(d)使气体流过所述第四和第一离散扇形区,同时防止气体流过所述第二和第三离散扇形区。
8.根据权利要求7所述的方法,并且重复步骤(a)-(d)。
9.根据权利要求3所述的方法,其包括:
(a)使气体流过所述第一离散扇形区,同时防止气体流过所述第二、第三和第四离散扇形区;
(b)使气体流过所述第二离散扇形区,同时防止气体流过所述第三、第四和第一离散扇形区;
(c)使气体流过所述第三离散扇形区,同时防止气体流过所述第四、第一和第二离散扇形区;以及
(d)使气体流过所述第四离散扇形区,同时防止气体流过所述第一、第二和第三离散扇形区。
10.根据权利要求9所述的方法,并且重复步骤(a)-(d)。
11.根据权利要求1所述的方法,其中所述喷头是喷头电极并且所述处理包括等离子体蚀刻所述半导体衬底的上表面。
12.一种非暂时性计算机机器可读介质,其包括用于根据权利要求1所述的方法控制等离子体处理装置的程序指令。
13.一种在半导体衬底处理装置中均匀处理半导体衬底的上表面的方法,所述半导体衬底处理装置包括喷头,该喷头在其处理暴露表面的离散扇形区中具有气体出口;所述方法包括:
通过使气体顺序流过所述离散扇形区中的一个或多个,同时防止气体流过至少一个其他离散扇形区,其中流过所述离散扇形区的气体是时间平均的,使得所述半导体衬底的上表面被均匀处理。
14.根据权利要求13所述的方法,其中所述喷头是喷头电极并且所述处理包括等离子体蚀刻所述半导体衬底的上表面。
15.根据权利要求13所述的方法,其中:
(a)每个离散扇形区包括内区和外区,所述方法包括在处理过程中独立地控制气体流过每个离散扇形区的所述内区和所述外区的流率;或者
(b)每个离散扇形区包括内区、外区和位于该内区和外区之间的一个或多个中间区,所述方法包括在处理过程中独立地控制气体流过每个离散扇形区的所述内区、所述外区和所述一个或多个中间区的流率。
16.根据权利要求13所述的方法,其中每个离散扇形区包括内区和外区,所述方法包括间歇地阻止气体流过任意离散扇形区的内区或外区。
17.根据权利要求13所述的方法,其中:
(a)使所述气体间歇流过多个离散扇形区持续相等的时间长度;或者
(b)使所述气体间歇流过多个离散扇形区持续不相等的时间长度。
18.根据权利要求13所述的方法,其中:
(a)使所述气体间歇地供给通过第一离散扇形区的内区和与所述第一离散扇形区相邻的第二离散扇形区的外区;
(b)使相同流率的相同气体间歇供给通过所述离散扇形区;和/或
(c)使所述气体顺序流过所述喷头的离散扇形区的不同组合。
19.根据权利要求13所述的方法,其中每个离散扇形区包括内区和外区,所述方法包括间歇阻止气体流过第一离散扇形区的外区和与所述第一离散扇形区相邻的第二离散扇形区的内区。
20.一种非暂时性计算机机器可读介质,其包括用于根据权利要求13所述的方法控制等离子体处理装置的程序指令。
Applications Claiming Priority (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US14/553,439 US20160148813A1 (en) | 2014-11-25 | 2014-11-25 | Gas injection method for uniformly processing a semiconductor substrate in a semiconductor substrate processing apparatus |
US14/553,439 | 2014-11-25 |
Publications (1)
Publication Number | Publication Date |
---|---|
CN105632914A true CN105632914A (zh) | 2016-06-01 |
Family
ID=56010926
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
CN201510755831.4A Pending CN105632914A (zh) | 2014-11-25 | 2015-11-09 | 在半导体衬底处理装置中均匀处理半导体衬底的注气法 |
Country Status (5)
Country | Link |
---|---|
US (1) | US20160148813A1 (zh) |
JP (1) | JP2016105466A (zh) |
KR (1) | KR20160062689A (zh) |
CN (1) | CN105632914A (zh) |
TW (1) | TW201631654A (zh) |
Cited By (1)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
CN111492469A (zh) * | 2017-12-19 | 2020-08-04 | 应用材料公司 | 多区气体分配系统及方法 |
Families Citing this family (22)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US10538381B2 (en) | 2011-09-23 | 2020-01-21 | Sandbox Logistics, Llc | Systems and methods for bulk material storage and/or transport |
US10464741B2 (en) | 2012-07-23 | 2019-11-05 | Oren Technologies, Llc | Proppant discharge system and a container for use in such a proppant discharge system |
US9809381B2 (en) | 2012-07-23 | 2017-11-07 | Oren Technologies, Llc | Apparatus for the transport and storage of proppant |
US8622251B2 (en) | 2011-12-21 | 2014-01-07 | John OREN | System of delivering and storing proppant for use at a well site and container for such proppant |
US9718610B2 (en) | 2012-07-23 | 2017-08-01 | Oren Technologies, Llc | Proppant discharge system having a container and the process for providing proppant to a well site |
US9421899B2 (en) | 2014-02-07 | 2016-08-23 | Oren Technologies, Llc | Trailer-mounted proppant delivery system |
US9340353B2 (en) | 2012-09-27 | 2016-05-17 | Oren Technologies, Llc | Methods and systems to transfer proppant for fracking with reduced risk of production and release of silica dust at a well site |
US20190135535A9 (en) | 2012-07-23 | 2019-05-09 | Oren Technologies, Llc | Cradle for proppant container having tapered box guides |
USD688351S1 (en) | 2012-11-02 | 2013-08-20 | John OREN | Proppant vessel |
USD688350S1 (en) | 2012-11-02 | 2013-08-20 | John OREN | Proppant vessel |
US9446801B1 (en) | 2013-04-01 | 2016-09-20 | Oren Technologies, Llc | Trailer assembly for transport of containers of proppant material |
USD688597S1 (en) | 2013-04-05 | 2013-08-27 | Joshua Oren | Trailer for proppant containers |
US11873160B1 (en) | 2014-07-24 | 2024-01-16 | Sandbox Enterprises, Llc | Systems and methods for remotely controlling proppant discharge system |
US9676554B2 (en) | 2014-09-15 | 2017-06-13 | Oren Technologies, Llc | System and method for delivering proppant to a blender |
US9620376B2 (en) * | 2015-08-19 | 2017-04-11 | Lam Research Corporation | Self limiting lateral atomic layer etch |
UY37068A (es) * | 2016-01-06 | 2017-07-31 | Oren Tech Llc | Transportador con sistema integrado de recoleccion de polvo |
US10256075B2 (en) * | 2016-01-22 | 2019-04-09 | Applied Materials, Inc. | Gas splitting by time average injection into different zones by fast gas valves |
US10518828B2 (en) | 2016-06-03 | 2019-12-31 | Oren Technologies, Llc | Trailer assembly for transport of containers of proppant material |
JP6788680B2 (ja) * | 2016-09-28 | 2020-11-25 | 株式会社日立ハイテク | プラズマ処理装置およびプラズマ処理方法 |
JP2018093150A (ja) | 2016-12-07 | 2018-06-14 | 東京エレクトロン株式会社 | 成膜装置及び成膜方法 |
US20210069745A1 (en) * | 2019-09-10 | 2021-03-11 | Applied Materials, Inc. | Vapor delivery methods and apparatus |
KR20220019359A (ko) * | 2020-08-10 | 2022-02-17 | 주성엔지니어링(주) | 가스분배유닛을 이용한 가스공급방법 |
Family Cites Families (1)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US20090236447A1 (en) * | 2008-03-21 | 2009-09-24 | Applied Materials, Inc. | Method and apparatus for controlling gas injection in process chamber |
-
2014
- 2014-11-25 US US14/553,439 patent/US20160148813A1/en not_active Abandoned
-
2015
- 2015-11-03 TW TW104136111A patent/TW201631654A/zh unknown
- 2015-11-09 CN CN201510755831.4A patent/CN105632914A/zh active Pending
- 2015-11-13 KR KR1020150159441A patent/KR20160062689A/ko unknown
- 2015-11-13 JP JP2015222556A patent/JP2016105466A/ja active Pending
Cited By (2)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
CN111492469A (zh) * | 2017-12-19 | 2020-08-04 | 应用材料公司 | 多区气体分配系统及方法 |
CN111492469B (zh) * | 2017-12-19 | 2023-11-10 | 应用材料公司 | 多区气体分配系统及方法 |
Also Published As
Publication number | Publication date |
---|---|
US20160148813A1 (en) | 2016-05-26 |
JP2016105466A (ja) | 2016-06-09 |
TW201631654A (zh) | 2016-09-01 |
KR20160062689A (ko) | 2016-06-02 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
CN105632914A (zh) | 在半导体衬底处理装置中均匀处理半导体衬底的注气法 | |
JP7176860B2 (ja) | 前駆体の流れを改善する半導体処理チャンバ | |
TWI687539B (zh) | 用於減少非晶碳硬遮罩膜之碳-氫含量的系統及方法 | |
CN107768275B (zh) | 衬底处理系统和处理在衬底处理系统中的衬底的方法 | |
TWI520212B (zh) | 選擇性氮化鈦蝕刻 | |
TWI761337B (zh) | 基板處理系統 | |
KR102333806B1 (ko) | 기생 플라즈마를 억제하고 웨이퍼 내 불균일성을 감소시키기 위한 시스템들 및 방법들 | |
TWI752051B (zh) | 用以防止電性發弧與點火並改善製程均勻性之具有特徵部的靜電夾頭 | |
CN110998818A (zh) | 等离子体蚀刻工艺中使用涂布部件的工艺裕度扩充 | |
CN107452590A (zh) | 用于在下游反应器中边缘蚀刻速率控制的可调侧气室 | |
JP6916303B2 (ja) | 可動エッジリング設計 | |
KR102521717B1 (ko) | 아킹 (arcing) 을 감소시키기 위한 헬륨 플러그 설계 | |
TW201800596A (zh) | 包含具有高純度sp3 鍵之化學氣相沉積鑽石塗層的電漿處理系統用之邊緣環等元件 | |
US10161034B2 (en) | Rapid chamber clean using concurrent in-situ and remote plasma sources | |
TW201834139A (zh) | 具有小間隙之銷升降器組件 | |
US10323323B2 (en) | Systems and methods enabling low defect processing via controlled separation and delivery of chemicals during atomic layer deposition | |
CN107426837A (zh) | 层压加热器与加热器电压输入之间的连接 | |
US20240105429A1 (en) | High power cable for heated components in rf environment | |
TW202104643A (zh) | 高蝕刻選擇性之低應力可灰化碳硬遮罩 | |
CN106298499B (zh) | 硼掺杂碳的硬掩膜层的选择性去除 | |
US20220336191A1 (en) | Low temperature plasma enhanced chemical vapor deposition process including preheated showerhead | |
JP2020530210A (ja) | プラズマレス脱ハロゲン化のためのシステムおよび方法 | |
KR102510611B1 (ko) | 저 압축 응력, 고 막 (film) 안정성 및 저 수축성을 가진 두꺼운 테트라에틸 오르토실리케이트 막을 고 증착 레이트로 증착하기 위한 방법 | |
CN112514044A (zh) | 用于衬底处理系统的具有介电窗的蜂窝式喷射器 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
C06 | Publication | ||
PB01 | Publication | ||
WD01 | Invention patent application deemed withdrawn after publication |
Application publication date: 20160601 |
|
WD01 | Invention patent application deemed withdrawn after publication |