KR102333806B1 - 기생 플라즈마를 억제하고 웨이퍼 내 불균일성을 감소시키기 위한 시스템들 및 방법들 - Google Patents

기생 플라즈마를 억제하고 웨이퍼 내 불균일성을 감소시키기 위한 시스템들 및 방법들 Download PDF

Info

Publication number
KR102333806B1
KR102333806B1 KR1020150126994A KR20150126994A KR102333806B1 KR 102333806 B1 KR102333806 B1 KR 102333806B1 KR 1020150126994 A KR1020150126994 A KR 1020150126994A KR 20150126994 A KR20150126994 A KR 20150126994A KR 102333806 B1 KR102333806 B1 KR 102333806B1
Authority
KR
South Korea
Prior art keywords
holes
collar
showerhead
plane
purge gas
Prior art date
Application number
KR1020150126994A
Other languages
English (en)
Other versions
KR20160031420A (ko
Inventor
강후
애드리언 라보이
샹카 스와미나단
준 첸
끌로에 발다세로니
프랭크 파스콸레
앤드류 듀발
테드 민셜
제니퍼 페트라글리아
카를 리저
데이비드 스미스
세샤 바라다라잔
에드워드 아우쿠스티니악
더글라스 카일
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20160031420A publication Critical patent/KR20160031420A/ko
Priority to KR1020210166172A priority Critical patent/KR102525777B1/ko
Application granted granted Critical
Publication of KR102333806B1 publication Critical patent/KR102333806B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/405Oxides of refractory metals or yttrium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32568Relative arrangement or disposition of electrodes; moving means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/02252Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by plasma treatment, e.g. plasma oxidation of the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • H01L21/02315Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Analytical Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Electromagnetism (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Chemical Vapour Deposition (AREA)
  • Plasma Technology (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

기판 상에 막을 증착하기 위한 기판 프로세싱 시스템은 반응 볼륨을 규정하는 프로세싱 챔버를 포함한다. 샤워헤드는 프로세싱 챔버의 상부 표면에 인접하게 연결된 일 단부를 갖는 스템부를 포함한다. 베이스부는 스템부의 반대되는 단부에 연결되고 스템부로부터 방사상 외측으로 연장한다. 샤워헤드는 프로세스 가스 및 퍼지 가스 중 적어도 하나를 반응 볼륨 내로 도입하도록 구성된다. 플라즈마 생성기는 반응 볼륨 내에서 RF 플라즈마를 선택적으로 생성하도록 구성된다. 에지 튜닝 시스템은 칼라 및 칼라와 샤워헤드의 상부 표면 사이의 스템부 둘레에 위치된 기생 플라즈마 감소 엘리먼트를 포함한다. 기생 플라즈마 감소 엘리먼트는 샤워헤드와 프로세싱 챔버의 상부 표면 사이의 기생 플라즈마를 감소시키도록 구성된다.

Description

기생 플라즈마를 억제하고 웨이퍼-내 불균일성을 감소시키기 위한 시스템들 및 방법들{SYSTEMS AND METHODS FOR SUPPRESSING PARASITIC PLASMA AND REDUCING WITHIN-WAFER NON-UNIFORMITY}
본 개시는 기판 프로세싱 시스템들에 관한 것이고, 보다 구체적으로 기생 플라즈마를 억제하고 웨이퍼-내 불균일성을 감소시키기 위한 시스템들 및 방법들에 관한 것이다.
본 명세서에 제공된 배경기술 설명은 일반적으로 본 개시의 맥락을 제공하기 위한 것이다. 본 발명자들의 성과로서 본 배경기술 섹션에 기술되는 정도의 성과 및 출원시 종래 기술로서 인정되지 않을 수도 있는 기술의 양태들은 본 개시에 대한 종래 기술로서 명시적으로나 암시적으로 인정되지 않는다.
기판 프로세싱 시스템들은 기판 상에 막의 증착을 수행하도록 사용될 수도 있다. 기판 프로세싱 시스템들은 통상적으로 반응 볼륨을 갖는 프로세싱 챔버를 포함한다. 페데스탈, 척, 플레이트, 등과 같은 기판 지지부는 프로세싱 챔버 내에 배열된다. 반도체 웨이퍼와 같은 기판은 기판 지지부 상에 배열될 수도 있다.
일부 애플리케이션들에서, 막은 PECVD (plasma-enhanced chemical vapor deposition) 또는 PEALD (plasma-enhanced atomic layer deposition) 를 사용하여 증착된다. PEALD 동안, 하나 이상의 PEALD 사이클들이 기판 상에 막을 증착하도록 수행된다. PEALD 사이클 각각은 통상적으로 전구체 도즈, 도즈 퍼지, RF 플라즈마 도즈, 및 RF 퍼지 단계들을 포함한다.
증착 동안, 프로세스 가스는 샤워헤드를 사용하여 프로세싱 챔버로 전달될 수도 있다. RF 플라즈마 도즈 동안, RF 전력은 샤워헤드에 공급되고 기판 지지부는 접지된다 (또는 그 반대). PEALD 동안, 전구체의 플라즈마-강화된 변환이 기판 상에서 발생한다.
도즈 퍼지 또는 RF 퍼지 단계들 동안, 아르곤과 같은 불활성 가스는 샤워헤드를 통해 공급된다. 부가적으로, 샤워헤드의 후면, 프로세싱 챔버의 상단 플레이트 및/또는 프로세싱 챔버의 벽들과 같은 원거리 영역들 내의 원치 않는 증착을 방지하도록 PEALD 단계들의 일부 또는 전부 동안 2차 퍼지가 샤워헤드의 위에서 수행될 수도 있다.
2차 퍼지 가스로서 아르곤이 사용될 때, 더블-패터닝과 같은 일부 질소-프리 애플리케이션들 동안, 기생 플라즈마가 샤워헤드 뒤에서 발생할 수도 있다. 기생 플라즈마에 의해 소비된 전력은 프로세싱 챔버로 전달된 총 플라즈마 전력의 40 % 정도일 수 있다. 기판-상에서 전달된 전력 손실은 보통 상승된 에칭 레이트를 갖는 보다 느슨한 막을 발생시킨다. 기생 플라즈마 유도 전력 손실은 보통 샤워헤드에 걸쳐 불균일하다. 보다 구체적으로, 보다 높은 전력 손실은 샤워헤드의 스템부에 공급된 RF 전력으로 인해 샤워헤드의 중심부들과 비교하여 샤워헤드의 에지부에서 발생한다.
기판의 중심에 증착된 막은 기판의 에지에서보다 보다 조밀하다. 그 결과, 막은 에지-두꺼운 프로파일 및 기판-내에서 높은 불균일성을 갖고, 이는 더블-패터닝 애플리케이션들에서 임계 치수 (CD) 균일성 제어에 불리하다. 부가적으로, 높은 기생 플라즈마를 사용하여 동작하는 기판 프로세싱 시스템은 웨이퍼-대-웨이퍼 (wafer-to-wafer) 반복성, 툴 드리프트, 프로세스 디펙트 성능, 및 샤워헤드 컴포넌트들의 부식과 함께 장기적인 문제들을 유발하는 경향이 있다.
기판 상에 막을 증착하기 위한 기판 프로세싱 시스템은 반응 볼륨을 규정하는 프로세싱 챔버를 포함한다. 샤워헤드는, 프로세싱 챔버의 상부 표면에 인접하게 연결된 일 단부를 갖는 스템부를 포함한다. 베이스부는 스템부의 반대쪽 단부에 연결되고 스템부로부터 방사상 외측으로 연장한다. 샤워헤드는 프로세스 가스 및 퍼지 가스 중 적어도 하나를 반응 볼륨 내로 도입하도록 구성된다. 플라즈마 생성기는 반응 볼륨 내에 RF 플라즈마를 선택적으로 생성하도록 구성된다. 에지 튜닝 시스템은, 샤워헤드의 베이스부와 프로세싱 챔버의 상부 표면 사이의 샤워헤드의 스템부 둘레에 배열된 칼라 (collar) 를 포함한다. 칼라는 칼라의 내측 캐비티로부터 샤워헤드의 베이스부와 프로세싱 챔버의 상부 표면 사이의 영역으로 퍼지 가스 공급하기 위한 하나 이상의 홀들을 포함한다. 퍼지 가스는 반응물질 가스이다. 기생 플라즈마 감소 엘리먼트는 칼라와 샤워헤드의 상부 표면 사이의 스템부 둘레에 위치되고 샤워헤드와 프로세싱 챔버의 상부 표면 사이의 기생 플라즈마를 감소시키도록 구성된다.
다른 특징들에서, 칼라는 일반적으로 "T"-자형 단면을 갖는다. 하나 이상의 홀들은 샤워헤드의 스템부에 수직으로 배열된다. 칼라는 칼라의 내측 캐비티와 스템부의 외측 표면 사이에 균일한 간격을 제공하도록 하나 이상의 돌출부들을 포함한다. 기생 플라즈마 감소 엘리먼트는 세라믹 재료로 이루어진 샤워헤드 커버를 포함한다. 샤워헤드 커버는, 샤워헤드의 상부 표면과 샤워헤드의 측면들을 덮는 일반적으로 "C"-자형 단면을 갖는다. 샤워헤드 커버는 3/8" 내지 1"의 두께는 갖는다. 스페이서는 샤워헤드 커버와 샤워헤드의 상부 표면 사이에 배열된다. 스페이서는 1/4" 내지 1/2"의 두께를 갖는다.
다른 특징들에서, 샤워헤드 커버는, 샤워헤드의 상부 표면 및 샤워헤드의 측면들을 덮는 일반적으로 "C"-자형 단면을 갖는 제 1 부분 및 기판에 평행인 플레인에서 제 1 부분들의 반대쪽 단부들로부터 방사상 외측으로 연장하는 제 2 부분들을 포함한다. 샤워헤드 커버는 1/16" 내지 1/4"의 두께를 갖는다. 스페이서는 상시 샤워헤드 커버와 샤워헤드의 상부 표면 사이에 배열된다. 스페이서는 1/4" 내지 3/4"의 두께를 갖는다. 기생 플라즈마 감소 엘리먼트는 샤워헤드의 상부 표면과 칼라 사이에 이격된 관계로 배열되는 복수의 플레이트들을 포함한다.
다른 특징들에서, 기생 플라즈마 감소 엘리먼트는 복수의 플레이트들 중 인접한 플레이트들 사이에 배열된 스페이서들을 더 포함한다. 복수의 플레이트들 각각은, 퍼지 가스로 하여금 칼라로부터 플레이트들의 중앙 개구부를 통해 그리고 플레이트들 사이에서 흐르게 하도록 스템부의 외측 직경보다 보다 큰 중앙 개구부를 포함한다. 인서트 (insert) 는 복수의 플레이트들과 스템부 사이에 배열된다. 인서트는 폴리이미드로 이루어진다.
다른 특징들에서, 인서트는 스템부 및 환형 베이스부를 포함한다. 스템부는 샤워헤드의 스템부와 인접하게 그리고 콘택트하여 배열된다. 환형 베이스부는 인서트의 샤워헤드측 부분으로부터 외측으로 연장한다.
다른 특징들에서, 칼라는, 스템부에 인접하게 배열된 내측 칼라, 내측 칼라의 상부 부분 둘레에 배열된 상부 외측 칼라, 및 내측 칼라의 하부 부분 둘레에 배열된 하부 외측 칼라를 포함한다. 기생 플라즈마 감소 엘리먼트는 샤워헤드의 상부 표면과 칼라 사이에 이격된 관계로 배열된 복수의 플레이트들을 포함한다. 복수의 플레이트들은 스레드된 중앙 개구부를 포함한다. 하부 외측 칼라는 스레드된 방사상 외측 표면을 포함하고, 복수의 플레이트들은 하부 외측 칼라 상으로 스레드된다.
다른 특징들에서, 내측 칼라는 상부 외측 칼라와 하부 외측 칼라 사이에 공간을 가지고 정렬된 복수의 홀들을 포함하고, 그리고 퍼지 가스는 내측 칼라의 복수의 홀들을 통해 흐른다. 복수의 플레이트들은 퍼지 가스로 하여금 복수의 플레이트들 사이에서 흐르게 하도록 중앙 개구부를 따라 컷아웃들 (cutouts) 을 포함한다.
다른 특징들에서, 내측 칼라는 퍼지 가스로 하여금 플레이트들과 샤워헤드 사이에서 흐르게 하도록 내측 칼라의 샤워헤드측 단부를 따라 개구부들을 포함한다.
다른 특징들에서, 반응물질 가스는 분자 산소, 분자 수소, 분자 질소, 아산화 질소, 및 암모니아를 포함하는 그룹으로부터 선택된다. 반응물질 가스는 분자 산소를 포함하고, 그리고 막은 이산화 실리콘을 포함한다. 반응물질 가스는 아산화 질소를 포함하고, 그리고 막은 이산화 실리콘을 포함한다. 반응물질 가스는 분자 산소를 포함하고, 그리고 막은 이산화 티타늄을 포함한다. 반응물질 가스는 아산화 질소를 포함하고, 그리고 막은 이산화 티타늄을 포함한다. 반응물질 가스는 분자 질소를 포함하고, 그리고 막은 질화 실리콘을 포함한다. 반응물질 가스는 암모니아를 포함하고, 그리고 막은 질화 실리콘을 포함한다.
기판 상에 막을 증착하기 위한 기판 프로세싱 시스템은 반응 볼륨을 규정하는 프로세싱 챔버를 포함한다. 샤워헤드는 프로세싱 챔버의 상부 표면에 인접하게 연결된 일 단부를 갖는 스템부를 포함한다. 베이스부는 스템부의 반대쪽 단부에 연결되고 스템부로부터 방사상 외측으로 연장한다. 샤워헤드는 프로세스 가스 및 퍼지 가스 중 적어도 하나를 반응 볼륨 내로 도입하도록 구성된다. 플라즈마 생성기는 반응 볼륨 내에 RF 플라즈마를 선택적으로 생성하도록 구성된다. 에지 튜닝 시스템은, 샤워헤드의 베이스부와 프로세싱 챔버의 상부 표면 사이의 샤워헤드의 스템부 둘레에 배열된 칼라를 포함한다. 칼라는 칼라의 내측 캐비티로부터 샤워헤드의 베이스부와 프로세싱 챔버의 상부 표면 사이의 영역으로 퍼지 가스 공급하기 위한 하나 이상의 홀들을 포함한다. 기생 플라즈마 감소 엘리먼트는 칼라와 샤워헤드의 상부 표면 사이의 스템부 둘레에 위치되고 샤워헤드와 프로세싱 챔버의 상부 표면 사이의 기생 플라즈마를 감소시키도록 구성된다. 기생 플라즈마 감소 엘리먼트는 샤워헤드의 상부 표면과 칼라 사이에 이격된 관계로 배열되는 복수의 플레이트들을 포함한다.
본 개시의 추가 적용가능 영역들은 상세한 기술, 청구항들, 및 도면들로부터 명백해질 것이다. 상세한 기술 및 구체적인 예들은 단지 예시를 목적으로 의도되고 본 개시의 범위를 한정하도록 의도되지 않는다.
본 개시는 상세한 기술 및 첨부된 도면들로부터 보다 완전히 이해될 것이다.
도 1은 본 개시에 따른 기생 플라즈마를 감소시키기 위한 에지 튜닝 시스템을 갖는 기판 프로세싱 시스템의 예의 기능적 블록도이다.
도 2는 본 개시에 따른 제 1 에지 튜닝 시스템의 예의 단면도이다.
도 3a는 제 1 에지 튜닝 시스템의 확대된 단면도이다.
도 3b는 플레이트의 예의 플레인도이다.
도 4a 및 도 4b는 내측 칼라의 예의 사시도이다.
도 5는 본 개시에 따른 제 2 에지 튜닝 시스템의 단면도이다.
도 5은 본 개시에 따른 제 3 에지 튜닝 시스템의 예의 단면도이다.
도 7은 본 개시에 따른 제 4 에지 튜닝 시스템의 예의 단면도이다.
도 8은 다양한 가스들에 대한 압력의 함수로서 항복 전압 (breakdown voltage) 을 예시하는 그래프이다.
도면들에서, 참조 번호들은 유사하고/하거나 동일한 엘리먼트들을 식별하기 위해 재사용될 수도 있다.
관련 출원들에 대한 교차 참조
본 출원은 2014년 9월 12일 출원된 미국 특허 가출원 번호 제 62/049,767 호의 이익을 주장한다. 이 출원의 전체 개시는 본 명세서에 참조로서 인용된다.
본 개시는 샤워헤드 뒤에서 기생 플라즈마를 억제하고 기판-내 불균일성을 감소시키기 위해 에지 튜닝 시스템들을 갖는 PECVD 및 PEALD를 위한 기판 프로세싱 시스템들에 관한 것이다. 예를 들어 통상적인 PEALD 프로세스에서, 샤워헤드 후면으로 흐르는 퍼지 가스는 샤워헤드의 후면 및 프로세싱 챔버 내의 다른 원거리 영역들에서 막의 원치 않는 증착을 감소시키도록 사용된다. 더블-패터닝과 같은 일부 애플리케이션들을 위해 아르곤이 사용될 때, 기생 플라즈마는 샤워헤드의 상단 표면 상에서 점화하고, 이는 기생-유도된 기판-상의 전달된 전력 손실로 인해, 높은 웨이퍼-내 불균일성 및 두꺼운 에지 프로파일을 유발한다. 본 명세서에 기술된 시스템들 및 방법들은 기생 플라즈마를 억제하고 웨이퍼-내 불균일성을 감소시키도록 샤워헤드의 상단 표면 상에 배열된 에지 튜닝 시스템을 채용한다.
일부 예들에서, 에지 튜닝 시스템은 스템부 둘레에 배열된 칼라 및 칼라와 샤워헤드의 상부 표면 사이에 배열된 기생 플라즈마 감소 엘리먼트의 조합을 포함한다. 일부 예들에서, 기생 플라즈마 감소 엘리먼트는 샤워헤드 커버를 포함한다. 일부 예들에서, 기생 플라즈마 감소 엘리먼트는 평행 플레이트들을 포함한다.
이제 도 1을 참조하면, 기판 프로세싱 시스템 (10) 의 예는 반응 볼륨을 갖는 프로세싱 챔버 (12) 를 포함한다. 프로세스 가스들은 샤워헤드 (14) 를 사용하여 프로세싱 챔버 (12) 에 공급될 수도 있다. 일부 예들에서, 샤워헤드 (14) 는 샹들리에 타입 샤워헤드이다. 에지 튜닝 시스템 (15) 은 이하에 기술될 바와 같이, 기생 플라즈마를 감소시키도록, 샤워헤드 (14) 의 상부 표면과 프로세싱 챔버 (12) 의 상단 표면 사이에 배열된다. 이하에 더 기술될 바와 같이, 에지 튜닝 시스템 (15) 은 스템부 둘레에 배열된 칼라 및 칼라와 샤워헤드의 상부 표면 사이에 배열된 기생 플라즈마 감소 엘리먼트를 포함한다.
반도체 웨이퍼와 같은 기판 (18) 은 프로세싱 동안 기판 지지부 (16) 상에 배열될 수도 있다. 기판 지지부 (16) 는 페데스탈, 정전척, 기계적 척 또는 다른 타입의 기판 지지부를 포함할 수도 있다.
가스 전달 시스템 (20) 은 하나 이상의 가스 소스들 (22-1, 22-2, ..., 및 22-N) (집합적으로 가스 소스들 (22)) 을 포함할 수도 있고, N은 1보다 큰 정수이다. 밸브들 (24-1, 24-2, ..., 및 24-N) (집합적으로 밸브들 (24)), 질량 유량 제어기들 (mass flow controllers) (26-1, 26-2, ..., 및 26-N) (집합적으로 질량 유량 제어기들 (26)), 또는 다른 유량 제어 디바이스들이 하나 이상의 가스들을 매니폴드 (30) 로 제어가능하게 공급하기 위해 사용될 수도 있고, 매니폴드는 프로세싱 챔버 (12) 에 가스 혼합물을 공급한다.
제어기 (40) 는 (센서들 (41) 을 사용하여) 온도, 압력 등과 같은 프로세스 파라미터들을 모니터링하고 프로세스 타이밍을 제어하기 위해 사용될 수도 있다. 제어기 (40) 는 가스 전달 시스템 (20), 기판 지지부 히터 (42), 및/또는 RF 플라즈마 생성기 (46) 와 같은 프로세스 디바이스들을 제어하도록 사용될 수도 있다. 제어기 (40) 는 또한 밸브 (50) 및 펌프 (52) 를 사용하여 프로세싱 챔버 (12) 를 배기하도록 사용될 수도 있다.
RF 플라즈마 생성기 (46) 는 프로세싱 챔버 내에서 RF 플라즈마를 생성한다. RF 플라즈마 생성기 (46) 는 유도성-타입 또는 용량성-타입 RF 플라즈마 생성기일 수도 있다. 일부 예들에서, RF 플라즈마 생성기 (46) 는 RF 공급부 (60) 및 매칭 및 분배 네트워크 (64) 를 포함할 수도 있다. RF 플라즈마 생성기 (46) 가 샤워헤드 (14) 에 연결되고 기판 지지부가 접지되거나 플로팅하는 것으로 도시되지만, RF 생성기 (46) 는 기판 지지부 (16) 에 연결될 수 있고, 샤워헤드 (14) 는 접지되거나 플로팅할 수 있다. 일부 예들에서, 퍼지 가스 (80) 는 밸브 (82) 에 의해 에지 튜닝 시스템 (15) 에 선택적으로 공급될 수도 있다.
이제 도 2 내지 도 4b를 참조하면, 제 1 에지 튜닝 시스템 (152) 을 포함하는 기판 프로세싱 시스템 (150) 의 예가 도시된다. 도 2, 도 3a 및 도 3b에서, 샤워헤드 (14) 는 부가적인 상세로 도시되고 매니폴드 (30) 로부터 가스 혼합물을 수용하기 위한 중앙 캐비티 (192) 를 포함하는 스템부 (190) 를 포함한다. 샤워헤드 (14) 는 하단 또는 기판-대면 표면 (substrate-facing surface)(194) 및 상단 표면 (195) 을 포함하는 베이스부 (193) 를 더 포함한다. 기판-대면 표면 (194) 은 복수의 이격된 홀들 (196) 을 포함한다. 스템부 (190) 의 캐비티 (192) 를 통해 흐르는 프로세스 가스는 캐비티 (199) 로 들어가기 전에 분산 플레이트 (198) 상에 충돌할 수도 있다. 프로세스 가스는 복수의 홀들 (196) 을 통해 캐비티 (199) 를 나간다.
제 1 에지 튜닝 시스템 (152) 은 스템부 (190) 의 외측 직경 둘레에 배열된 내측 칼라 (212) 를 더 포함한다. 내측 칼라 (212) 는 이를 통과하는 하나 이상의 홀들 (213) 을 포함한다. 스템부 (190) 는 내측 칼라 (212) 의 내측 캐비티 (215) 를 통과한다. 상부 외측 칼라 (216) 는 일반적으로 "T"-자형 단면을 가질 수도 있고 하부 외측 칼라 (218) 위에 배열된다. 상부 외측 칼라 (216) 의 상부 부분 (220) 은 프로세싱 챔버의 상단 표면 (222) 으로의 장착을 용이하게 한다. 상부 외측 칼라 (216) 의 내측 캐비티 (223) 는 내측 칼라 (212) 및 샤워헤드 (14) 의 스템부 (190) 를 수용한다. 하부 외측 칼라 (218) 의 내측 캐비티 (227) 는 또한 내측 칼라 (212) 및 샤워헤드 (14) 의 스템부 (190) 를 수용한다.
일부 예들에서, 상부 외측 칼라 (216) 의 하부 부분은 하부 외측 칼라 (218) 의 상부 부분 상에 규정된 제 2 결합 표면 (mating surface)(232) 에 인접하게 배열된 제 1 결합 표면 (230) 을 규정할 수도 있다. 내측 칼라 (212) 내의 복수의 홀들 (213) 은 제 1 결합 표면 (230) 과 제 2 결합 표면 (232) 사이에 형성된 갭 (233) 을 갖고 정렬될 수도 있다. 동작 동안, 퍼지 가스는 홀 (213) 및 갭 (233) 을 통해 공급될 수도 있다. 내측 칼라 (212) 의 하부 부분은 퍼지 가스로 하여금 칼라 (212), 하부 외측 칼라 (218), 및 플레이트들 (236) 아래로 흐르게 하도록 개구부들 (252) (도 3a) 을 포함할 수도 있다.
하부 외측 칼라 (218) 의 방사상 외측 표면은 스레드들 (234) 을 포함할 수도 있다. 에지 튜닝 시스템 (152) 은 복수의 플레이트들 (236-1, 236-2, ..., 및 236-T) (집합적으로 플레이트들 (236)) 을 포함하는 기생 플라즈마 감소 엘리먼트를 더 포함한다. 플레이트들 (236) 은 스레드된 내측 개구부 (237) 를 포함할 수도 있다. 플레이트들 (236) 은 하부 외측 칼라 (218) 의 스레드들 (234) 상으로 스레드될 수도 있다. 플레이트들 (236) 은 균일하거나 균일하지 않은 간격으로 이격될 수도 있다. 일부 예들에서, 플레이트들 (236) 은, 연속적으로 증가하는 간격으로 이격되지만, 다른 간격이 사용될 수도 있다. 다른 예들에서, 플레이트들 (236) 은 연속적으로 감소하는 간격으로 이격되지만, 다른 간격이 사용될 수도 있다. 일부 예들에서, 플레이트들 (236) 은 스레드된 내측 개구부 (237) 상에 형성된 하나 이상의 컷아웃들 (239) 을 포함한다. 컷아웃들 (239) 은 퍼지 가스로 하여금 플레이트들 (236) 사이에서 흐르게 한다.
동작 동안, 프로세스 가스 또는 퍼지 가스는 스템부 (190) 를 통해 캐비티 (199) 내로 흐른다. 프로세스 가스 또는 퍼지 가스는 복수의 홀들 (196) 에 의해 기판 (18) 에 걸쳐 분배된다. 2차 퍼지 가스는 내측 칼라 (212) 와 스템부 (190) 사이에 공급된다. 퍼지 가스는 홀들 (213) 을 통해 그리고 갭 (233) 내로 흐른다. 퍼지 가스는 또한 개구부들 (252) 및 플레이트들 (236) 사이의 컷아웃들 (239) 을 통해 흐른다. 에지 튜닝 시스템 (152) 은 플라즈마의 이용을 포함하는 단계들 동안 기생 플라즈마를 감소시키는 것을 돕는다.
일부 예들에서, 플레이트들 (236) 은 알루미늄으로 이루어지지만, 다른 재료들이 사용될 수도 있다. 일부 예들에서, 플레이트들 (236) 은 Al7075 알루미늄으로 이루어지지만, 다른 재료들이 사용될 수도 있다. 일부 예들에서, 플레이트들 (236) 은 0.070" 내지 0.110"의 두께를 갖는다. 일부 예들에서, 플레이트들 (236) 은 0.090"의 두께를 갖는다. 일부 예들에서, 플레이트들 (236) 중 상단의 플레이트는 내측 개구부 (237) 둘레에 이격된 9개의 컷아웃들 (239) (각각 0.125") 을 갖고, 플레이트들 중 상단 플레이트 아래에 배열된 플레이트들은 내측 개구부 (237) 둘레에 이격된 15개의 컷아웃들 (239) (각각 0.125") 을 갖지만, 부가적인 또는 보다 적은 컷아웃들이 사용될 수도 있다. 컷아웃들 (239) 은 균일하거나 불균일한 패턴으로 이격될 수도 있다.
도 4a 및 도 4b에서, 내측 칼라 (212) 가 보다 상세히 도시된다. 내측 칼라 (212) 는 일반적으로 실린더형이고 내측 캐비티 (215) 와 제 1 개구부 (260) 및 반대되는 단부들에 제 2 개구부 (261) 를 포함한다. 내측 칼라 (212) 의 내측 표면 (264) 은 방사상 내측으로 돌출하는 하나 이상의 돌출부들 (280) 을 포함할 수도 있고 내측 칼라 (212) 의 길이 또는 내측 칼라 (212) 의 길이 중 일부를 연장할 수도 있다. 하나 이상의 돌출부들 (280) 은 내측 칼라 (212) 와 샤워헤드 (14) 의 스템부 (190) 의 방사상 외측 표면들 사이의 미리 결정된 간격을 유지한다.
도 4a 및 도 4b의 내측 칼라 (212) 는 내측 칼라 (212) 의 내측 표면 (264) 으로부터 외측 표면 (282) 으로 연장하는 홀들 (213) 을 포함한다. 노치 (290) 는 내측 칼라 (212) 의 상부 부분에 인접한 내측 칼라 (212) 의 방사상 외측 표면 (282) 내에 형성될 수도 있다. 개구부들 (252) 은 하나 이상의 부채꼴 또는 아치형 섹션들 (262) 에 의해 규정될 수도 있다. 예를 들어, 도 4에서, 4 개의 부채꼴 또는 아치형 섹션들 (262-1, 262-2, 262-3 및 262-4) 이 있다. 일부 예들에서, 아치형 섹션들 (262-1 및 262-2) 사이의 접합부 (263) 는 다른 아치형 섹션들 (262) 사이의 다른 접합부들보다 낮게 위치된다. 내측 칼라 (212) 는 접합부 (263) 상에 놓일 수도 있다.
이제 도 5를 참조하면, 제 2 에지 튜닝 시스템 (306) 을 포함하는 기판 프로세싱 시스템 (300) 의 예가 도시된다. 제 2 에지 튜닝 시스템 (306) 은 스템부 (190) 둘레에 배열된 칼라 (308) 및 샤워헤드 (14) 의 베이스부 (193) 둘레에 배열된 샤워헤드 커버 (320) 를 포함하는 기생 플라즈마 감소 엘리먼트를 포함한다.
칼라 (308) 는 칼라 (308) 및 샤워헤드 (14) 를 프로세싱 챔버의 상부 표면에 부착하도록 도 2에 도시된 것과 유사한 상부 "T"-자형 섹션을 포함할 수도 있다. 칼라 (308) 는 샤워헤드 (14) 의 스템부 (190) 를 수용하는 중앙 캐비티 (309) 를 포함한다. 칼라 (308) 는 칼라 (308) 를 통해 연장하는 복수의 홀들 (314) 을 더 포함한다. 일부 예들에서, 복수의 홀들 (314) 은 스템부 (190) 에 수직으로 배열된다. 동작 동안, 퍼지 가스는 샤워헤드 커버 (320), 샤워헤드 (14) 와 프로세싱 챔버의 상부 표면 사이를 퍼지하도록 복수의 홀들 (314) 을 통해 칼라 (308) 의 하부 부분 아래로 흐른다.
샤워헤드 커버 (320) 는 일반적으로 "C"-자형 단면을 갖고 상부 표면 (322), 하부 표면 (324) 및 스템부 (190) 를 수용하기 위한 중앙 개구부 (326) 를 포함한다. 중앙 개구부 (326) 는 퍼지 가스로 하여금 샤워헤드 (14) 와 샤워헤드 커버 (320) 사이에서 흐르게 하도록 스템부 (190) 사이의 부가적인 클리어런스 (clearance) 를 제공할 수도 있다. 샤워헤드 커버 (320) 의 방사상 말단부들 (330) 은 샤워헤드 (14) 의 베이스부 (193) 의 방사상 외측 에지를 지나 그리고 아래쪽으로 연장한다. 샤워헤드 커버 (320) 의 하부 부분 (332) 은 샤워헤드 (14) 의 베이스부 (193) 의 하부 표면 (194) 또는 샤워헤드 (14) 의 베이스부 (193) 의 하부 표면 (194) 약간 위 또는 아래로 연장할 수도 있다. 하나 이상의 스페이서들 (338) 은 샤워헤드 커버 (320) 와 샤워헤드 (14) 사이의 간격을 유지하도록 제공될 수도 있다. 일부 예들에서, 샤워헤드 커버 (320) 는 세라믹으로 이루어지지만, 다른 재료들이 사용될 수도 있다.
일부 예들에서, 샤워헤드 커버 (320) 는 3/8" 내지 1"의 두께를 갖는다. 일부 예들에서, 샤워헤드 (14) 의 방사상 외측 단부는 샤워헤드 커버 (320) 의 내측 표면으로부터 0.120" 이격된다. 일부 예들에서, 스페이서 (338) 는 1/4" 내지 1/2"의 두께를 갖는다. 일부 예들에서, 스페이서 (338) 는 3/8"의 두께를 갖는다.
이제 도 6을 참조하면, 제 3 에지 튜닝 시스템 (406) 을 포함하는 기판 프로세싱 시스템 (400) 의 예가 도시된다. 제 3 에지 튜닝 시스템 (406) 은 상기 기술된 칼라 (308) 및 샤워헤드 커버 (420) 를 포함하는 기생 플라즈마 감소 엘리먼트를 포함한다. 샤워헤드 커버 (420) 는 일반적으로 "C"-자형 단면을 포함하는 제 1 부분을 포함하고, 상부 표면 (422), 하부 표면 (424) 및 스템부 (190) 를 수용하기 위한 중앙 개구부 (426) 를 포함한다. 샤워헤드 커버 (420) 의 방사상 외측 단부 부분들 (430) 은 샤워헤드 (14) 의 베이스부 (193) 의 방사상 외측 에지에 걸쳐 연장한다. 샤워헤드 커버 (420) 의 하부 부분 (432) 은 433으로 도시한 바와 같이, 기판 (18) 에 대체로 평행한 플레인에서 방사상 외측으로 연장하기 전에 샤워헤드 (14) 의 베이스부 (193) 의 하부 표면 (194) 또는 샤워헤드 (14) 의 베이스부 (193) 의 하부 표면 (194) 의 약간 위 또는 아래로 연장할 수도 있다. 하나 이상의 스페이서들 (438) 은 샤워헤드 커버 (420) 와 샤워헤드 (14) 사이의 간격을 유지하도록 제공될 수도 있다.
일부 예들에서, 샤워헤드 커버 (420) 는 1/16" 내지 1/4"의 두께를 갖는다. 일부 예들에서, 샤워헤드 (14) 의 방사상 외측 단부는 샤워헤드 커버 (420) 의 내측 표면 (450) 으로부터 이격되고 (예를 들어 0.03125"), 표면 (452) 은 샤워헤드 (14) 의 하부 표면 (194) 위로 이격된다 (예를 들어 0.03125"). 일부 예들에서, 스페이서 (438) 는 1/4" 내지 3/4"의 두께를 갖는다. 일부 예들에서, 스페이서 (438) 는 1/2"의 두께를 갖는다.
이제 도 7a 및 도 7b를 참조하면, 제 4 에지 튜닝 시스템 (506) 을 포함하는 기판 프로세싱 시스템 (500) 의 예가 도시된다. 제 4 에지 튜닝 시스템 (506) 은 상기 기술된 칼라 (308) 및 복수의 플레이트들 (514-1, 514-2, ..., 및 514-R (집합적으로 플레이트들 (514)) 을 포함하는 기생 플라즈마 감소 엘리먼트를 포함한다. 플레이트들 (514) 은 스페이서들 (516) 또는 다른 메커니즘을 사용하여 이격될 수도 있다.
일부 예들에서, 스페이서들 (516) 은 세라믹으로 이루어질 수도 있다. 일부 예들에서, 플레이트들 (514) 은 Al7075 알루미늄과 같은 알루미늄으로 이루어지지만, 다른 재료들이 사용될 수도 있다. 일부 예들에서, 플레이트들 (514) 은 0.070" 내지 0.110"의 두께를 갖는다. 일부 예들에서, 플레이트들 (514) 은 0.090"의 두께를 갖는다.
인서트 (530) 는 샤워헤드 (14) 의 스템부 (190) 와 플레이트들 (514) 의 방사상 내측 에지들 및 칼라 (308) 의 캐비티 (309) 사이에 배열될 수도 있다. 일부 예들에서, 인서트 (530) 는 폴리이미드계 플라스틱 (예를 들어 Vespel®) 과 같은 플라스틱으로 이루어지지만, 다른 재료들이 사용될 수도 있다. 일부 예들에서, 인서트 (530) 는 환형 바디부 (532) 및 스템부 (534) 를 포함할 수도 있다. 환형 바디부 (532) 는 스템부 (534) 의 하부 부분으로부터 방사상 외측으로 연장한다. 도 7b에서, 플레이트들 (514) 은 스템부 (190) 보다 큰 개구부 (515) 를 포함하도록 도시된다. 그 결과, 퍼지 가스는 플레이트들 (514) 사이에서 흐른다.
일부 예들에서, 본 명세서에 기술된 시스템들 및 방법들은 비반응성 가스 또는 불활성 가스대신 반응물질 가스를 사용하여 2차 퍼지를 수행한다. 단지 예를 들면, 분자 산소 (O2) 또는 아산화 질소 (N2O) 는 이산화 실리콘 (SiO2) 막 또는 이산화 티타늄 (TiO2) 막을 증착할 때 2차 퍼지를 위해 사용될 수도 있다. 단지 예를 들면, 분자 질소 (N2) 또는 암모니아 (NH3) 는 질화 실리콘 (SiN) 막들을 증착할 때 2차 퍼지를 위해 사용될 수도 있다. 부가적으로 SiO2 및 TiO2가 본 명세서에 구체적으로 개시되지만, 본 개시는 실리콘 (Si), 하프늄 (Hf), 알루미늄 (Al), 티타늄 (Ti), 지르코늄 (Zr), 등을 포함하는 다른 ALD 산화물 막 또는 질화물 막과 관련된다.
일부 예들에서, 분자 산소 또는 분자 수소는 2차 퍼지 가스로서 사용된다. 분자 산소와 같은 반응물질 가스의 사용은 아르곤이 사용될 때 관찰되었던 발광 (light-up) 및/또는 연관된 HCD (hollow cathode discharge) 를 방지하는 것을 돕는다. 아르곤은 통상적인 프로세스 압력들에서 분자 산소보다 보다 낮은 항복 전압을 갖는다. 아르곤 대신 분자 산소와 같은 반응물질 가스가 사용될 때, 전면측 에지 프로파일에 대한 두께 변화들이 또한 제거된다 (특히 노치에서).
이제 도 8을 참조하면, Paschen 곡선이 도시된다. 아르곤과 같은 불활성 가스들의 항복 전압은 2 내지 10 Torr와 같은 통상적인 프로세스 압력들에서 상대적으로 낮다. 도시된 바와 같이, 분자 수소 및 분자 질소의 항복 전압은 일부 프로세스 압력들에서 보다 높다. 일부 예들에서, 2차 퍼지 가스는 선택된 프로세스 압력에서 아르곤보다 보다 높은 항복 전압을 갖도록 선택된다. 단지 예를 들면, 분자 수소는 프로세싱 챔버가 2 내지 3 Torr의 프로세스 압력에서 동작할 때 사용될 수도 있지만, 아르곤이 보다 높은 항복 전압을 갖는 보다 높은 프로세스 압력들에서 사용되지 않을 수도 있다.
다른 특징들에서, 막은 ALD (atomic layer deposition) 를 사용하여 증착된다. 방법은 2 내지 3 Torr의 진공 압력에서 프로세싱 챔버를 유지하는 단계를 더 포함한다.
전술한 기술은 단순히 특성을 예시하는 것이고 어떠한 방식으로도 본 개시, 이의 애플리케이션, 또는 용도를 제한하도록 의도되지 않는다. 본 개시의 광범위한 교시들은 다양한 형태들로 구현될 수 있다. 따라서, 본 개시는 특별한 예들을 포함하지만, 본 개시의 진정한 범위는 다른 수정들이 도면들, 명세서, 및 이하의 청구항들을 연구함으로써 명백해질 것이기 때문에 그렇게 제한되지 않아야 한다. 본 명세서에서 사용된 바와 같이, 구 A, B, 및 C 중 적어도 하나는 비배타적인 논리 OR를 사용하여, 논리적으로 (A 또는 B 또는 C) 를 의미하는 것으로 해석되어야 하고, "적어도 하나의 A, 적어도 하나의 B, 및 적어도 하나의 C"를 의미하도록 해석되지 않아야 한다. 방법 내에서 하나 이상의 단계들은 본 개시의 원리를 변경하지 않고 다른 순서로 (또는 동시에) 실행될 수도 있다는 것이 이해되어야 한다.
일부 구현예들에서, 제어기는 상술한 실례들의 일부일 수 있는 시스템의 일부이다. 이러한 시스템들은, 프로세싱 툴 또는 툴들, 챔버 또는 챔버들, 프로세싱용 플랫폼 또는 플랫폼들, 및/또는 특정 프로세싱 컴포넌트들 (웨이퍼 기판 지지부, 가스 플로우 시스템, 등) 을 포함하는, 반도체 프로세싱 장비를 포함할 수 있다. 이러한 시스템들은 반도체 웨이퍼 또는 기판의 프로세싱 이전에, 프로세싱 동안에 그리고 프로세싱 이후에 그들의 동작을 제어하기 위한 전자장치에 통합될 수도 있다. 전자장치는 시스템 또는 시스템들의 다양한 컴포넌트들 또는 하위부품들을 제어할 수 있는 "제어기"로서 지칭될 수도 있다. 제어기는, 시스템의 프로세싱 요건들 및/또는 타입에 따라서, 프로세싱 가스들의 전달, 온도 설정사항들 (예를 들어, 가열 및/또는 냉각), 압력 설정사항들, 진공 설정사항들, 전력 설정사항들, 무선 주파수 (RF) 생성기 설정사항들, RF 매칭 회로 설정사항들, 주파수 설정사항들, 플로우 레이트 설정사항들, 유체 전달 설정사항들, 위치 및 동작 설정사항들, 툴들 및 다른 이송 툴들 및/또는 특정 시스템과 연결되거나 인터페이싱된 로드록들 내외로의 웨이퍼 이송들을 포함하는, 본 명세서에 개시된 프로세스들 중 임의의 프로세스를 제어하도록 프로그램될 수도 있다.
일반적으로 말하면, 제어기는 인스트럭션들을 수신하고 인스트럭션들을 발행하고 동작을 제어하고 세정 동작들을 인에이블하고, 엔드포인트 측정들을 인에이블하는 등을 하는 다양한 집적 회로들, 로직, 메모리, 및/또는 소프트웨어를 갖는 전자장치로서 규정될 수도 있다. 집적 회로들은 프로그램 인스트럭션들을 저장하는 펌웨어의 형태의 칩들, 디지털 신호 프로세서들 (DSP), ASIC (application specific integrated circuit) 으로서 규정되는 칩들 및/또는 프로그램 인스트럭션들 (예를 들어, 소프트웨어) 을 실행하는 하나 이상의 마이크로프로세서들, 또는 마이크로제어기들을 포함할 수도 있다. 프로그램 인스트럭션들은 반도체 웨이퍼 상에서 또는 반도체 웨이퍼에 대한 특정 프로세스를 실행하기 위한 동작 파라미터들을 규정하는, 다양한 개별 설정사항들 (또는 프로그램 파일들) 의 형태로 제어기로 또는 시스템으로 전달되는 인스트럭션들일 수 있다. 일부 실시예들에서, 동작 파라미터들은 하나 이상의 층들, 재료들, 금속들, 산화물들, 실리콘, 이산화 실리콘, 표면들, 회로들, 및/또는 웨이퍼의 다이들의 제조 동안에 하나 이상의 프로세싱 단계들을 달성하도록 프로세스 엔지니어에 의해서 규정된 레시피의 일부일 수도 있다.
제어기는, 일부 구현예들에서, 시스템에 통합되거나, 시스템에 커플링되거나, 이와 달리 시스템에 네트워킹되거나, 또는 이들의 조합으로 될 수 있는 컴퓨터에 커플링되거나 이의 일부일 수 있다. 예를 들어, 제어기는 웨이퍼 프로세싱의 원격 액세스를 가능하게 할 수 있는 공장 (fab) 호스트 컴퓨터 시스템의 전부 또는 일부이거나 "클라우드" 내에 있을 수 있다. 컴퓨터는 제조 동작들의 현 진행을 모니터링하고, 과거 제조 동작들의 이력을 조사하고, 복수의 제조 동작들로부터 경향들 또는 성능 계측치들을 조사하고, 현 프로세싱의 파라미터들을 변경하고, 현 프로세싱을 따르는 프로세싱 단계들을 설정하고, 또는 새로운 프로세스를 시작하기 위해서 시스템으로의 원격 액세스를 인에이블할 수도 있다. 일부 예들에서, 원격 컴퓨터 (예를 들어, 서버) 는 로컬 네트워크 또는 인터넷을 포함할 수도 있는 네트워크를 통해서 프로세스 레시피들을 시스템에 제공할 수 있다. 원격 컴퓨터는 차후에 원격 컴퓨터로부터 시스템으로 전달될 파라미터들 및/또는 설정사항들의 입력 또는 프로그래밍을 가능하게 하는 사용자 인터페이스를 포함할 수도 있다. 일부 예들에서, 제어기는 하나 이상의 동작들 동안에 수행될 프로세스 단계들 각각에 대한 파라미터들을 특정한, 데이터의 형태의 인스트럭션들을 수신한다. 이 파라미터들은 제어기가 제어하거나 인터페이싱하도록 구성된 툴의 타입 및 수행될 프로세스의 타입에 특정적일 수 있다는 것이 이해되어야 한다. 따라서, 상술한 바와 같이, 제어기는 예를 들어 서로 네트워킹되어서 함께 공통 목적을 위해서, 예를 들어 본 명세서에 기술된 프로세스들 및 제어들을 위해서 협력하는 하나 이상의 개별 제어기들을 포함함으로써 분산될 수도 있다. 이러한 목적을 위한 분산형 제어기의 예는 챔버 상의 프로세스를 제어하도록 조합되는, (예를 들어서, 플랫폼 레벨에서 또는 원격 컴퓨터의 일부로서) 원격으로 위치한 하나 이상의 집적 회로들과 통신하는 챔버 상의 하나 이상의 집적 회로들일 수 있다.
비한정적으로, 예시적인 시스템들은 플라즈마 에칭 챔버 또는 모듈, 증착 챔버 또는 모듈, 스핀-린스 챔버 또는 모듈, 금속 도금 챔버 또는 모듈, 세정 챔버 또는 모듈, 베벨 에지 에칭 챔버 또는 모듈, PVD (physical vapor deposition) 챔버 또는 모듈, CVD (chemical vapor deposition) 챔버 또는 모듈, ALD (atomic layer deposition) 챔버 또는 모듈, ALE (atomic layer etch) 챔버 또는 모듈, 이온 주입 챔버 또는 모듈, 트랙 (track) 챔버 또는 모듈, 및 반도체 웨이퍼들의 제조 및/또는 가공 시에 사용되거나 연관될 수도 있는 임의의 다른 반도체 프로세싱 시스템들을 포함할 수도 있다.
상술한 바와 같이, 툴에 의해서 수행될 프로세스 단계 또는 단계들에 따라서, 제어기는, 반도체 제조 공장 내의 툴 위치들 및/또는 로드 포트들로부터/로 웨이퍼들의 컨테이너들을 이동시키는 재료 이송 시에 사용되는, 다른 툴 회로들 또는 모듈들, 다른 툴 컴포넌트들, 클러스터 툴들, 다른 툴 인터페이스들, 인접 툴들, 이웃하는 툴들, 공장 도처에 위치한 툴들, 메인 컴퓨터, 또 다른 제어기 또는 툴들 중 하나 이상과 통신할 수도 있다.

Claims (31)

  1. 기판 상에 막을 증착하기 위한 기판 프로세싱 시스템으로서,
    반응 볼륨을 규정하는 프로세싱 챔버;
    샤워헤드;
    상기 반응 볼륨 내에 RF 플라즈마를 선택적으로 생성하도록 구성된 플라즈마 생성기; 및
    상기 샤워헤드의 베이스부와 상기 프로세싱 챔버의 상부 표면 사이의 상기 샤워헤드의 스템부 둘레에 배열된 칼라 (collar) 를 포함하고,
    상기 샤워헤드는,
    상기 프로세싱 챔버의 상부 표면에 인접하게 연결된 일 단부를 갖는 상기 스템부, 및
    상기 스템부의 반대쪽 단부에 연결되고 상기 스템부로부터 방사상 외측으로 연장하는 상기 베이스부를 포함하고,
    상기 샤워헤드는 상기 반응 볼륨 내로 가스를 도입하도록 구성되고,
    상기 칼라는 상기 칼라의 내측 캐비티로부터 상기 샤워헤드의 상기 베이스부와 상기 프로세싱 챔버의 상기 상부 표면 사이로 퍼지 가스를 공급하기 위한 하나 이상의 홀들을 포함하는, 기판 프로세싱 시스템.
  2. 제 1 항에 있어서,
    상기 하나 이상의 홀들은 상기 칼라를 통하는 제 2 홀들로부터 수직으로 분리되는, 상기 칼라를 통하는 제 1 홀들을 포함하는, 기판 프로세싱 시스템.
  3. 제 2 항에 있어서,
    상기 하나 이상의 홀들은 상기 제 1 홀들 및 상기 제 2 홀들로부터 수직으로 분리되는 제 3 홀들을 더 포함하는, 기판 프로세싱 시스템.
  4. 제 3 항에 있어서,
    상기 하나 이상의 홀들은 상기 제 1 홀들, 상기 제 2 홀들, 및 상기 제 3 홀들로부터 수직으로 분리되는 제 4 홀들을 더 포함하는, 기판 프로세싱 시스템.
  5. 제 4 항에 있어서,
    상기 제 1 홀들은 제 1 평면 상에 배열되고, 상기 제 2 홀들은 상기 제 1 평면에 평행한 제 2 평면 상에 배열되고, 상기 제 3 홀들은 상기 제 1 평면 및 상기 제 2 평면에 평행한 제 3 평면 상에 배열되고, 그리고 상기 제 4 홀들은 상기 제 1 평면, 상기 제 2 평면, 및 상기 제 3 평면에 평행한 제 4 평면 상에 배열되는, 기판 프로세싱 시스템.
  6. 제 3 항에 있어서,
    상기 제 1 홀들은 제 1 평면 상에 배열되고, 상기 제 2 홀들은 상기 제 1 평면에 평행한 제 2 평면 상에 배열되고, 그리고 상기 제 3 홀들은 상기 제 1 평면 및 상기 제 2 평면에 평행한 제 3 평면 상에 배열되는, 기판 프로세싱 시스템.
  7. 제 2 항에 있어서,
    상기 제 1 홀들은 제 1 평면 상에 배열되고, 상기 제 2 홀들은 상기 제 1 평면에 평행한 제 2 평면 상에 배열되는, 기판 프로세싱 시스템.
  8. 제 1 항에 있어서,
    상기 하나 이상의 홀들은 상기 스템부에 수직으로 배열되는, 기판 프로세싱 시스템.
  9. 제 1 항에 있어서,
    상기 칼라는:
    상기 스템부에 인접하게 배열된 내측 칼라;
    상기 내측 칼라의 상부 부분 둘레에 배열된 상부 외측 칼라; 및
    상기 내측 칼라의 하부 부분 둘레에 배열된 하부 외측 칼라를 포함하는, 기판 프로세싱 시스템.
  10. 제 9 항에 있어서,
    상기 하나 이상의 홀들은 상기 상부 외측 칼라와 상기 하부 외측 칼라 사이에 형성된 갭을 포함하고, 그리고
    상기 내측 칼라는 상기 갭에 인접하게 배열된 하나 이상의 내측 칼라 홀들을 포함하는, 기판 프로세싱 시스템.
  11. 제 9 항에 있어서,
    상기 상부 외측 칼라는 일반적으로 "T"-자형 단면을 갖는, 기판 프로세싱 시스템.
  12. 제 11 항에 있어서,
    상기 상부 외측 칼라는 상기 프로세싱 챔버의 상단 표면에 마운팅되는, 기판 프로세싱 시스템.
  13. 제 1 항에 있어서,
    상기 칼라는 상기 칼라의 상기 내측 캐비티와 상기 스템부의 외측 표면 사이에 균일한 간격을 제공하도록 돌출부들을 포함하는, 기판 프로세싱 시스템.
  14. 제 1 항에 있어서,
    상기 칼라는 퍼지 가스로 하여금 개구부들을 통해 흐르게 하도록 상기 칼라의 샤워헤드측 단부를 따라 배열된 상기 개구부들을 포함하는, 기판 프로세싱 시스템.
  15. 제 1 항에 있어서,
    상기 퍼지 가스는 반응물질 가스인, 기판 프로세싱 시스템.
  16. 제 1 항에 있어서,
    상기 퍼지 가스는 분자 산소, 분자 수소, 분자 질소, 아산화 질소, 암모니아, 및 아르곤을 포함하는 그룹으로부터 선택되는, 기판 프로세싱 시스템.
  17. 제 1 항에 있어서,
    상기 퍼지 가스는 분자 산소를 포함하고, 그리고 상기 막은 이산화 실리콘을 포함하는, 기판 프로세싱 시스템.
  18. 제 1 항에 있어서,
    상기 퍼지 가스는 아산화 질소를 포함하고, 그리고 상기 막은 이산화 실리콘을 포함하는, 기판 프로세싱 시스템.
  19. 제 1 항에 있어서,
    상기 퍼지 가스는 분자 산소를 포함하고, 그리고 상기 막은 이산화 티타늄을 포함하는, 기판 프로세싱 시스템.
  20. 제 1 항에 있어서,
    상기 퍼지 가스는 아산화 질소를 포함하고, 그리고 상기 막은 이산화 티타늄을 포함하는, 기판 프로세싱 시스템.
  21. 제 1 항에 있어서,
    상기 퍼지 가스는 분자 질소를 포함하고, 그리고 상기 막은 질화 실리콘을 포함하는, 기판 프로세싱 시스템.
  22. 제 1 항에 있어서,
    상기 퍼지 가스는 암모니아를 포함하고, 그리고 상기 막은 질화 실리콘을 포함하는, 기판 프로세싱 시스템.
  23. 제 1 항에 있어서,
    상기 막은 실리콘, 하프늄, 알루미늄, 티타늄, 및 지르코늄 중 하나를 포함하는 산화 막을 포함하는, 기판 프로세싱 시스템.
  24. 제 1 항에 있어서,
    상기 막은 실리콘, 하프늄, 알루미늄, 티타늄, 및 지르코늄 중 하나를 포함하는 질화 막을 포함하는, 기판 프로세싱 시스템.
  25. 삭제
  26. 삭제
  27. 삭제
  28. 삭제
  29. 삭제
  30. 삭제
  31. 삭제
KR1020150126994A 2014-09-12 2015-09-08 기생 플라즈마를 억제하고 웨이퍼 내 불균일성을 감소시키기 위한 시스템들 및 방법들 KR102333806B1 (ko)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020210166172A KR102525777B1 (ko) 2014-09-12 2021-11-26 기생 플라즈마를 억제하고 웨이퍼-내 불균일성을 감소시키기 위한 시스템들 및 방법들

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201462049767P 2014-09-12 2014-09-12
US62/049,767 2014-09-12
US14/668,174 US9793096B2 (en) 2014-09-12 2015-03-25 Systems and methods for suppressing parasitic plasma and reducing within-wafer non-uniformity
US14/668,174 2015-03-25

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020210166172A Division KR102525777B1 (ko) 2014-09-12 2021-11-26 기생 플라즈마를 억제하고 웨이퍼-내 불균일성을 감소시키기 위한 시스템들 및 방법들

Publications (2)

Publication Number Publication Date
KR20160031420A KR20160031420A (ko) 2016-03-22
KR102333806B1 true KR102333806B1 (ko) 2021-12-01

Family

ID=55455414

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020150126994A KR102333806B1 (ko) 2014-09-12 2015-09-08 기생 플라즈마를 억제하고 웨이퍼 내 불균일성을 감소시키기 위한 시스템들 및 방법들
KR1020210166172A KR102525777B1 (ko) 2014-09-12 2021-11-26 기생 플라즈마를 억제하고 웨이퍼-내 불균일성을 감소시키기 위한 시스템들 및 방법들

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020210166172A KR102525777B1 (ko) 2014-09-12 2021-11-26 기생 플라즈마를 억제하고 웨이퍼-내 불균일성을 감소시키기 위한 시스템들 및 방법들

Country Status (6)

Country Link
US (3) US9793096B2 (ko)
JP (3) JP6580426B2 (ko)
KR (2) KR102333806B1 (ko)
CN (1) CN105428194B (ko)
SG (1) SG10201507194VA (ko)
TW (1) TWI671842B (ko)

Families Citing this family (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8673080B2 (en) 2007-10-16 2014-03-18 Novellus Systems, Inc. Temperature controlled showerhead
WO2012122054A2 (en) 2011-03-04 2012-09-13 Novellus Systems, Inc. Hybrid ceramic showerhead
US9388494B2 (en) * 2012-06-25 2016-07-12 Novellus Systems, Inc. Suppression of parasitic deposition in a substrate processing system by suppressing precursor flow and plasma outside of substrate region
US10741365B2 (en) * 2014-05-05 2020-08-11 Lam Research Corporation Low volume showerhead with porous baffle
US9793096B2 (en) * 2014-09-12 2017-10-17 Lam Research Corporation Systems and methods for suppressing parasitic plasma and reducing within-wafer non-uniformity
US10378107B2 (en) 2015-05-22 2019-08-13 Lam Research Corporation Low volume showerhead with faceplate holes for improved flow uniformity
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
US10403474B2 (en) * 2016-07-11 2019-09-03 Lam Research Corporation Collar, conical showerheads and/or top plates for reducing recirculation in a substrate processing system
JP6794184B2 (ja) * 2016-08-31 2020-12-02 株式会社日本製鋼所 プラズマ原子層成長装置
US10622243B2 (en) 2016-10-28 2020-04-14 Lam Research Corporation Planar substrate edge contact with open volume equalization pathways and side containment
KR20180068582A (ko) * 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR101850895B1 (ko) * 2017-01-03 2018-04-20 한국표준과학연구원 플라즈마 발생 장치
TWI649446B (zh) * 2017-03-15 2019-02-01 漢民科技股份有限公司 應用於半導體設備之可拆卸式噴氣裝置
US10851457B2 (en) * 2017-08-31 2020-12-01 Lam Research Corporation PECVD deposition system for deposition on selective side of the substrate
CN113597479A (zh) * 2019-03-11 2021-11-02 朗姆研究公司 用于清洁等离子体室的设备
JP2022534564A (ja) * 2019-05-29 2022-08-02 ラム リサーチ コーポレーション 均一性調整のためのシャワーヘッドインサート
WO2021011950A1 (en) * 2019-07-17 2021-01-21 Lam Research Corporation Modulation of oxidation profile for substrate processing
JP2023518718A (ja) * 2020-03-19 2023-05-08 ラム リサーチ コーポレーション シャワーヘッドパージカラー
KR102500678B1 (ko) * 2021-08-25 2023-02-16 주식회사 아이에스티이 기생 플라즈마 방지를 위한 샤워헤드 가스 공급장치

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2014012891A (ja) 2012-06-25 2014-01-23 Novellus Systems Incorporated 基板領域外の前駆体流およびプラズマを抑制することによる基板処理システム内の寄生成長の抑制

Family Cites Families (43)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
NL287968A (ko) * 1962-03-15
JPS63227011A (ja) * 1987-03-17 1988-09-21 Fujitsu Ltd 化学気相成長装置
JP2725081B2 (ja) * 1990-07-05 1998-03-09 富士通株式会社 半導体装置製造用熱処理装置
US5446824A (en) * 1991-10-11 1995-08-29 Texas Instruments Lamp-heated chuck for uniform wafer processing
US5453124A (en) * 1992-12-30 1995-09-26 Texas Instruments Incorporated Programmable multizone gas injector for single-wafer semiconductor processing equipment
GB9410567D0 (en) * 1994-05-26 1994-07-13 Philips Electronics Uk Ltd Plasma treatment and apparatus in electronic device manufacture
JP3295336B2 (ja) * 1996-03-01 2002-06-24 キヤノン株式会社 マイクロ波プラズマ処理装置およびプラズマ処理方法
US5741363A (en) * 1996-03-22 1998-04-21 Advanced Technology Materials, Inc. Interiorly partitioned vapor injector for delivery of source reagent vapor mixtures for chemical vapor deposition
US7004107B1 (en) 1997-12-01 2006-02-28 Applied Materials Inc. Method and apparatus for monitoring and adjusting chamber impedance
US6474258B2 (en) 1999-03-26 2002-11-05 Tokyo Electron Limited Apparatus and method for improving plasma distribution and performance in an inductively coupled plasma
KR100722592B1 (ko) * 1999-12-22 2007-05-28 아익스트론 아게 화학 기상 증착 반응기
DE10007059A1 (de) * 2000-02-16 2001-08-23 Aixtron Ag Verfahren und Vorrichtung zur Herstellung von beschichteten Substraten mittels Kondensationsbeschichtung
DE10043601A1 (de) * 2000-09-01 2002-03-14 Aixtron Ag Vorrichtung und Verfahren zum Abscheiden insbesondere kristalliner Schichten auf insbesondere kristallinen Substraten
US20030042227A1 (en) * 2001-08-29 2003-03-06 Tokyo Electron Limited Apparatus and method for tailoring an etch profile
JP4338355B2 (ja) * 2002-05-10 2009-10-07 東京エレクトロン株式会社 プラズマ処理装置
US20070187363A1 (en) * 2006-02-13 2007-08-16 Tokyo Electron Limited Substrate processing apparatus and substrate processing method
KR101020160B1 (ko) 2006-03-03 2011-03-09 엘아이지에이디피 주식회사 플라즈마 처리장치
JP2008078515A (ja) * 2006-09-25 2008-04-03 Tokyo Electron Ltd プラズマ処理方法
US8673080B2 (en) * 2007-10-16 2014-03-18 Novellus Systems, Inc. Temperature controlled showerhead
US8137463B2 (en) * 2007-12-19 2012-03-20 Applied Materials, Inc. Dual zone gas injection nozzle
TWI498988B (zh) * 2008-02-20 2015-09-01 Tokyo Electron Ltd A gas supply device, a film forming apparatus, and a film forming method
KR101632271B1 (ko) 2008-04-12 2016-06-21 어플라이드 머티어리얼스, 인코포레이티드 플라즈마 처리 장치 및 방법
US9728429B2 (en) 2010-07-27 2017-08-08 Lam Research Corporation Parasitic plasma prevention in plasma processing chambers
WO2012122054A2 (en) * 2011-03-04 2012-09-13 Novellus Systems, Inc. Hybrid ceramic showerhead
US20130071581A1 (en) * 2011-09-20 2013-03-21 Jonghoon Baek Plasma monitoring and minimizing stray capacitance
US10224182B2 (en) 2011-10-17 2019-03-05 Novellus Systems, Inc. Mechanical suppression of parasitic plasma in substrate processing chamber
US9121097B2 (en) * 2012-08-31 2015-09-01 Novellus Systems, Inc. Variable showerhead flow by varying internal baffle conductance
US9399228B2 (en) * 2013-02-06 2016-07-26 Novellus Systems, Inc. Method and apparatus for purging and plasma suppression in a process chamber
US9449795B2 (en) * 2013-02-28 2016-09-20 Novellus Systems, Inc. Ceramic showerhead with embedded RF electrode for capacitively coupled plasma reactor
TWI654333B (zh) * 2013-12-18 2019-03-21 美商蘭姆研究公司 具有均勻性折流板之半導體基板處理設備
US10741365B2 (en) * 2014-05-05 2020-08-11 Lam Research Corporation Low volume showerhead with porous baffle
US9617638B2 (en) * 2014-07-30 2017-04-11 Lam Research Corporation Methods and apparatuses for showerhead backside parasitic plasma suppression in a secondary purge enabled ALD system
US9793096B2 (en) * 2014-09-12 2017-10-17 Lam Research Corporation Systems and methods for suppressing parasitic plasma and reducing within-wafer non-uniformity
US10407771B2 (en) * 2014-10-06 2019-09-10 Applied Materials, Inc. Atomic layer deposition chamber with thermal lid
CN104409309B (zh) * 2014-12-01 2016-09-21 逢甲大学 大面积等离子体处理装置与均匀等离子体生成方法
US11384432B2 (en) * 2015-04-22 2022-07-12 Applied Materials, Inc. Atomic layer deposition chamber with funnel-shaped gas dispersion channel and gas distribution plate
US10378107B2 (en) * 2015-05-22 2019-08-13 Lam Research Corporation Low volume showerhead with faceplate holes for improved flow uniformity
US9508547B1 (en) * 2015-08-17 2016-11-29 Lam Research Corporation Composition-matched curtain gas mixtures for edge uniformity modulation in large-volume ALD reactors
US10157755B2 (en) * 2015-10-01 2018-12-18 Lam Research Corporation Purge and pumping structures arranged beneath substrate plane to reduce defects
US9758868B1 (en) * 2016-03-10 2017-09-12 Lam Research Corporation Plasma suppression behind a showerhead through the use of increased pressure
US9738977B1 (en) * 2016-06-17 2017-08-22 Lam Research Corporation Showerhead curtain gas method and system for film profile modulation
US10403474B2 (en) * 2016-07-11 2019-09-03 Lam Research Corporation Collar, conical showerheads and/or top plates for reducing recirculation in a substrate processing system
US11335591B2 (en) * 2019-05-28 2022-05-17 Applied Materials, Inc. Thermal process chamber lid with backside pumping

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2014012891A (ja) 2012-06-25 2014-01-23 Novellus Systems Incorporated 基板領域外の前駆体流およびプラズマを抑制することによる基板処理システム内の寄生成長の抑制

Also Published As

Publication number Publication date
JP2021119626A (ja) 2021-08-12
JP7232864B2 (ja) 2023-03-03
KR20210150330A (ko) 2021-12-10
US20200335304A1 (en) 2020-10-22
US10665429B2 (en) 2020-05-26
JP6580426B2 (ja) 2019-09-25
US20180068833A1 (en) 2018-03-08
KR20160031420A (ko) 2016-03-22
JP6878527B2 (ja) 2021-05-26
US20160079036A1 (en) 2016-03-17
JP2020025100A (ja) 2020-02-13
TWI671842B (zh) 2019-09-11
TW201626483A (zh) 2016-07-16
SG10201507194VA (en) 2016-04-28
KR102525777B1 (ko) 2023-04-25
JP2016063221A (ja) 2016-04-25
US11127567B2 (en) 2021-09-21
US9793096B2 (en) 2017-10-17
CN105428194A (zh) 2016-03-23
CN105428194B (zh) 2018-06-01

Similar Documents

Publication Publication Date Title
KR102525777B1 (ko) 기생 플라즈마를 억제하고 웨이퍼-내 불균일성을 감소시키기 위한 시스템들 및 방법들
KR102535931B1 (ko) 기판 프로세싱 시스템 내의 재순환을 감소시키기 위한 칼라, 원추형 샤워헤드들 및/또는 상단 플레이트들
US11069553B2 (en) Electrostatic chuck with features for preventing electrical arcing and light-up and improving process uniformity
KR102454243B1 (ko) 기판 에지들에서 이면 증착을 감소시키고 두께 변화들을 완화하기 위한 시스템들 및 방법들
KR102430432B1 (ko) 개방 볼륨 이퀄라이제이션 통로들 및 측면 밀폐부를 가진 평면형 기판 에지 콘택트
KR102598863B1 (ko) 동시에 발생하는 인시츄 플라즈마 소스 및 리모트 플라즈마 소스를 사용한 신속한 챔버 세정
US10741425B2 (en) Helium plug design to reduce arcing
KR102620610B1 (ko) 원자층 증착 동안 화학물질들의 제어된 분리 및 전달을 통해 저 디펙트 프로세싱을 가능하게 하는 시스템들 및 방법들
US10900124B2 (en) Substrate processing chamber with showerhead having cooled faceplate
US20220181147A1 (en) High etch selectivity, low stress ashable carbon hard mask
KR20230073144A (ko) 트루 라디칼 (true radical) 프로세싱을 위한 리모트 플라즈마 아키텍처
US20230009859A1 (en) Asymmetric purged block beneath wafer plane to manage non-uniformity
US20160329213A1 (en) Highly selective deposition of amorphous carbon as a metal diffusion barrier layer
KR102510611B1 (ko) 저 압축 응력, 고 막 (film) 안정성 및 저 수축성을 가진 두꺼운 테트라에틸 오르토실리케이트 막을 고 증착 레이트로 증착하기 위한 방법
WO2024076480A1 (en) Annular pumping for chamber

Legal Events

Date Code Title Description
A201 Request for examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant