TW201632654A - 具有主動冷卻的柵條之氣體分配裝置 - Google Patents

具有主動冷卻的柵條之氣體分配裝置 Download PDF

Info

Publication number
TW201632654A
TW201632654A TW104131529A TW104131529A TW201632654A TW 201632654 A TW201632654 A TW 201632654A TW 104131529 A TW104131529 A TW 104131529A TW 104131529 A TW104131529 A TW 104131529A TW 201632654 A TW201632654 A TW 201632654A
Authority
TW
Taiwan
Prior art keywords
processing system
substrate processing
tubes
grid assembly
grid
Prior art date
Application number
TW104131529A
Other languages
English (en)
Other versions
TWI676706B (zh
Inventor
伊弗霖 安格洛夫
瑟奇 科斯
Original Assignee
蘭姆研究公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 蘭姆研究公司 filed Critical 蘭姆研究公司
Publication of TW201632654A publication Critical patent/TW201632654A/zh
Application granted granted Critical
Publication of TWI676706B publication Critical patent/TWI676706B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4411Cooling of the reaction chamber walls
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45572Cooled nozzles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Mechanical Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

用於基板處理系統的柵條組件包含第一部分,其包含界定中央開口的第一體部、入口、出口、上岐管,該上岐管係位於第一體部中,且與該入口或該出口流體連通。第二部分係安置於第一部分附近,並包含界定中央開口的第二體部。多個管係安置於第二體部的中央開口中。該多個管的第一者係與該上岐管流體連通。下岐管係位於第二體部中,且與該入口或該出口中的該另一者流體連通。該多個管的第二者係與該下岐管流體連通。該柵條組件係安置於遠端電漿及基板之間。

Description

具有主動冷卻的柵條之氣體分配裝置
本揭露內容係關於基板處理系統,更具體而言,係關於包含具有主動冷卻的柵條之氣體分配裝置的基板處理系統。
此處所提供的背景敘述係為了概略地呈現本揭露內容的背景。在本「先前技術」段落中所描述的範圍內之目前所列名的發明人的成果、及在申請時可能未以其他方式認定為先前技術的描述之態樣,並未明示或默示地被承認為是相對於本揭露內容的先前技術。
基板處理系統通常係用於對基板(如半導體晶圓)上各種類型的膜進行圖案化、沉積、蝕刻、及灰化。基板處理系統通常包含具有基板支撐體(如基座、靜電卡盤、平板等)之處理腔室。例如半導體晶圓之基板可安置於基板支撐體上。
在化學氣相沉積(CVD, chemical vapor deposition)或原子層沉積(ALD, atomic layer deposition)製程中,可將包含一或更多前驅物的氣體混合物導入處理腔室中以在基板上沉積膜。在某些基板處理系統中,射頻(RF, radio frequency)電漿可用於使化學反應活化。RF電漿可透過氣體分配裝置遠端傳送至處理腔室或於處理腔室中直接產生。
例如在處理期間,光阻層可用於界定膜中的特徵部。之後,通常移除該光阻層。可使用灰化來移除該光阻層。藉由使用遠端電漿源,可執行灰化。遠端電漿源通常藉由使用射頻或微波信號來激發反應性氣體(如氧或氟)而產生電漿。
例如噴淋頭之氣體分配裝置可安置於遠端電漿源與基板之間。噴淋頭可包含由鋁製成的平板,其包含界定孔洞圖樣的多個孔洞。該平板中的孔洞圖樣可大約延伸至下方基板之邊緣。該等孔洞係作為離子濾器。在使用期間,由於該等孔洞中之離子的再結合所致,該平板中的熱能傾向增加。
當基板之尺寸及面積增加,由遠端電漿源所供應之RF功率的量通常需要增加,以維持針對較大基板所需之灰化率。在較高的RF功率位準下,加熱該平板使得其增加至無法接受的溫度。雖然硬焊噴淋頭設計允許水冷來降低該平板之溫度,但其傾向具有相對低的可靠性。槍穿孔噴淋頭設計亦允許水冷來降低該平板之溫度,但對於生產而言費用高。
一種用於基板處理系統的柵條組件包含第一部分,其包含界定中央開口的第一體部、入口、出口、及位於該第一體部中且與該入口或該出口流體連通的上岐管。第二部分係安置於該第一部分附近,且包含界定中央開口之第二體部。多個管係安置於該第二體部之中央開口中。其中該多個管之第一者係與該上岐管流體連通。下岐管係位於該第二體部中,且其係與該入口或該出口中的另一者流體連通。其中該多個管之第二者係與該下岐管流體連通。該柵條組件係安置在遠端電漿源與基板之間。
在其他特徵中,該第一體部及該第二體部為環狀。該多個管係以平行方式安置於該第二體部之中央開口中。該上岐管包含具有多個第一孔洞之底部表面,該等第一孔洞分別與該多個管之第一者中的多個第二孔洞流體連通。O形環係安置於該第一體部之底部表面上,其圍繞該多個第一孔洞以提供介於該第一體部之底部表面與該第二體部之上表面之間的密封件。
在其他特徵中,該第二部分包含位於該第二體部之外圍側表面中的多個第一孔洞。該多個管係安置於該第一孔洞中。
在其他特徵中,第一插塞係安置於該多個管之該第一者中。第二插塞係安置於該多個管之該第二者中。該第二插塞具有與該第一插塞不同的長度。
在其他特徵中,通過該第一體部的孔洞係與該入口或該出口的中的該另一者流體連通。該孔洞亦與該下岐管流體連通。O形環係安置於該第一體部之底部表面上,其圍繞該孔洞以提供介於該第一體部之底部表面與該第二體部之上表面之間的密封件。
在其他特徵中,S個上岐管係界定於該第一體部中。該S個上岐管包含該上岐管,其中S為大於1的整數。T個下岐管係界定於該第二體部中。該T個下岐管包含該下岐管,其中T為大於1的整數。
在其他特徵中,該S個上岐管的二分之一者係位於該第一體部的一側。該S個上岐管的二分之一者係位於該第一體部的相對側。該T個下岐管的二分之一者係位於該第二體部的一側。該T個下岐管的二分之一者係位於該第二體部的相對側。
在其他特徵中,一種基板處理系統包含該柵條組件及包含孔洞圖樣的面板,該孔洞圖樣包含多個孔洞,且該面板係安置於該柵條組件的下部分附近。
在其他特徵中,該柵條組件的多個管係由鋁製成,而該面板係由石英製成。該多個管具有圓形橫剖面。該多個管具有橢圓形橫剖面。該橢圓形橫剖面具有平行於包含該多個管之平面的短軸。
本揭露內容的可應用性之進一步範圍將從實施方式、請求項、及圖式而變得清楚明瞭。實施方式及具體範例僅意為說明之目的且並非意為限制本揭露內容之範疇。
依據本揭露內容之氣體分配裝置包含柵條組件,該柵條組件包含上部分、下部分、及多個管。當過濾不需要的及具損害性的離子時,該多個管將電漿與基板隔離。在某些範例中,該柵條組件係電性接地並捕集該等離子,以防止對基板的損害。可藉由冷卻流體流經該等管而使該柵條組件冷卻以防止融化。僅以舉例而言,該冷卻流體可包含水、Galden™或其他合適的冷卻流體。
在某些範例中,柵條組件之複數管係以平行方式安置於一平面(其係與噴淋頭面板平行且在噴淋頭面板之上)中,或以交叉的圖案結構來安置。若有使用面板,該面板可安置於該柵條組件之下。此外,該管之圖案結構可與位於該柵條組件的上方或下方之另外的柵條組件結合。該柵條組件的圖案結構亦可與噴淋頭的圖案結構結合使用,以提供額外的氣體分配。
如可瞭解,具有冷卻柵條組件之氣體分配裝置可用於任何基板處理系統中。僅以舉例而言,該具有冷卻柵條組件之氣體分配裝置可用於用以在基板(如:半導體晶圓)上使膜圖案化、沉積、蝕刻、及灰化之基板處理系統。
現參照圖1,依據本揭露內容,顯示基板處理系統10之範例。基板處理系統10包含製程腔室12、及氣體分配裝置13,該氣體分配裝置包含柵條組件14。在某些範例中,可將遠端電漿供應至氣體分配裝置13或可在氣體分配裝置13中產生遠端電漿,如將進一步敘述於下。基座16可安置於製程腔室12中。在使用期間,基板18(如:半導體晶圓或其他類型的基板)可安置於基座16上。
基板處理系統10包含氣體輸送系統20,僅以舉例而言,氣體輸送系統20可包含一或更多的氣體源22-1、22-2、…、及22-N(統稱為氣體源22),其中N為大於0的整數;閥24-1、24-2、…、及24-N(統稱為閥24);質量流量控制器(MFC, mass flow controllers) 26-1、26-2、…、及26-N(統稱為MFC 26)。氣體輸送系統20之輸出可在岐管30中混合,並輸送至遠端電漿源及/或至氣體分配裝置13。
控制器40可連接至一或更多的感測器41,其監測製程腔室12中的操作參數,例如溫度、壓力等。可提供加熱器42以依需要加熱基座16及基板18。可提供閥50及泵浦52以將氣體自製程腔室12中抽空。
僅以舉例而言,遠端電漿源56可包含電漿管、感應線圈、或用以產生遠端電漿之另外的裝置。僅以舉例而言,藉由使用反應性氣體(例如氧、氟、包含氧及/或氟之氣體混合物、及/或其他反應性氣體,但不限於此),遠端電漿源56可使用RF或微波功率來產生遠端電漿。在某些範例中,感應線圈係環繞噴淋頭的上桿柱部分而捲繞,並藉由RF源及匹配網路所產生之RF信號來激發。藉由通過該感應線圈之RF信號,流經該桿柱部分的反應性氣體被激發為電漿態。
控制器40可用於控制氣體輸送系統20、加熱器42、閥50、泵浦52、及由遠端電漿源56所產生之電漿。
在某些範例中,冷卻流體源64將冷卻流體提供至閥66及與柵條組件14之流體入口流體連通之泵浦68。在循環之後,該流體可經由流體出口回到冷卻流體源64。閥66及泵浦68係安置於柵條組件14之入口側,然而閥66及泵浦68係亦可安置於柵條組件14之出口側。
現參照圖2,依據本揭露內容,顯示柵條組件14之範例的透視圖。柵條組件14包含多個管100-1、100-2、…、及100-T (統稱為管100)、上部分102、及下部分(顯示於圖3、6、及7)。在某些實施例中,管100、上部分102、及/或下部分可由鋁製成。在某些實施例中,管100係為無縫,且具有圓形的、橢圓形的、或另外的橫剖面。包含孔洞圖樣的面板(顯示於圖3及圖8中)係可安置成與柵條組件14平行且在柵條組件14之下。在某些實施例中,該面板係由石英製成。
上部分102一般可為環狀,且可包含界定中央開口114之上表面110。管100可以平行的方式安置於一平面中,該平面係位於中央開口114中。上部分102包含界定用於接收冷卻流體之一或更多岐管120的體部115。可藉由岐管外罩126將岐管120封閉。
流體入口130係與岐管120流體連通。流體出口132係與岐管流體連通,該岐管係與下部分聯繫,如將進一步敘述於下。或者,該流體入口可與該下部分聯繫,而該流體出口可與該上部分聯繫。再者,該上部分中的岐管可在入口與出口之間交替。由該上部分所界定之岐管數量並不需要與由該下部分所界定之岐管數量相同。在某些範例中,於體部115之相對的兩側上設置M個岐管120,其中M為大於0的整數。在圖3之範例中,M=3,且共有6個岐管120。該下部分可具有相似的布局。在此例示性的配置中,流體係從兩側提供及移出,以提供等溫的設計。仍有其他變數係納入考量。
現參照圖3,柵條組件14可安置於面板156的附近。可經由流體入口130的其中之一者來將冷卻流體供應至岐管120的其中之一者。冷卻流體流經岐管120之底部表面中的孔洞142而進入管100其中之被選定者。該冷卻流體(源自體部115之對側上的岐管120)流經管100而進入由下部分148之體部所界定的岐管144。
岐管144的其中一者中的流體顯示為流經上部分102中的開口152且進入流體出口132的其中一者。如可瞭解,可使冷卻流體的流動反向。包含孔洞158圖樣的面板156可安置在管100及/或下部分148之下。在某些範例中,面板156係由石英製成。
現參照圖4至5,分別顯示上部分102之範例的上表面及底部表面。孔洞142通過體部115的底部表面至岐管120的內側,並提供自岐管120至管100的流體路徑,如圖3及圖5所示。可圍繞孔洞142而使用一或更多的O形環160,以提供介於上部分102之底部表面與下部分148之上表面之間的密封件。同樣地,與流體出口132聯繫之孔洞164可利用O形環168來提供介於上部分102之底部表面與下部分148之上表面之間的密封件。
現參照圖6A至6B,顯示下部分148之範例。在圖6A中,下部分148包含體部150,該體部一般可為環狀,且可界定一或更多的岐管206。岐管外罩208可用以將岐管206封閉。岐管外罩208中的開口210可與上部分102之開口164對齊,以允許冷卻流體通往流體出口132。
在某些範例中,多個孔洞240-1、240-2、…、及240-T(統稱為孔洞240)可製於下部分148的外圍側表面242中。管100可被嵌入孔洞240,並通過岐管206。在某些範例中,具有第一長度的第一插塞250及具有第二長度的第二插塞252可被嵌入管100其中之交替者,如圖6B中所示。第一插塞250可較第二插塞更長。插塞250及252係用於將管100的末端封閉。
現參照圖3及圖7,將敘述用於裝配下部分148之例示性方法。管100係透過孔洞240而被嵌入下部分148中。插塞250及252係被嵌入管100的末端,如以上所述。在移除岐管外罩208的情況下,管100在岐管206內側之區域中被切掉,如圖3及圖7中260處可見。在切開之後,具有第二插塞252的管100之一末端係與下部分148之岐管206流體連通。對照之下,與第一插塞250有關的管之兩端仍被阻塞,且不與相對應的下部分148之岐管206直接流體連通。反而,與第一插塞250有關之管100被穿孔且與孔洞142連通,以提供自岐管120至與第一插塞250有關之管100的流體路徑。
現參照8A,顯示相對於面板中的孔洞之管的間隔的範例。在此範例中,管100具有0.25英吋的直徑,且該等管之中央間隔0.375英吋,如顯示於d1,然而可使用其他尺寸。在此範例中,面板孔洞之直徑為0.25英吋,且其中央間隔0.350英吋,如顯示於d2,然而可使用其他尺寸。在圖8B中,顯示使用橢圓形管之範例,在某些範例中,柵條組件可包含具有兩或更多的管直徑、兩或更多的管形狀、或兩或更多的管間隔尺寸之管。
現參照圖9,顯示多重冷卻柵條組件220-1、220-2、…、及220-G(統稱為冷卻柵條組件220)的範例,其中G為大於1的整數。冷卻柵條組件220係安置於面板224之上。冷卻柵條組件220可排列成彼此互相對齊或相對彼此而旋轉。或者,冷卻柵條組件220的各者可具有不同的管間隔及/或直徑,且可排列成彼此互相對齊或相對彼此而旋轉。
當柵條組件被電漿加熱時,冷卻流體將管冷卻。柵條之圖案結構的間隔作為離子過濾器以保護基板不受損害且改善氣體分配的均勻性。
當處理具有漸增直徑的基板時,需要大量的額外電漿功率來使基板灰化。一般而言,電漿功率會隨著基板的面積而增加。舉例而言,將基板直徑自300 mm增加至450 mm(2.25 x的面積增加)需要相似的電漿功率增加。舉例而言,電漿功率可能需要從5 kW增加至12.5 kW。面板的溫度隨著電漿功率而增加。該面板之典型的最大操作溫度可為300 °C。冷卻柵條組件允許增加電漿功率而不超出該面板之最大操作溫度。在某些範例中,該冷卻柵條組件將該面板之溫度降低為約50 °C至超過200 °C。
在模擬中,結合石英面板之主動冷卻的柵條組件已顯示具有與硬焊之噴淋頭設計與槍穿孔之噴淋頭設計相似的灰化率(約18%)。
在某些範例中,該等管係以導電材料來塗佈,該導電材料具有針對電漿中的中性粒子之低的再結合係數(recombination coefficient)。在某些範例中,該等管的橫剖面係為圓形、方形、具有三或更多邊的多邊形、或橢圓形。橢圓形的管將會增加相鄰的管之間的空間之縱橫比,以更有效率地移除朝該面板前進之離子。該等能量離子碰撞並附著在於該等管,而該等中性粒子從該等管彈掉且最終移動至該下面的面板。
先前的敘述實質上僅為說明性,且無限制本揭露內容、其應用、或使用之意圖。可以各種形式來實施本揭露內容之主要教示。因此,儘管本揭露內容包含特定的範例,由於根據圖式、說明書、及下列請求項的研究,其他修改將變得清楚明瞭,故本揭露內容的真實範疇不應受到如此限制。如此處所使用,用語「A、B、及C其中之至少一者」應解釋為意指使用非排除性邏輯上的OR之邏輯上的(A or B or C),且不應解釋為意指「A中之至少一者、B中之至少一者、及C中之至少一者」。應瞭解,可在不改變本揭露內容之原則的情況下,以不同的順序(或同時)執行方法中的一或更多的步驟。
在某些實施例中,控制器係為系統的部分,其可為上述範例的部分。此類系統可包含半導體處理設備,含一或複數處理工具、一或複數腔室、用於處理的一或複數工作台、及/或特定處理元件(晶圓基座支撐、氣體氣流系統等)。該等系統可與電子設備整合,以於半導體晶圓或基板之處理前、處理期間、及處理後控制其操作。可將該等電子裝置稱為「控制器」,其可控制一或複數系統的各種元件或子部件。依據處理之需求及/或系統之類型,可將控制器程式化以控制本說明書中所揭露之製程的任一者,包含處理氣體之輸送、溫度設定(如:加熱及/或冷卻)、壓力設定、真空設定、功率設定、射頻(RF, radio frequency)產生器設定、RF匹配電路設定、頻率設定、流率設定、流體輸送設定、位置及操作設定、進出工具及連接至特定系統或與特定系統介面接合的其他傳送工具及/或負載鎖室之晶圓傳送。
廣泛而言,可將控制器定義為具有接收指令、發送指令、控制操作、允許清潔操作、允許終點量測等之各種積體電路、邏輯、記憶體、及/或軟體的電子設備。該積體電路可包含儲存程式指令的韌體形式之晶片、數位信號處理器(DSPs, digital signal processors)、定義為特殊應用積體電路(ASICs, application specific integrated circuits)之晶片、及/或執行程式指令(如:軟體)之一或更多的微處理器或微控制器。程式指令可為以各種個別設定(或程式檔案)之形式傳送到控制器的指令,其定義用以在半導體晶圓上、或針對半導體晶圓、或對系統執行特定製程的操作參數。在某些實施中,該操作參數可為由製程工程師所定義之配方的部分,該配方係用以在一或更多的層、材料、金屬、氧化物、矽、二氧化矽、表面、電路、及/或晶圓之晶粒的製造期間,完成一或更多的處理步驟。
在某些實施中,控制器可為電腦的部分或連接至電腦,該電腦係與系統整合、連接至系統、或透過網路連接至系統、或上述之組合。舉例而言,控制器係可位於「雲端」、或為晶圓廠主機電腦系統的全部或部分,其可允許晶圓處理之遠端存取。該電腦能達成對該系統之遠端存取,以監視製造操作之目前製程、查看過去製造操作之歷史、查看來自多個製造操作之趨勢或性能指標,來改變目前處理之參數,以設定處理步驟來接續目前的處理、或開始新的製程。在某些範例中,遠端電腦(如:伺服器)可透過網路將製程配方提供給系統,該網路可包含區域網路或網際網路。該遠端電腦可包含可達成參數及/或設定之輸入或編程的使用者介面,該等參數或設定接著自該遠端電腦傳送至該系統。在某些範例中,控制器接收資料形式之指令,在一或更多的操作期間,其針對該待執行的處理步驟之每一者而指定參數。應瞭解,該等參數可特定於待執行之製程的類型、及工具(控制器係配置成與該工具介面接合或控制該工具)的類型。因此,如上所述,控制器可分散,例如藉由包含一或更多的分離的控制器,其透過網路連接在一起並朝共同的目標而作業,例如本說明書中所敘述之製程及控制。用於此類目的之分開的控制器之範例可為腔室上之一或更多的積體電路,其與位於遠端(例如為平台等級、或為遠端電腦的部分)之一或更多的積體電路連通,其結合以控制該腔室上的製程。
例示性系統可包含電漿蝕刻腔室或模組、沉積腔室或模組、旋轉沖洗腔室或模組、金屬電鍍腔室或模組、潔淨腔室或模組、斜邊蝕刻腔室或模組、物理氣相沉積(PVD, physical vapor deposition)腔室或模組、化學氣相沉積(CVD, chemical vapor deposition)腔室或模組、原子層沉積(ALD, atomic layer deposition)腔室或模組、原子層蝕刻(ALE, atomic layer etch)腔室或模組、離子植入腔室或模組、徑跡腔室或模組、及可與半導體晶圓之製造及/或生產有關或用於其中的任何其他半導體處理系統,但不限於此。
如上所述,依據待由工具執行之製程步驟(或複數製程步驟),控制器可與下列一或多者通訊:其他工具電路或模組、其他工具元件、叢集工具、其他工具介面、牽引工具、鄰近工具、遍及工廠的工具、主要電腦、另一控制器、或將晶圓之容器帶往或帶離半導體製造廠中的工具位置及/或載入埠的用於材料傳送之工具。
10‧‧‧基板處理系統
12‧‧‧製程腔室
13‧‧‧氣體分配裝置
14‧‧‧柵條組件
16‧‧‧基座
18‧‧‧基板
20‧‧‧氣體輸送系統
22(22-1、22-2、…、22-N) ‧‧‧氣體源
24(24-1、24-2、…、24-N) ‧‧‧閥
26(26-1、26-2、…、26-N) ‧‧‧質量流量控制器
30‧‧‧岐管
40‧‧‧控制器
41‧‧‧感測器
42‧‧‧加熱器
50‧‧‧閥
52‧‧‧泵浦
56‧‧‧遠端電漿源
64‧‧‧冷卻流體源
66‧‧‧閥
68‧‧‧泵浦
100(100-1、100-2、…、100-T)‧‧‧管
102‧‧‧上部分
110‧‧‧上表面
114‧‧‧中央開口
115‧‧‧體部
120‧‧‧岐管
126‧‧‧岐管外罩
130‧‧‧流體入口
132‧‧‧流體出口
142‧‧‧孔洞
144‧‧‧岐管
148‧‧‧下部分
150‧‧‧體部
152‧‧‧開口
156‧‧‧面板
158‧‧‧孔洞
160‧‧‧O形環
164‧‧‧開口
168‧‧‧O形環
206‧‧‧岐管
208‧‧‧岐管外罩
210‧‧‧開口
220(220-1、220-2、…、及220-G)‧‧‧冷卻柵條組件
224‧‧‧面板
240(240-1、240-2、…、240-T)‧‧‧孔洞
250‧‧‧第一插塞
252‧‧‧第二插塞
本揭露內容將從實施方式及隨附圖式而變得更能徹底理解,其中︰
圖1依據本揭露內容,係為基板處理系統之範例的功能方塊圖;
圖2依據本揭露內容,係為柵條組件之範例的透視圖;
圖3係為該柵條組件及面板之範例的裁切透視圖;
圖4係為該柵條組件之上部分之範例的上表面平面圖;
圖5係為圖4之上部分的底部表面透視圖;
圖6A係為該柵條組件之下部分之範例的上表面平面圖;
圖6B係為該等管之範例的橫剖面圖;
圖7係為圖6之下部分的底部表面透視圖;
圖8A及8B繪示管的範例、及相對於面板中的孔洞之管的間隔的範例;及
圖9繪示安置於面板上的多重冷卻柵條組件之範例。
在該等圖式中,可重複使用參考符號以識別相似及/或相同的元件。
14‧‧‧柵條組件
100‧‧‧管
102‧‧‧上部分
110‧‧‧體部
114‧‧‧中央開口
120‧‧‧岐管
126‧‧‧岐管外罩
130‧‧‧流體入口
132‧‧‧流體出口
142‧‧‧孔洞
144‧‧‧岐管
148‧‧‧下部分
150‧‧‧體部
152‧‧‧開口
156‧‧‧面板
158‧‧‧孔洞

Claims (21)

  1. 一種用於基板處理系統的柵條組件,包含: 第一部分,其包含: 第一體部,其界定中央開口; 入口; 出口;及 上岐管,其係位於該第一體部中,且其係與該入口或該出口流體連通;及 第二部分,其安置於該第一部分附近,並包含: 第二體部,其界定中央開口; 多個管,其安置於該第二體部之中央開口中, 其中該多個管之第一者係與該上岐管流體連通, 下岐管,其係位於該第二體部中,且其係與該入口或該出口中的另一者流體連通, 其中該多個管之第二者係與該下岐管流體連通,及 其中該柵條組件係安置在遠端電漿源與基板之間。
  2. 如申請專利範圍第1項之用於基板處理系統的柵條組件,其中該第一體部及該第二體部為環狀,而其中該多個管係以平行方式安置於該第二體部之中央開口中。
  3. 如申請專利範圍第1項之用於基板處理系統的柵條組件,其中該上岐管包含具有多個第一孔洞之底部表面,該等第一孔洞分別與該多個管之第一者中的多個第二孔洞流體連通。
  4. 如申請專利範圍第3項之用於基板處理系統的柵條組件,更包含安置於該第一體部之底部表面上的O形環,其圍繞該多個第一孔洞以提供介於該第一體部之底部表面與該第二體部之上表面之間的密封件。
  5. 如申請專利範圍第1項之用於基板處理系統的柵條組件,其中該第二部分包含位於該第二體部之外圍側表面中的多個第一孔洞,且其中該多個管係安置於該第一孔洞中。
  6. 如申請專利範圍第5項之用於基板處理系統的柵條組件,更包含: 第一插塞,其安置於該多個管之該第一者中;及 第二插塞,其安置於該多個管之該第二者中,其中該第二插塞具有與該第一插塞不同的長度。
  7. 如申請專利範圍第1項之用於基板處理系統的柵條組件,更包含: 通過該第一體部的孔洞,其係與該入口或該出口的中的該另一者流體連通,其中該孔洞亦與該下岐管流體連通;及 安置於該第一體部之底部表面上的O形環,其圍繞該孔洞以提供介於該第一體部之底部表面與該第二體部之上表面之間的密封件。
  8. 如申請專利範圍第1項之用於基板處理系統的柵條組件,更包含: 界定於該第一體部中的S個上岐管,其中該S個上岐管包含該上岐管,其中S為大於1的整數;及 界定於該第二體部中的T個下岐管,其中該T個下岐管包含該下岐管,其中T為大於1的整數。
  9. 如申請專利範圍第8項之用於基板處理系統的柵條組件,其中: 該S個上岐管的二分之一者係位於該第一體部的一側; 該S個上岐管的二分之一者係位於該第一體部的相對側; 該T個下岐管的二分之一者係位於該第二體部的一側; 該T個下岐管的二分之一者係位於該第二體部的相對側;
  10. 一種基板處理系統,包含: 如申請專利範圍第1項之柵條組件;及 包含孔洞圖樣的面板,該孔洞圖樣包含多個孔洞,且該面板係安置於該柵條組件的下部分附近。
  11. 如申請專利範圍第10項之基板處理系統,其中該柵條組件的多個管係由鋁製成,而該面板係由石英製成。
  12. 如申請專利範圍第1項之基板處理系統,其中該多個管具有圓形橫剖面。
  13. 如申請專利範圍第1項之基板處理系統,其中該多個管具有橢圓形橫剖面。
  14. 如申請專利範圍第13項之基板處理系統,其中該橢圓形橫剖面具有平行於包含該多個管之平面的短軸。
  15. 如申請專利範圍第10項之基板處理系統,其中該基板處理系統執行灰化。
  16. 如申請專利範圍第10項之基板處理系統,其中該基板處理系統執行膜沉積。
  17. 如申請專利範圍第10項之基板處理系統,其中該基板處理系統執行蝕刻。
  18. 一種基板處理系統,包含: 多個如申請專利範圍第1項之柵條組件;及 包含孔洞圖樣的面板,該孔洞圖樣包含多個孔洞,且該面板係安置於該多個柵條組件其中一者的下部分附近。
  19. 如申請專利範圍第18項之基板處理系統,其中該多個柵條組件係排列成彼此互相對齊。
  20. 如申請專利範圍第18項之基板處理系統,其中該多個柵條組件係排列成相對彼此而旋轉。
  21. 如申請專利範圍第18項之基板處理系統,其中該多個柵條組件其中至少一者具有不同於該多個柵條組件其中另一者的管間隔或管直徑。
TW104131529A 2014-09-26 2015-09-24 具有主動冷卻的柵條之氣體分配裝置 TWI676706B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/498,049 US9679749B2 (en) 2014-09-26 2014-09-26 Gas distribution device with actively cooled grid
US14/498,049 2014-09-26

Publications (2)

Publication Number Publication Date
TW201632654A true TW201632654A (zh) 2016-09-16
TWI676706B TWI676706B (zh) 2019-11-11

Family

ID=55585229

Family Applications (1)

Application Number Title Priority Date Filing Date
TW104131529A TWI676706B (zh) 2014-09-26 2015-09-24 具有主動冷卻的柵條之氣體分配裝置

Country Status (5)

Country Link
US (1) US9679749B2 (zh)
KR (1) KR102453999B1 (zh)
CN (1) CN105603390B (zh)
SG (1) SG10201507980YA (zh)
TW (1) TWI676706B (zh)

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9679749B2 (en) * 2014-09-26 2017-06-13 Lam Research Corporation Gas distribution device with actively cooled grid
CN108695189B (zh) * 2017-04-12 2021-05-04 台湾积体电路制造股份有限公司 晶圆加工装置及加工半导体晶圆的方法
JP2022511046A (ja) * 2018-12-07 2022-01-28 アプライド マテリアルズ インコーポレイテッド 部品、部品を製造する方法、及び部品を洗浄する方法
US11721527B2 (en) * 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
WO2020154244A1 (en) * 2019-01-23 2020-07-30 Lam Research Corporation Substrate processing system including dual ion filter for downstream plasma
CN113795610A (zh) * 2019-04-26 2021-12-14 朗姆研究公司 在处理室中衬底的高温加热
CN114576179B (zh) * 2022-03-28 2023-04-18 浙江颐顿机电有限公司 一种气环式鼓风机

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2003054912A1 (en) * 2001-12-20 2003-07-03 Tokyo Electron Limited Method and apparatus comprising a magnetic filter for plasma processing a workpiece
CN102154628B (zh) * 2004-08-02 2014-05-07 维高仪器股份有限公司 用于化学气相沉积反应器的多气体分配喷射器
JP2010521820A (ja) * 2007-03-12 2010-06-24 東京エレクトロン株式会社 基板内での処理の均一性を改善するための動的な温度背面ガス制御
JP5417338B2 (ja) * 2007-10-31 2014-02-12 ラム リサーチ コーポレーション 冷却液と構成部品本体との間の熱伝導性を制御するためにガス圧を使用する温度制御モジュール及び温度制御方法
US8361276B2 (en) * 2008-02-11 2013-01-29 Apjet, Inc. Large area, atmospheric pressure plasma for downstream processing
US20100078320A1 (en) * 2008-09-26 2010-04-01 Applied Materials, Inc. Microwave plasma containment shield shaping
US8749053B2 (en) * 2009-06-23 2014-06-10 Intevac, Inc. Plasma grid implant system for use in solar cell fabrications
US9966236B2 (en) * 2011-06-15 2018-05-08 Lam Research Corporation Powered grid for plasma chamber
US9613825B2 (en) * 2011-08-26 2017-04-04 Novellus Systems, Inc. Photoresist strip processes for improved device integrity
US8916477B2 (en) 2012-07-02 2014-12-23 Novellus Systems, Inc. Polysilicon etch with high selectivity
US9679749B2 (en) * 2014-09-26 2017-06-13 Lam Research Corporation Gas distribution device with actively cooled grid

Also Published As

Publication number Publication date
CN105603390B (zh) 2018-04-27
KR102453999B1 (ko) 2022-10-12
KR20160037120A (ko) 2016-04-05
CN105603390A (zh) 2016-05-25
SG10201507980YA (en) 2016-04-28
TWI676706B (zh) 2019-11-11
US9679749B2 (en) 2017-06-13
US20160093472A1 (en) 2016-03-31

Similar Documents

Publication Publication Date Title
TWI676706B (zh) 具有主動冷卻的柵條之氣體分配裝置
KR102470174B1 (ko) 리모트 플라즈마 막 증착을 인에이블하도록 다운스트림 챔버로 라디칼 및 전구체 가스를 전달하기 위한 열적 제어부와 통합된 샤워헤드
JP6739940B2 (ja) 埋め込み電極を伴うガス分配セラミック板
JP7395644B2 (ja) 基板処理システムにおける再循環を低減するためのカラー、円錐形シャワーヘッド、および/または、トッププレート
KR102484362B1 (ko) 효과적인 혼합 및 퍼징을 위한 유입부
TW201733697A (zh) 使用基於電漿的程序消除基板處理腔室中的氟殘留物之系統與方法
TW201631654A (zh) 為求均勻處理半導體基板處理設備中之半導體基板而採用之氣體噴射方法
KR102521717B1 (ko) 아킹 (arcing) 을 감소시키기 위한 헬륨 플러그 설계
TWI744323B (zh) 具有不同的加熱器跡線材料之層疊式加熱器
CN110537241B (zh) 使用同时存在的原位和远程等离子体源进行快速室清洁
KR102620610B1 (ko) 원자층 증착 동안 화학물질들의 제어된 분리 및 전달을 통해 저 디펙트 프로세싱을 가능하게 하는 시스템들 및 방법들
TWI667683B (zh) 用以產生高能中性粒子之系統及方法
KR102630920B1 (ko) 구리를 포함하는 합금으로 이루어진 컴포넌트들을 갖는 기판 프로세싱 챔버들로 인한 구리 오염물을 감소시키기 위한 시스템들 및 방법들
US9631276B2 (en) Systems and methods enabling low defect processing via controlled separation and delivery of chemicals during atomic layer deposition
US20220110230A1 (en) Showerhead shroud
TWI823977B (zh) 預防半導體基板處理中基座上的沉積
KR20180016300A (ko) 부분적으로 그물 형상이고 부분적으로 거의 그물 형상인 실리콘 카바이드 cvd
US9691590B2 (en) Selective removal of boron doped carbon hard mask layers
TW202224500A (zh) 真實自由基處理的遠端電漿架構
WO2020014113A1 (en) Radio frequency (rf) signal source supplying rf plasma generator and remote plasma generator
KR20210127259A (ko) 플라즈마 챔버들을 세정하기 위한 장치
TW201945087A (zh) 使用並行的原位及遠程電漿源之快速腔室清潔