JP6739940B2 - 埋め込み電極を伴うガス分配セラミック板 - Google Patents

埋め込み電極を伴うガス分配セラミック板 Download PDF

Info

Publication number
JP6739940B2
JP6739940B2 JP2016000316A JP2016000316A JP6739940B2 JP 6739940 B2 JP6739940 B2 JP 6739940B2 JP 2016000316 A JP2016000316 A JP 2016000316A JP 2016000316 A JP2016000316 A JP 2016000316A JP 6739940 B2 JP6739940 B2 JP 6739940B2
Authority
JP
Japan
Prior art keywords
ceramic
holes
gas distribution
distribution plate
electrode
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2016000316A
Other languages
English (en)
Other versions
JP2016188424A (ja
Inventor
ジェレミー・タッカー
ラムキシャン・ラオ・リンガンパリ
トニー・カウシャル
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of JP2016188424A publication Critical patent/JP2016188424A/ja
Application granted granted Critical
Publication of JP6739940B2 publication Critical patent/JP6739940B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • C23C16/5096Flat-bed apparatus
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32541Shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/3255Material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • H01J2237/3321CVD [Chemical Vapor Deposition]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • General Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • Ceramic Engineering (AREA)
  • Plasma Technology (AREA)
  • Devices For Post-Treatments, Processing, Supply, Discharge, And Other Processes (AREA)
  • Chemical Vapour Deposition (AREA)

Description

本開示は、基板処理システムに関し、特に、基板処理システムのための、埋め込み電極を伴うガス分配セラミック板に関する。
ここで提供される背景の説明は、開示内容の前後関係を概ね提示することを目的とする。この背景技術のセクションで説明されている範囲内における、現時点で名前を挙げられている発明者らによる研究、及び出願の時点で先行技術として別途見なされえない説明の態様は、明示又は暗示を問わず、本開示に対抗する先行技術として認められない。
半導体ウエハなどの基板上で膜の堆積及び/又はエッチングを実施するために、基板処理システムが使用されてよい。基板処理システムは、台座、静電チャック、板などの基板サポートを伴う処理チャンバを含むのが一般的である。基板サポート上には、半導体ウエハなどの基板が配置されてよい。化学気相成長(CVD)プロセス、プラズマ支援CVD(PECVD)プロセス、原子層堆積(ALD)プロセス、又はPEALDプロセスでは、基板上に膜を堆積させる又は基板上の膜をエッチングするために、1種以上の前駆体を含むガス混合体が処理チャンバに導入されてよい。化学反応を活性化するために、プラズマが使用されてよい。
プロセスによっては、シャワーヘッドなどのガス分配機器を使用するものがある。ガス分配機器は、複数のガス通し穴を伴う面板を含んでいてよい。ガス通し穴は、所望のガス流パターンを提供するために、決まったパターンに配置される。プロセスガスは、ガス分配機器内の空洞に供給され、面板の通し穴によって基板上へ出される。
PECVDプロセス及びPEALDプロセスでは、化学反応を活性化するために、高周波(RF)プラズマが使用されてよい。例えば、処理チャンバ内でプラズマを発生させるために、容量結合プラズマ(CCP)発生器が使用されてよい。プラズマは、ガス分配機器の面板と台座との間の空間内で打ち出される。要するに、ガス分配機器の面板は、CCP発生器の一電極として機能することができる。
現在のガス分配板は、電極として機能する場合、主にアルミニウムで作成される。(400℃を超える)高温用途の場合、アルミニウムは、その溶解温度の低さゆえに、ガス分配板又は電極として使用されない。また、PECVDプロセス及びPEALDプロセスで使用されるガス化学剤のなかには、アルミニウムに適合しないものがある。
セラミック板が、ガス分配機器として使用されてもよい。セラミック板は、温度及び化学的適合性の問題には対処しえる一方で、CCP回路に使用することができないのが一般的である。電極として機能させるために、セラミック板に金属元素が埋め込まれる。(台座又はチャックなどの)セラミックボディへの金属電極の埋め込みは、加熱プレス(即ち焼結)製造プロセスを使用して実現されてきた。しかしながら、加熱プレス製造プロセスでは、セラミック面板が焼結された後に、かなりの量の機械加工及び研削を行う必要がある。焼結後の研削は、セラミック板の硬さゆえに、高価であるうえに時間がかかる。したがって、加熱プレス製造プロセスを使用して製造されたセラミック板に、たとえ少数でも通し穴を設けるためには、桁違いの費用がかかる。
加熱プレス製造プロセスは、また、セラミック板に金属電極を埋め込む寸法精度に対して大きな制限を課す。要するに、電極の水平位置及び垂直位置、並びに/又は平面性を設定する精度が、加熱プレス製造プロセスゆえに制限される。この制限は、金属電極を回避しつつ通し穴を正確に研削することを困難にする。これらの問題は、埋め込み電極を伴うガス分配セラミック板を作成する際の加熱プレス製造プロセスを、経済的に採算をとれないものにする。
基板処理システムのためのガス分配板を製造するための方法は、複数のセラミックグリーンシートを含む、ガス分配板のセラミック下部を作成することと、複数のセラミックグリーンシートを含む、ガス分配板のセラミック上部を作成することと、金属スクリーン印刷プロセスを使用し、セラミック下部の上面及びセラミック上部の下面の少なくとも一方に電極を印刷することと、ガス分配板のセラミック下部及びセラミック上部に第1の複数の通し穴を機械加工することと、ガス分配板を形成するために、セラミック上部及びセラミック下部を焼結させることと、を含む。
その他の特徴では、電極は、セラミック下部及びセラミック上部の第1の複数の通し穴に位置を揃えて穴を印刷される。電極は、セラミック上部及びセラミック上部の熱膨張係数に一致する熱膨張係数を有する材料で作成される。電極は、モリブデンで作成される。電極は、タングステンで作成される。
その他の特徴では、セラミックグリーンシートは、窒化アルミニウム(AlN)、酸化アルミニウム(Al23)、窒化シリコン(Si34)、酸化イットリウム(Y23)、酸化ジルコニウム(ZrO2)、及びこれらの複合材料からなる群より選択された材料で作成される。
その他の特徴では、方法は、更に、焼結に先立って、ガス分配板のセラミック下部及びセラミック上部に第2の複数の通し穴を機械加工することを含む。第2の複数の通し穴は、第1の複数の通し穴よりも半径方向外側に配置される。第2の複数の通し穴は、第1の複数の通し穴とは異なる形状を有する。第2の複数の通し穴は、スロット状であり、第1の複数の通し穴は、円形である。
その他の特徴では、方法は、ガスをガス分配板の第1の複数の通し穴を経てガス分配板の基板側へ通らせることと、ガスを第2の複数の通し穴を使用してガス分配板の基板側から排出させることと、を含む。
その他の特徴では、第1の複数の通し穴は、プラズマシース3枚分の厚さよりも小さい直径を有する。第2の複数の通し穴は、プラズマシース3枚分の厚さよりも小さい幅と、該幅の2〜10倍の長さとを有する。
基板処理システムのためのガス分配板は、複数のセラミックグリーンシートを含む、ガス分配板のセラミック下部を含む。ガス分配板のセラミック上部は、複数のセラミックグリーンシートを含む。金属スクリーン印刷を使用し、セラミック下部の上面及びセラミック上部の下面の少なくとも一方に電極が印刷される。焼結に先立って、ガス分配板のセラミック下部及びセラミック上部に第1の複数の通し穴が機械加工される。
その他の特徴では、電極は、セラミック下部及びセラミック上部の第1の複数の通し穴に位置を揃えられた穴を含む。電極は、セラミック上部及びセラミック上部の熱膨張係数に一致する熱膨張係数を有する材料で作成される。電極は、モリブデンで作成される。電極は、タングステンで作成される。
その他の特徴では、セラミックグリーンシートは、窒化アルミニウム(AlN)、酸化アルミニウム(Al23)、窒化シリコン(Si34)、酸化イットリウム(Y23)、酸化ジルコニウム(ZrO2)、及びこれらの複合材料からなる群より選択された材料で作成される。焼結に先立って、ガス分配板のセラミック下部及びセラミック上部に第2の複数の通し穴が機械加工される。第2の複数の通し穴は、第1の複数の通し穴よりも半径方向外側に配置される。第2の複数の通し穴は、第1の複数の通し穴とは異なる形状を有する。第2の複数の通し穴は、スロット状であり、第1の複数の通し穴は、円形である。
その他の特徴では、第1の複数の通し穴は、プラズマシース3枚分の厚さよりも小さい直径を有する。第2の複数の通し穴は、プラズマシース3枚分の厚さよりも小さい幅と、該幅の2〜10倍の長さとを有する。
基板処理システムは、ガス分配板と、処理チャンバと、プラズマ発生器と、台座とを含む。処理チャンバは、400℃を超える温度で動作する。
詳細な説明、特許請求の範囲、及び図面から、本開示が適用可能な更なる分野が明らかになる。詳細な説明及び具体例は、例示を目的とするに過ぎないことを意図しており、本開示の範囲を制限することを意図していない。
詳細な説明及び添付の図面から、本開示が更に完全に理解される。
本開示にしたがった、埋め込み電極を伴うガス分配セラミック板の一例の上面斜視図である。
本開示にしたがった、埋め込み電極を伴うガス分配セラミック板の一例の底面斜視図である。
本開示にしたがった、埋め込み電極を伴うガス分配セラミック板の一例の側断面図である。
本開示にしたがった、埋め込み電極を伴うガス分配セラミック板の一例の拡大側断面図である。
本開示にしたがった、埋め込み電極を伴うガス分配セラミック板の一例の部分上面斜視断面図である。
本開示にしたがった埋め込み電極の一例の部分上面斜視断面図である。
本開示にしたがった、埋め込み電極を伴うガス分配セラミック板を含む基板処理システムの一例の機能ブロック図である。
図中、参照番号は、類似の及び/又は同一の要素を識別するために再利用可能である。
本開示にしたがったガス分配板は、容量結合プラズマ(CCP)プロセスにおいて電極として機能する。ガス分配板は、セラミックボディを含む。一部の例では、窒化アルミニウム(AlN)、酸化アルミニウム(Al23)、窒化シリコン(Si34)、酸化イットリウム(Y23)、酸化ジルコニウム(ZrO2)、及びこれらの複合材料が使用されてよい。ほんの例として、フッ素に対する高い耐腐食性を与えるために、アルミン酸ジルコニウム又はアルミン酸イットリウムが使用されてよい。ガス分配板は、ガス分配のための通し穴と、埋め込み金属電極とを含む。一部の例では、埋め込み金属電極に高周波(RF)電力を伝えるために、板の外径周りに金属ビアが配置される。
一部の例では、電極及びビアは、セラミックの熱膨張係数(CTE)に厳密に一致するCTEの金属で作成される。一部の例では、モリブデン、タングステン、又は別の適切な金属が使用されてよい。PECVDリアクタ又はPEALDリアクタでは、ガス分配板は、容量結合プラズマを発生させるためのRF通電電極として機能する。一部の例では、プラズマのための反応物ガスは、ガス通し穴を通して導入され、ガス分配板のスロット状の穴を通して排出される。
セラミックの使用は、高温環境での板の使用を可能にする。ガス分配板は、ガス分配板がCCP回路における通電電極として機能することを求める高温PECVD又はPEALDリアクタの問題に対処する。セラミックは、また、ガス分配板を、大半のガス化学剤及びプラズマに対して耐性にする。一部の例では、ガス分配板は、400℃から1100℃の間の温度で動作する及び/又は腐食性ガス化学剤を使用するCCPリアクタで使用される。或いは、ガス分配板は、電極として任意のPECVD CCPリアクタで又はガス分配板として任意のCVDリアクタで使用することができる。
次に、図1〜4を参照すると、埋め込み電極を伴うガス分配セラミック板100が示されている。図1では、ガス分配セラミック板100は、ガス分配セラミック板100の半径方向内側寄りの部分に配置された第1の複数の通し穴120を伴う上面110を含む。一部の例では、第1の複数の通し穴120は、円形であり、プラズマシース2枚分又は3枚分より小さい直径を有する。
ガス分配セラミック板100は、更に、第1の複数の通し穴120よりも半径方向外側に配置された第2の複数の通し穴128を含む。図1の例では、第2の複数の通し穴128は、概ねスロット状である。一部の例では、スロットは、第2のサイズ寸法(図1の例では円周方向の幅)よりも大きい第1のサイズ寸法(図1の例では半径方向の長さ)を有する。一部の例では、スロットの第2の寸法は、プラズマシース2枚分又は3枚分よりも小さく、スロットの第1の寸法は、第2のサイズ寸法よりも大きい。一部の例では、スロットの第1のサイズ寸法は、面板に所望される導通性に応じて、第2のサイズ寸法の少なくとも2〜10倍の大きさである。
図1に示された第1の複数の通し穴120は円形であり、図1に示された第2の複数の通し穴128はスロット状であるが、第1の複数の通し穴120及び第2の複数の通し穴128は、その他の形状を有していてもよい。更なる通し穴132が、ガス分配セラミック板100の半径方向の外縁に隣接して配置されてもよい。
図2には、ガス分配セラミック板100の底面150が示されている。底面150は、ガス分配セラミック板100の半径方向の外縁に隣接して配置された、軸方向に突出した環状表面154を含む。軸方向に突出した環状表面154は、基板処理システム内に配置されたときに、基板に向かって下向きに突出する。
図3及び図4には、ガス分配セラミック板100の更なる詳細が示されている。図3では、第1の複数の通し穴120は、ガス分配セラミック板100を貫通し、ガスがガス分配セラミック板100を通ってガス分配セラミック板100の下方の領域に入ることを可能にする。同様に、第2の複数の通し穴128は、ガス分配セラミック板100を貫通し、ガスがガス分配セラミック板100を通って戻ることを可能にする。
図4では、ガス分配セラミック板100の、基板に概ね平行で尚且つガスが通し穴120、128、及び132を通って流れる方向に概ね垂直な面に、電極160が埋め込まれる。電極160は、ビア162に接続されてよく、該ビアは、電極160への電気的接続を提供するために、電極160から、ガス分配セラミック板100の半径方向の外縁に隣接する部分のガス分配セラミック板100の上面に伸びている。
次に、図5及び図6を参照すると、電極160の更なる詳細が示されている。電極160は、通し穴120及び128と位置を揃えられた穴220及び228を含む。電極160の穴220及び228は、ゆとりを提供するために、及び電極160との接触又は電極160の露出を防ぐために、対応する通し穴120及び128よりも大きい。電極160には、同様な穴(不図示)が通し穴132用にも提供されてよい。電極160は、後ほど更に説明されるように、ガス分配セラミック板100の上部234と下部236との間に挟まれる。
一部の例では、ガス分配セラミック板100は、グリーンボディ(シート)セラミック製造プロセスを金属スクリーン印刷プロセスと組み合わせて使用して作成される。要するに、ガス分配セラミック板100の下部236は、1枚以上のグリーンシートを使用して作成される。次いで、電極160が、下部236の上面にスクリーン印刷される。最後に、ガス分配セラミック板100の上部234が、電極160の上に配置される。或いは、電極160が上部234の下面にスクリーン印刷され、次いで、下部236が電極160の上に配置されてもよいことがわかる。
この手法は、電極160がグリーンボディ上に正確に印刷され、1枚以上のセラミックグリーンシートの間に配されて、所望の厚さのセラミック板を形成することを可能にする。
焼結に先立って、上部234、下部236、及び電極160を含むガス分配板アセンブリが、グリーン状態で機械加工される。これは、均一なガス分配のために必要とされる所望の数の通し穴又はスロットが、加熱プレスによって製造されたパーツを機械加工する時間及び費用の何分の一かで機械加工されることを可能にする。焼結後の機械加工が、ガス分配セラミック板100の機械加工全体の何分の一かに制限されるので、グリーン状態で機械加工される特徴の精度が、十分に維持される。
次に、図7を参照すると、処理チャンバ300の一例が示されている。処理チャンバ300は、基板サポート314に隣接して配置されたガス分配機器312を含む。一部の例では、処理チャンバ300は、別の処理チャンバの内側に配置されてよい。基板サポート314を適所まで持ち上げて、微小処理体積を形成するために、台座が使用されてよい。ガス分配機器312は、ガス分配板100を含む。
プラズマ発生器342は、RF源346と、整合・分配回路348とを含む。プラズマ発生器342は、プラズマを発生させるために、ガス分配板100の電極にRF電力を提供する。
1種以上のプロセスガスやパージガスなどを処理チャンバ300に供給するために、ガス配送システム360が提供されてよい。ガス配送システム360は、対応する質量流量コントローラ(MFC)366、弁370、及び分岐管372と流体連通している1種以上のガス源364を含んでいてよい。分岐管372は、第1の空洞356と流体連通している。ガス配送システム360は、1種以上のプロセスガスを含むガス混合の、分岐管372への配送を測定する。プロセスガスは、処理チャンバ300への配送に先立って、分岐管372内で混合されてよい。
ガス分配板100は、絶縁体332上に着座してよい。一部の例では、絶縁体332は、Al23又は別の適切な材料で作成されてよい。上部320は、第1の空洞356を形成する中央部分352を含んでいてよい。一部の例では、中央部分352は、Al23又は別の適切な材料で作成されてよい。上部320は、また、中央部分352の周りに配置された半径方向外側の部分380も含む。半径方向外側の部分380は、Nが0よりも大きい整数であるとして、1枚以上の層382−1、382−2、……、及び382−N(382と総称される)を含んでいてよい。図7の例では、半径方向外側の部分380は、排出・ガスカーテン(仕切り)空洞を形成するN=3枚の層382を含むが、これよりも多い又は少ない枚数の層が使用されてもよい。
中央部分352及び半径方向外側の部分380は、第2の空洞390を形成するために、ガス分配板100に対して相隔たれた位置関係で配置される。
プロセスガスは、ガス配送システム360から第1の空洞356を通って第2の空洞390へ流れる。第2の空洞390内のプロセスガスは、基板サポート314上に配置された基板全域に均一にプロセスガスを分配するために、ガス分配板100の第1の複数の通し穴120を通って流れる。一部の例では、基板サポート314は、加熱される。
一部の例では、ガス分配板100は、基板に曝された後にガス分配板100を通って戻るプロセスガスのための排出経路を提供するために、第2の複数の通し穴128を含めた。第2の複数の通し穴128は、ガス分配板100の外周に配置されてよい。
第2の空洞の個々の部分を分離するために、1つ以上の環状シールが提供されてよい。一部の例では、環状シールは、ニッケルをめっきされた環状シールである。例えば、第2の空洞390の供給部分410と、第2の空洞390の排出部分412と、ガス仕切り部分414との間の境界を定めるために、第1の環状シール404及び第2の環状シール408がそれぞれ提供されてよい。
この例では、第1の環状シール404は、供給部分410と排出部分412との間の境界を定めている。第2の空洞390のガス仕切り部分414を形成するために、第3の環状シール420が(第2の環状シール408と併せて)提供されてよい。この例では、第2の環状シール408は、第2の空洞390の排出部分412とガス仕切り部分414との間の境界を定めている。第1、第2、及び第3の環状シール404、408、及び420は、それぞれ、環状の金属シールを含んでいてよい。
半径方向外側の部分380は、更に、第2の空洞390の排出部分412からの排出ガスを受け取る排出入口440及び排出空洞442を形成している。排出部分412を排気するために、弁450及びポンプ452が使用されてよい。半径方向外側の部分380は、また、第2の空洞390のガス仕切り部分414にパージガスを供給するガス仕切り空洞460及びガス仕切り出口462も形成している。ガス仕切り部分414に供給されるパージガスを制御するために、ガス源470及び弁472が使用されてよい。
第3の環状シール420は、プラズマ発生器342から、ガス分配板100に埋め込まれた電極160への電気的接続も提供してよく、ただし、その他の方法が、電極160を接続するために使用されてもよい。
センサを使用してシステムパラメータを監視するために、並びにガス配送システム360、プラズマ発生器342、及びプロセスのその他のコンポーネントを制御するために、コントローラ480が使用されてよい。
ガス分配機器内のガス穴の具体的な幾何学形状は、特定のプロセス条件に合わせて選択されてよい。所定のプロセス条件に対し、HCDを維持するために必要とされる最小直径及び最大直径が、解析に基づいて推定されてよい又は経験則に基づいて決定されてよい。本開示にしたがうと、ガス分配機器の面板内の穴は、(i)HCDを維持することができる最小直径よりもガス穴を小さくする又は(ii)HCDを維持することができる最大直径よりもガス穴を大きくするよりも、むしろ、複合的なアプローチを用いる。
本開示にしたがった、ガス分配機器の面板内の穴の一部は、スロット状であり、HCDを維持する最小サイズ寸法よりも小さい(幅などの)第1のサイズ寸法と、HCDを維持する最小サイズ寸法よりも大きい(長さなどの)第2のサイズ寸法とを有する。要するに、サイズ寸法の一方は、HCDを防ぐために使用され、もう一方は、円形の穴が使用された場合に必要になるだろう穴の数を減らすために大きくされる。
一部の例では、スロットの第1のサイズ寸法は、プラズマシース2枚分又は3枚分よりも小さい。一部の例では、スロットの第2のサイズ寸法は、第1のサイズ寸法よりも大きい。一部の例では、スロットの第2のサイズ寸法は、第1のサイズ寸法の2〜10倍の大きさである。ほんの一例として、スロットは、0.04インチ(約0.1016cm)の第1のサイズ寸法と、0.4インチ(約1.016cm)の第2のサイズ寸法とを有していてよい。
円形の穴を通る流れの導通性は、(π/256)d4によって概算することができる。ここで、dは、円形の穴の直径である。これに対し、層流及び同じ厚さを伴う細長いスロットを通る流れの導通性は、(1/24)ab3によって概算することができる。ここで、aは長さであり、bは幅である。もし、第2のサイズ寸法が第1のサイズ寸法の2倍であるならば、導通性は、第1の寸法の直径を有する2つの穴の導通性の約2.4倍になる。以上からわかるように、1つのスロットの第2のサイズ寸法を(円形の穴と比べて)2倍にすることによって、同じ元の幅を有する2つの円形の穴と比べて導通性が大幅に向上する。第2のサイズ寸法を第1のサイズ寸法と比べて更に大きくすることで、更なる向上を見ることができる。
本開示にしたがった、面板内の少なくとも一部の穴の幾何形状は、相対する壁の近さゆえにプラズマシースが進入することができないように、スロット形状を有する。スロットの幅及び長さは、使用される具体的なプロセスに合わせ、圧力、反応剤の種、及びプラズマ電力に基づいて決定されてよい。一部の例では、スロットは、スロットの長さ辺が面板の放射状の線に揃うように配置される。その他の例では、スロットは、スロットの長さ辺が面板の放射状の線に対して直角又はその他の角度をなすように配置される。
本明細書で説明されるようなスロット状の穴を伴う面板と同様で且つHCDを伴わない流れの導通性を生じるためには、面板は、円形の穴(各穴は、プラズマシース2枚分又は3枚分の厚さ以下の直径を伴う)を非常に多く必要とするだろう。穴の数が多いと、機械加工の費用がかさむのが一般的であり、高価になる。スロットは、多数の円形の穴と同じ結果を生むために必要な数が少なくてすむので、このような面板は、製造がそれほど高価ではなく、また、多様な材料で作成することができる。
以上の説明は、例示的な性質のものにすぎず、開示、その用途、又は使用を制限することを決して意図していない。開示の広範な教示内容は、多様な形態で実現することができる。したがって、本開示は、具体的な例を含む一方で、開示の真の範囲は、図面、明細書、及び以下の特許請求の範囲を吟味することによってその他の変更形態が明らかになるゆえに、それらの具体例に限定されるべきではない。本明細書で使用される「A,B、及びCの少なくとも1つ」という文句は、非排他的な論理ORを使用した論理(A OR B OR C)を意味すると見なされるべきであり、「Aの少なくとも1つ、Bの少なくとも1つ、及びCの少なくとも1つ」を意味すると見なされるべきではない。また、方法内の1つ以上の工程は、本開示の原理を変更することなく異なる順番で(又は同時的に)実行されてよいことが理解されるべきである。
一部の実装形態では、コントローラは、システムの一部であり、該システムは、上述された例の一部であってよい。このようなシステムは、1つ若しくは複数の処理ツール、1つ若しくは複数のチャンバ、処理のための1つ若しくは複数のプラットフォーム、及び/又は特定の処理コンポーネント(ウエハ台座やガス流システムなど)などの、半導体処理機器を含むことができる。これらのシステムは、半導体ウエハ又は基板の処理の前、最中、及び後におけるそれらの動作を制御するための電子機器と一体化されてよい。電子機器は、「コントローラ」と呼ばれてよく、1つ又は複数のシステムの様々なコンポーネント又は副部品を制御することができる。コントローラは、処理要件及び/又はシステムのタイプに応じ、処理ガスの配送、温度の設定(加熱及び/若しくは冷却)、圧力の設定、真空の設定、電力の設定、高周波(RF)発生器の設定、RF整合回路の設定、周波数の設定、流量の設定、流体配送の設定、位置及び動作の設定、特定のシステムに接続された若しくはインターフェース接続されたツール及びその他の移送ツール及び/若しくはロードロックに対してウエハを出入りさせるウエハ移送などの、本明細書で開示される任意のプロセスを制御するようにプログラムされてよい。
概して、コントローラは、命令を受信する、命令を発行する、動作を制御する、洗浄動作を可能にする、終点測定を可能にするなどの様々な集積回路、ロジック、メモリ、及び/又はソフトウェアを有する電子機器として定義されてよい。集積回路は、プログラム命令を記憶するファームウェアの形態をとるチップ、デジタル信号プロセッサ(DSP)、特殊用途向け集積回路(ASIC)として定められたチップ、及び/又はプログラム命令(例えばソフトウェア)を実行する1つ以上のマイクロプロセッサ若しくはマイクロコントローラを含んでいてよい。プログラム命令は、様々な個別設定(又はプログラムファイル)の形態でコントローラに伝えられて、半導体ウエハに対して又はシステムのために特定のプロセスを行うための動作パラメータを定義する命令であってよい。動作パラメータは、一部の実施形態では、1枚以上の層、材料、金属、酸化物、シリコン、二酸化シリコン、表面、回路、及び/又はウエハダイの製作における1つ以上の処理工程を実現するためにプロセスエンジニアによって定められるレシピの一部であってよい。
コントローラは、一部の実現形態では、システムと一体化された、システムに接続された、それ以外の形でシステムにネットワーク接続された、又はそれらを組み合わせた、コンピュータの一部であってよい、又はそのようなコンピュータに接続されてよい。例えば、コントローラは、「クラウド」の中、又はファブホストコンピュータシステムの全体若しくは一部の中にあってよく、これは、ウエハ処理の遠隔アクセスを可能にすることができる。コンピュータは、製作動作の現進行状況を監視する、過去の製作動作の履歴を調査する、複数の製作動作から傾向若しくは性能基準を調査する、現処理のパラメータを変更する、現処理を追跡するための処理工程を設定する、又は新しいプロセスを開始させるために、システムへの遠隔アクセスを可能にすることができる。一部の例では、遠隔コンピュータ(例えばサーバ)が、ローカルネットワーク又はインターネットなどのネットワークを通じてシステムにプロセスレシピを提供することができる。遠隔コンピュータは、パラメータ及び/又は設定の入力又はプログラミングを可能にするユーザインターフェースを含んでいてよく、これらのパラメータ及び/又は設定は、次いで、遠隔コンピュータからシステムに伝えられる。一部の例では、コントローラは、1つ以上の動作中に実施される各処理工程のためのパラメータを指定するデータの形式で命令を受信する。なお、パラメータは、実施されるプロセスのタイプに、及びコントローラがインターフェース接続されるように又は制御するように構成されたツールのタイプに特有であってよいことが理解されるべきである。したがって、上述のように、コントローラは、ネットワークによって結ばれて本明細書で説明されるプロセス及び制御などの共通の目的に向かって作業する1つ以上の個別のコントローラを含むなどによって分散されてよい。このような目的のための分散コントローラの一例として、(プラットフォームレベルに又は遠隔コンピュータの一部として)遠隔設置されてチャンバにおけるプロセスを共同で制御する1つ以上の集積回路と通じたチャンバ上の1つ以上の集積回路が挙げられる。
代表的なシステムとしては、制限なく、プラズマエッチングチャンバ若しくはプラズマエッチングモジュール、堆積チャンバ若しくは堆積モジュール、スピンリンスチャンバ若しくはスピンリンスモジュール、金属めっきチャンバ若しくは金属めっきモジュール、洗浄チャンバ若しくは洗浄モジュール、ベベルエッジエッチングチャンバ若しくはベベルエッジエッチングモジュール、物理蒸着(PVD)チャンバ若しくはPVDモジュール、化学気相成長(CVD)チャンバ若しくはCVDモジュール、原子層堆積(ALD)チャンバ若しくはALDモジュール、原子層エッチング(ALE)チャンバ若しくはALEモジュール、イオン注入チャンバ若しくはイオン注入モジュール、追跡チャンバ若しくは追跡モジュール、並びに半導体ウエハの製作及び/若しくは製造に関係付けられる若しくは使用されるその他の任意の半導体処理システムが挙げられる。
上記のように、ツールによって実施される1つ又は複数のプロセス工程に応じ、コントローラは、その他のツール回路若しくはツールモジュール、その他のツールコンポーネント、クラスタツール、その他のツールインターフェース、隣接するツール、近隣のツール、工場の随所にあるツール、メインコンピュータ、別のコントローラ、又は半導体製造工場内におけるツールの場所及び/若しくはロードポートに対してウエハ容器を出し入れする材料輸送に使用されるツールのうちの、1つ以上とやり取りするだろう。

本発明は、たとえば、以下のような態様で実現することもできる。

適用例1:
基板処理システムのためのガス分配板を製造するための方法であって、
複数のセラミックグリーンシートを含む、前記ガス分配板のセラミック下部を作成することと、
複数のセラミックグリーンシートを含む、前記ガス分配板のセラミック上部を作成することと、
金属スクリーン印刷プロセスを使用し、前記セラミック下部の上面及び前記セラミック上部の下面の少なくとも一方に電極を印刷することと、
前記ガス分配板の前記セラミック下部及び前記セラミック上部に第1の複数の通し穴を機械加工することと、
前記ガス分配板を形成するために、前記セラミック上部及び前記セラミック下部を焼結させることと、
を備える方法。

適用例2:
適用例1に記載の方法であって、
前記電極は、前記セラミック下部及び前記セラミック上部の第1の複数の通し穴に位置を揃えて穴を印刷される、方法。

適用例3:
適用例1に記載の方法であって、
前記電極は、前記セラミック上部及び前記セラミック上部の熱膨張係数に一致する熱膨張係数を有する材料で作成される、方法。

適用例4:
適用例1に記載の方法であって、
前記電極は、モリブデンで作成される、方法。

適用例5:
適用例1に記載の方法であって、
前記電極は、タングステンで作成される、方法。

適用例6:
適用例1に記載の方法であって、
前記セラミックグリーンシートは、窒化アルミニウム(AlN)、酸化アルミニウム(Al 2 3 )、窒化シリコン(Si 3 4 )、酸化イットリウム(Y 2 3 )、酸化ジルコニウム(ZrO 2 )、及びこれらの複合材料からなる群より選択された材料で作成される、方法。

適用例7:
適用例1に記載の方法であって、更に、
前記焼結に先立って、前記ガス分配板の前記セラミック下部及び前記セラミック上部に第2の複数の通し穴を機械加工することを備え、前記第2の複数の通し穴は、前記第1の複数の通し穴よりも半径方向外側に配置される、方法。

適用例8:
適用例7に記載の方法であって、
前記第2の複数の通し穴は、前記第1の複数の通し穴とは異なる形状を有する、方法。

適用例9:
適用例7に記載の方法であって、
前記第2の複数の通し穴は、スロット状であり、前記第1の複数の通し穴は、円形である、方法。

適用例10:
適用例9に記載の方法であって、更に、
前記ガス分配板の前記第1の複数の通し穴を経て前記ガス分配板の基板側へガスを通らせることと、
前記第2の複数の通し穴を使用して前記ガス分配板の前記基板側からガスを排出させることと、
を備える方法。

適用例11:
適用例9に記載の方法であって、
前記第1の複数の通し穴は、プラズマシース3枚分の厚さよりも小さい直径を有し、前記第2の複数の通し穴は、プラズマシース3枚分の厚さよりも小さい幅と、前記幅の2〜10倍の長さとを有する。方法。

適用例12:
基板処理システムのためのガス分配板であって、
複数のセラミックグリーンシートを含む、前記ガス分配板のセラミック下部と、
複数のセラミックグリーンシートを含む、前記ガス分配板のセラミック上部と、
金属スクリーン印刷を使用し、前記セラミック下部の上面及び前記セラミック上部の下面の少なくとも一方に印刷された電極と、
焼結に先立って前記ガス分配板の前記セラミック下部及び前記セラミック上部に機械加工された第1の複数の通し穴と、
を備えるガス分配板。

適用例13:
適用例12に記載のガス分配板であって、
前記電極は、前記セラミック下部及び前記セラミック上部の前記第1の複数の通し穴に位置を揃えられた穴を含む、ガス分配板。

適用例14:
適用例12に記載のガス分配板であって、
前記電極は、前記セラミック上部及び前記セラミック上部の熱膨張係数に一致する熱膨張係数を有する材料で作成される、ガス分配板。

適用例15:
適用例12に記載のガス分配板であって、
前記電極は、モリブデンで作成される、ガス分配板。

適用例16:
適用例12に記載のガス分配板であって、
前記電極は、タングステンで作成される、ガス分配板。

適用例17:
適用例12に記載のガス分配板であって、
前記セラミックグリーンシートは、窒化アルミニウム(AlN)、酸化アルミニウム(Al 2 3 )、窒化シリコン(Si 3 4 )、酸化イットリウム(Y 2 3 )、酸化ジルコニウム(ZrO 2 )、及びこれらの複合材料からなる群より選択された材料で作成される、ガス分配板。

適用例18:
適用例12に記載のガス分配板であって、更に、
焼結に先立って前記ガス分配板の前記セラミック下部及び前記セラミック上部に機械加工された第2の複数の通し穴を備え、前記第2の複数の通し穴は、前記第1の複数の通し穴よりも半径方向外側に配置される、ガス分配板。

適用例19:
適用例18に記載のガス分配板であって、
前記第2の複数の通し穴は、前記第1の複数の通し穴とは異なる形状を有する、ガス分配板。

適用例20:
適用例18に記載のガス分配板であって、
前記第2の複数の通し穴は、スロット状であり、前記第1の複数の通し穴は、円形である、ガス分配板。

適用例21:
適用例12に記載のガス分配板であって、
前記第1の複数の通し穴は、プラズマシース3枚分の厚さよりも小さい直径を有し、
前記第2の複数の通し穴は、プラズマシース3枚分の厚さよりも小さい幅と、前記幅の2〜10倍の長さとを有する、ガス分配板。

適用例22:
基板処理システムであって、
適用例12に記載のガス分配板と、
処理チャンバと、
プラズマ発生器と、
台座と、
を備え、前記処理チャンバは、400℃を超える温度で動作する、基板処理システム。

Claims (18)

  1. 基板処理システムのためのガス分配板を製造するための方法であって、
    (a)複数のセラミックグリーンシートを含む、前記ガス分配板のセラミック下部を作成することと、
    (b)複数のセラミックグリーンシートを含む、前記ガス分配板のセラミック上部を作成することと、
    (c)前記セラミック下部及び前記セラミック上部がグリーン状態にある間に、金属スクリーン印刷プロセスを使用し、前記セラミック下部の上面及び前記セラミック上部の下面の少なくとも一方に電極を印刷することと、
    (d)前記セラミック下部及び前記セラミック上部が前記グリーン状態にある間に、(c)の後に前記セラミック下部と前記セラミック上部との間に前記電極を挟むことと、
    (e)前記セラミック下部及び前記セラミック上部が前記グリーン状態にある間に、(d)の後に前記セラミック下部前記セラミック上部、及び前記電極に第1の複数の通し穴を機械加工することであって、前記第1の複数の通し穴は、前記ガス分配板の基板側へガスを通すように構成されていることと、
    (f)前記セラミック下部、前記セラミック上部、及び前記電極に第2の複数の通し穴を機械加工することであって、前記第2の複数の通し穴は、前記第1の複数の通し穴よりも半径方向外側に配置され、前記第2の複数の通し穴は、前記ガス分配板の前記基板側からガスを排出するように構成され、前記第2の複数の通し穴の前記通し穴は、前記第1の複数の通し穴の前記通し穴とは異なる形状を有し、
    (g)(e)の後に前記セラミック上部及び前記セラミック下部を焼結させることと、
    を備える方法。
  2. 請求項1に記載の方法であって、
    (c)前記電極穴を印刷することと、
    (d)前記セラミック上部、前記セラミック下部、及び前記電極の前記穴に前記第1の複数の通し穴及び前記第2の複数の通し穴を機械加工することと
    を含む、方法。
  3. 請求項1に記載の方法であって、
    前記電極は、前記セラミック上部及び前記セラミック上部の熱膨張係数に一致する熱膨張係数を有する材料で作成される、方法。
  4. 請求項1に記載の方法であって、
    前記電極は、モリブデンで作成される、方法。
  5. 請求項1に記載の方法であって、
    前記電極は、タングステンで作成される、方法。
  6. 請求項1に記載の方法であって、
    前記セラミックグリーンシートは、窒化アルミニウム(AlN)、酸化アルミニウム(Al23)、窒化シリコン(Si34)、酸化イットリウム(Y23)、酸化ジルコニウム(ZrO2)、及びこれらの複合材料からなる群より選択された材料で作成される、方法。
  7. 請求項1に記載の方法であって
    前記第2の複数の通し穴の前記通し穴は、スロット状であり、前記第1の複数の通し穴の前記通し穴は、円形である、方法。
  8. 請求項7に記載の方法であって、更に、
    前記ガス分配板の前記第1の複数の通し穴を経て前記ガス分配板の基板側へガスを通らせることと、
    前記第2の複数の通し穴を使用して前記ガス分配板の前記基板側からガスを排出させることと、
    を備える方法。
  9. 請求項7に記載の方法であって、
    前記第1の複数の通し穴の前記通し穴は、プラズマシース3枚分の厚さよりも小さい直径を有し、前記第2の複数の通し穴の前記通し穴は、プラズマシース3枚分の厚さよりも小さい幅と、前記幅の2〜10倍の長さとを有する方法。
  10. 基板処理システムのためのガス分配板であって、
    複数のセラミックグリーンシートを含む、前記ガス分配板のセラミック下部と、
    複数のセラミックグリーンシートを含む、前記ガス分配板のセラミック上部と、
    電極であって、
    前記セラミック下部及び前記セラミック上部がグリーン状態にある間に、金属スクリーン印刷を使用し、前記セラミック下部の上面及び前記セラミック上部の下面の少なくとも一方に印刷され
    前記セラミック下部及び前記セラミック上部が前記グリーン状態にある間に、前記セラミック下部と前記セラミック上部との間に挟まれる、電極と、
    焼結に先立って、前記セラミック下部及び前記セラミック上部が前記グリーン状態にある間に、前記セラミック下部前記セラミック上部、及び前記電極に機械加工された第1の複数の通し穴であって前記第1の複数の通し穴は、前記ガス分配板の基板側へガスを通すように構成されている、第1の複数の通し穴と、
    焼結に先立って、前記セラミック下部及び前記セラミック上部が前記グリーン状態にある間に、前記セラミック下部、前記セラミック上部、及び前記電極に機械加工された第2の複数の通し穴であって、前記第2の複数の通し穴は、前記第1の複数の通し穴よりも半径方向外側に配置され、前記第2の複数の通し穴は、前記ガス分配板の前記基板側からガスを排出するように構成され、前記第2の複数の通し穴の前記通し穴は、前記第1の複数の通し穴の前記通し穴とは異なる形状を有す、第2の複数の通し穴と、
    を備えるガス分配板。
  11. 請求項10に記載のガス分配板であって、
    前記電極は、穴を含
    前記セラミック下部及び前記セラミック上部が前記グリーン状態にある間に、前記第1の複数の通し穴及び前記第2の複数の通し穴は、前記セラミック下部、前記セラミック上部、及び前記電極の前記穴に機械加工される、ガス分配板。
  12. 請求項10に記載のガス分配板であって、
    前記電極は、前記セラミック上部及び前記セラミック上部の熱膨張係数に一致する熱膨張係数を有する材料で作成される、ガス分配板。
  13. 請求項10に記載のガス分配板であって、
    前記電極は、モリブデンで作成される、ガス分配板。
  14. 請求項10に記載のガス分配板であって、
    前記電極は、タングステンで作成される、ガス分配板。
  15. 請求項10に記載のガス分配板であって、
    前記セラミックグリーンシートは、窒化アルミニウム(AlN)、酸化アルミニウム(Al23)、窒化シリコン(Si34)、酸化イットリウム(Y23)、酸化ジルコニウム(ZrO2)、及びこれらの複合材料からなる群より選択された材料で作成される、ガス分配板。
  16. 請求項10に記載のガス分配板であって
    記第2の複数の通し穴の前記通し穴は、スロット状であり、前記第1の複数の通し穴の前記通し穴は、円形である、ガス分配板。
  17. 請求項10に記載のガス分配板であって、
    前記第1の複数の通し穴の前記通し穴は、プラズマシース3枚分の厚さよりも小さい直径を有し、
    前記第2の複数の通し穴の前記通し穴は、プラズマシース3枚分の厚さよりも小さい幅と、前記幅の2〜10倍の長さとを有する、ガス分配板。
  18. 基板処理システムであって、
    請求項10に記載のガス分配板と、
    処理チャンバと、
    プラズマ発生器と、
    台座と、
    を備え、前記処理チャンバは、400℃を超える温度で動作する、基板処理システム。
JP2016000316A 2015-01-12 2016-01-05 埋め込み電極を伴うガス分配セラミック板 Active JP6739940B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/594,423 US10217614B2 (en) 2015-01-12 2015-01-12 Ceramic gas distribution plate with embedded electrode
US14/594,423 2015-01-12

Publications (2)

Publication Number Publication Date
JP2016188424A JP2016188424A (ja) 2016-11-04
JP6739940B2 true JP6739940B2 (ja) 2020-08-12

Family

ID=56368019

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2016000316A Active JP6739940B2 (ja) 2015-01-12 2016-01-05 埋め込み電極を伴うガス分配セラミック板

Country Status (4)

Country Link
US (1) US10217614B2 (ja)
JP (1) JP6739940B2 (ja)
KR (2) KR102468008B1 (ja)
TW (1) TWI736527B (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20210104163A (ko) * 2019-01-11 2021-08-24 어플라이드 머티어리얼스, 인코포레이티드 전도성 전극들을 갖는 세라믹 샤워헤드들

Families Citing this family (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9490149B2 (en) * 2013-07-03 2016-11-08 Lam Research Corporation Chemical deposition apparatus having conductance control
US10077497B2 (en) * 2014-05-30 2018-09-18 Lam Research Corporation Hollow cathode discharge (HCD) suppressing capacitively coupled plasma electrode and gas distribution faceplate
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10497542B2 (en) * 2016-01-04 2019-12-03 Daniel T. Mudd Flow control showerhead with integrated flow restrictors for improved gas delivery to a semiconductor process
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
KR102390323B1 (ko) * 2016-08-26 2022-04-22 어플라이드 머티어리얼스, 인코포레이티드 플라즈마 프로세싱 챔버를 위한 플라즈마 스크린
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9824884B1 (en) 2016-10-06 2017-11-21 Lam Research Corporation Method for depositing metals free ald silicon nitride films using halide-based precursors
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US20190032211A1 (en) * 2017-07-28 2019-01-31 Lam Research Corporation Monolithic ceramic gas distribution plate
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4590042A (en) * 1984-12-24 1986-05-20 Tegal Corporation Plasma reactor having slotted manifold
US5800618A (en) * 1992-11-12 1998-09-01 Ngk Insulators, Ltd. Plasma-generating electrode device, an electrode-embedded article, and a method of manufacturing thereof
JP3132214B2 (ja) * 1993-01-14 2001-02-05 株式会社村田製作所 セラミック多層回路部品の製造方法およびセラミックグリーンシートの取扱装置
JP3602908B2 (ja) * 1996-03-29 2004-12-15 京セラ株式会社 ウェハ保持部材
JP3535309B2 (ja) * 1996-04-10 2004-06-07 東京エレクトロン株式会社 減圧処理装置
JP2005175508A (ja) * 2000-01-20 2005-06-30 Sumitomo Electric Ind Ltd 半導体製造装置用ガスシャワー体
US7635824B2 (en) * 2003-06-20 2009-12-22 Ngk Insulators, Ltd. Plasma generating electrode, plasma generation device, and exhaust gas purifying device
JP2008294017A (ja) * 2007-05-22 2008-12-04 Sumitomo Electric Ind Ltd シャワーヘッド及びそれを搭載した半導体製造装置
JP4586831B2 (ja) 2007-08-08 2010-11-24 Tdk株式会社 セラミックグリーンシート構造、及び、積層セラミック電子部品の製造方法
US8702867B2 (en) * 2008-07-08 2014-04-22 Jusung Engineering Co., Ltd. Gas distribution plate and substrate treating apparatus including the same
JP2013098236A (ja) * 2011-10-28 2013-05-20 Kyocera Corp 配線基板ならびにその配線基板を備えた電子装置および電子モジュール装置
US9449795B2 (en) 2013-02-28 2016-09-20 Novellus Systems, Inc. Ceramic showerhead with embedded RF electrode for capacitively coupled plasma reactor

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20210104163A (ko) * 2019-01-11 2021-08-24 어플라이드 머티어리얼스, 인코포레이티드 전도성 전극들을 갖는 세라믹 샤워헤드들
KR102595824B1 (ko) 2019-01-11 2023-10-30 어플라이드 머티어리얼스, 인코포레이티드 전도성 전극들을 갖는 세라믹 샤워헤드들
US11834744B2 (en) 2019-01-11 2023-12-05 Applied Materials, Inc. Ceramic showerheads with conductive electrodes

Also Published As

Publication number Publication date
KR102468008B1 (ko) 2022-11-16
KR20160086770A (ko) 2016-07-20
TWI736527B (zh) 2021-08-21
KR20220147552A (ko) 2022-11-03
KR102626481B1 (ko) 2024-01-17
US10217614B2 (en) 2019-02-26
JP2016188424A (ja) 2016-11-04
US20160203952A1 (en) 2016-07-14
TW201637837A (zh) 2016-11-01

Similar Documents

Publication Publication Date Title
JP6739940B2 (ja) 埋め込み電極を伴うガス分配セラミック板
JP7453149B2 (ja) セラミックベースプレートを備えるマルチプレート静電チャック
TWI783960B (zh) 具有改良的處理均勻性之基板支撐件
CN107591355B (zh) 具有防止电弧和点火并改善工艺均匀性的特征的静电卡盘
CN110337714B (zh) 一种衬底支撑件和衬底处理系统
JP2021170663A (ja) 可動エッジリング設計
US11133211B2 (en) Ceramic baseplate with channels having non-square corners
JP7470101B2 (ja) 寿命が延長された閉じ込めリング
US10096471B2 (en) Partial net shape and partial near net shape silicon carbide chemical vapor deposition
CN110352481B (zh) 无螺栓衬底支撑件组件
JP7186494B2 (ja) 粒子性能および金属性能の改善のためのescセラミック側壁の加工
CN112424905A (zh) 供应射频(rf)等离子体产生器及远程等离子体产生器的rf信号源
WO2021167939A1 (en) High temperature substrate support with heat spreader
WO2021247627A1 (en) Monobloc pedestal for efficient heat transfer
EP3987081A1 (en) Reduced diameter carrier ring hardware for substrate processing systems
WO2018195535A1 (en) Method for depositing high deposition rate, thick tetraethyl orthosilicate film with low compressive stress, high film stability and low shrinkage

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20160705

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20181227

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20191129

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20200107

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20200407

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20200623

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20200722

R150 Certificate of patent or registration of utility model

Ref document number: 6739940

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250