KR102468008B1 - 임베딩된 전극을 갖는 세라믹 가스 분배 플레이트 - Google Patents

임베딩된 전극을 갖는 세라믹 가스 분배 플레이트 Download PDF

Info

Publication number
KR102468008B1
KR102468008B1 KR1020160001369A KR20160001369A KR102468008B1 KR 102468008 B1 KR102468008 B1 KR 102468008B1 KR 1020160001369 A KR1020160001369 A KR 1020160001369A KR 20160001369 A KR20160001369 A KR 20160001369A KR 102468008 B1 KR102468008 B1 KR 102468008B1
Authority
KR
South Korea
Prior art keywords
ceramic
holes
gas distribution
distribution plate
upper portion
Prior art date
Application number
KR1020160001369A
Other languages
English (en)
Other versions
KR20160086770A (ko
Inventor
제레미 터커
람키샨 라오 링감팔리
토니 카우샬
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20160086770A publication Critical patent/KR20160086770A/ko
Priority to KR1020220133865A priority Critical patent/KR102626481B1/ko
Application granted granted Critical
Publication of KR102468008B1 publication Critical patent/KR102468008B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • C23C16/5096Flat-bed apparatus
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32541Shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/3255Material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02282Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process liquid deposition, e.g. spin-coating, sol-gel techniques, spray coating
    • H01L21/02288Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process liquid deposition, e.g. spin-coating, sol-gel techniques, spray coating printing, e.g. ink-jet printing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/48Manufacture or treatment of parts, e.g. containers, prior to assembly of the devices, using processes not provided for in a single one of the subgroups H01L21/06 - H01L21/326
    • H01L21/4803Insulating or insulated parts, e.g. mountings, containers, diamond heatsinks
    • H01L21/4807Ceramic parts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/48Manufacture or treatment of parts, e.g. containers, prior to assembly of the devices, using processes not provided for in a single one of the subgroups H01L21/06 - H01L21/326
    • H01L21/4814Conductive parts
    • H01L21/4846Leads on or in insulating or insulated substrates, e.g. metallisation
    • H01L21/4867Applying pastes or inks, e.g. screen printing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • H01J2237/3321CVD [Chemical Vapor Deposition]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching

Abstract

기판 프로세싱 시스템을 위한 가스 분배 플레이트는 복수의 세라믹 그린 시트들을 포함하는 가스 분배 플레이트의 세라믹 하부 부분을 포함한다. 가스 분배 플레이트의 세라믹 상부 부분은 복수의 세라믹 그린시트들을 포함한다. 전극은 금속 스크린 인쇄를 사용하여 세라믹 하부 부분의 상부 표면 및 세라믹 상부 부분의 하부 표면 중 적어도 하나 상에 인쇄된다. 제 1 복수의 쓰루홀들이 소결 전에 가스 분배 플레이트의 세라믹 하부 부분 및 세라믹 상부 부분을 통해 머시닝된다.

Description

임베딩된 전극을 갖는 세라믹 가스 분배 플레이트{CERAMIC GAS DISTRIBUTION PLATE WITH EMBEDDED ELECTRODE}
본 개시는 기판 프로세싱 시스템들에 관한 것이고, 보다 구체적으로 기판 프로세싱 시스템들을 위한 임베딩된 전극들을 갖는 세라믹 가스 분배 플레이트들에 관한 것이다.
본 명세서에 제공된 배경기술 설명은 일반적으로 본 개시의 맥락을 제공하기 위한 목적을 위한 것이다. 본 발명자들의 성과로서 본 배경기술 섹션에 기술되는 정도의 성과 및 출원시 종래 기술로서 인정되지 않을 수도 있는 기술의 양태들은 본 개시에 대한 종래 기술로서 명시적으로나 암시적으로 인정되지 않는다.
기판 프로세싱 시스템들은 반도체 웨이퍼와 같은 기판 상에서 막의 증착 및/또는 에칭을 수행하도록 사용될 수도 있다. 기판 프로세싱 시스템들은 통상적으로 페데스탈, 정전척, 플레이트, 등과 같은 기판 지지부를 갖는 프로세싱 챔버를 포함한다. 반도체 웨이퍼와 같은 기판은 기판 지지부 상에 배열될 수도 있다. CVD (chemical vapor deposition) 프로세스, PECVD (plasma enhanced CVD) 프로세스, ALD (atomic layer deposition) 프로세스 또는 PEALD 프로세스에서, 하나 이상의 전구체들을 포함하는 가스 혼합물은 기판 상에서 막을 증착하거나 에칭하기 위해 프로세싱 챔버 내로 도입될 수도 있다. 플라즈마는 화학 반응들을 활성화하도록 사용될 수도 있다.
일부 프로세스들은 샤워헤드와 같은 가스 분배 디바이스를 사용한다. 가스 분배 디바이스는 복수의 가스 쓰루홀들을 갖는 대면 플레이트를 포함할 수도 있다. 가스 쓰루홀들은 목표된 가스 플로우 패턴을 제공하기 위한 패턴으로 배열된다. 프로세스 가스들은 가스 분배 디바이스의 캐비티로 공급되고 대면 플레이트의 쓰루홀들에 의해 기판 위에 분배된다.
PECVD 프로세스 및 PEALD 프로세스에서, RF (radio frequency) 플라즈마는 화학 반응들을 활성화하도록 사용될 수도 있다. 예를 들어, CCP (capacitively coupled plasma) 생성기가 프로세싱 챔버 내에서 플라즈마를 생성하도록 사용될 수도 있다. 플라즈마는 가스 분배 디바이스의 대면 플레이트와 페데스탈 사이의 공간에서 스트라이킹된다. 즉, 가스 분배 디바이스의 대면 플레이트는 CCP 생성기의 일 전극으로서 작용할 수도 있다.
현재 가스 분배 플레이트들은 플레이트들이 전극으로서 역할을 한다면, 주로 알루미늄으로 이루어진다. (400 ℃보다 높은) 고온 애플리케이션들에 대해, 알루미늄은 알루미늄의 보다 낮은 용융 온도로 인해 가스 분배 플레이트 또는 전극으로 사용되지 않는다. 부가적으로, PECVD 프로세스 및 PEALD 프로세스에서 사용된 일부 가스 화학물질들은 알루미늄과 양립가능하지 않다.
세라믹 플레이트들은 또한 가스 분배 디바이스들로서 사용될 수도 있다. 온도 및 화학적 양립가능성의 이슈를 해결하는 반면, 세라믹 플레이트들은 일반적으로 CCP 회로들에서 사용될 수 없다. 전극으로서 기능하기 위해, 금속 원소가 세라믹 플레이트 내에 임베딩된다. (페데스탈 또는 척과 같은) 세라믹 바디 내에 금속 전극을 임베딩하는 것은 고온 압축 (press) (또는 소결) 제작 프로세스를 사용하여 달성된다. 그러나, 고온 압축 제작 프로세스는 세라믹 대면 플레이트가 소결된 후에 상당한 양의 머시닝 및 그라인딩이 이루어질 것을 요구한다. 소결-후 그라인딩은 세라믹 플레이트의 경도로 인해 고비용이고 시간 소모적이다. 따라서, 고온 압축 제작 프로세스를 사용하여 제작된 세라믹 플레이트들의 가스 분배를 위해 적은 수의 쓰루홀들을 포함하는 것 조차도 너무 많은 비용이 든다.
고온 압축 제작 프로세스는 또한 세라믹 플레이트 내의 금속 전극을 임베딩하는 치수 정확도에도 상당한 제한들을 준다. 즉, 전극의 수평 및 수직 위치 및/또는 전극의 편평도 (planarity) 설정시 정밀도가 고온 압축 제작 프로세스로 인해 제한된다. 이러한 제한은 금속 전극은 피하면서 쓰루홀들을 정확하게 그라인딩하는 것을 어렵게 한다. 이들 이슈들은 임베딩된 전극들을 갖는 세라믹 가스 분배 플레이트들을 생성할 때 고온 압축 제작 프로세스가 경제적으로 실현불가능하게 한다.
기판 프로세싱 시스템을 위한 가스 분배 플레이트를 제작하기 위한 방법은 가스 분배 플레이트의 세라믹 하부 부분을 생성하는 단계로서, 세라믹 하부 부분은 복수의 세라믹 그린 (green) 시트들을 포함하는, 세라믹 하부 부분을 생성하는 단계; 가스 분배 플레이트의 세라믹 상부 부분을 생성하는 단계로서, 세라믹 상부 부분은 복수의 세라믹 그린 시트들을 포함하는, 세라믹 상부 부분을 생성하는 단계; 금속 스크린 인쇄 프로세스를 사용하여 세라믹 하부 부분의 상부 표면 및 세라믹 상부 부분의 하부 표면 중 적어도 하나 상에 전극을 인쇄하는 단계; 가스 분배 플레이트의 세라믹 하부 부분 및 세라믹 상부 부분을 통해 제 1 복수의 쓰루홀들을 머시닝하는 단계; 및 가스 분배 플레이트를 형성하기 위해 세라믹 상부 부분 및 세라믹 하부 부분을 소결하는 단계를 포함한다.
다른 특징들에서, 전극은 세라믹 하부 부분 및 세라믹 상부 부분의 제 1 복수의 쓰루홀들과 정렬된 홀들과 함께 인쇄된다. 전극은 세라믹 상부 부분 및 세라믹 하부 부분의 열 팽창 계수와 매칭하는 열 팽창 계수를 갖는 재료로 이루어진다. 전극은 몰리브덴으로 이루어진다. 전극은 텅스텐으로 이루어진다.
다른 특징들에서, 세라믹 그린 시트들은 알루미늄 나이트라이드 (AlN), 알루미늄 옥사이드 (Al2O3), 실리콘 나이트라이드 (Si3N4), 이트륨 옥사이드 (Y2O3), 지르코늄 옥사이드 (ZrO2) 및 이들로부터 이루어진 합성물들로 구성된 그룹으로부터 선택된 재료로 이루어진다.
다른 특징들에서, 방법은 소결하는 단계 전에, 가스 분배 플레이트의 세라믹 하부 부분 및 세라믹 상부 부분을 통해 제 2 복수의 쓰루홀들을 머시닝하는 단계를 더 포함한다. 제 2 복수의 쓰루홀들은 제 1 복수의 쓰루홀들의 방사상 외부에 배열된다. 제 2 복수의 쓰루홀들은 제 1 복수의 쓰루홀들과 상이한 형상을 갖는다. 제 2 복수의 쓰루홀들은 슬롯-형상이고, 제 1 복수의 쓰루홀들은 원형이다.
다른 특징들에서, 방법은 가스 분배 플레이트의 제 1 복수의 쓰루홀들을 통해 가스 분배 플레이트의 기판측으로 가스를 통과시키는 단계; 및 제 2 복수의 쓰루홀들을 사용하여 가스 분배 플레이트의 기판측으로부터 가스를 배기하는 단계를 더 포함한다.
다른 특징들에서, 제 1 복수의 쓰루홀들은 3개의 플라즈마 시스 두께들보다 보다 작은 직경을 갖는다. 제 2 복수의 쓰루홀들은 3개의 플라즈마 시스 두께들보다 보다 작은 폭 및 폭의 2 내지 10배인 길이를 갖는다.
기판 프로세싱 시스템을 위한 가스 분배 플레이트는 복수의 세라믹 그린 시트들을 포함하는 가스 분배 플레이트의 세라믹 하부 부분을 포함한다. 가스 분배 플레이트의 세라믹 상부 부분은 복수의 세라믹 그린 시트들을 포함한다. 전극은 금속 스크린 인쇄를 사용하여 세라믹 하부 부분의 상부 표면 및 세라믹 상부 부분의 하부 표면 중 적어도 하나 상에 인쇄된다. 제 1 복수의 쓰루홀들이 소결 전에 가스 분배 플레이트의 세라믹 하부 부분 및 세라믹 상부 부분을 통해 머시닝된다.
다른 특징들에서, 전극은 세라믹 하부 부분 및 세라믹 상부 부분의 제 1 복수의 쓰루홀들과 정렬된 홀들을 포함한다. 전극은 세라믹 상부 부분 및 세라믹 하부 부분의 열 팽창 계수와 매칭하는 열 팽창 계수를 갖는 재료로 이루어진다. 전극은 몰리브덴으로 이루어진다. 전극은 텅스텐으로 이루어진다.
다른 특징들에서, 세라믹 그린 시트들은 알루미늄 나이트라이드 (AlN), 알루미늄 옥사이드 (Al2O3), 실리콘 나이트라이드 (Si3N4), 이트륨 옥사이드 (Y2O3), 지르코늄 옥사이드 (ZrO2) 및 이들로부터 이루어진 합성물들로 구성된 그룹으로부터 선택된 재료로 이루어진다. 제 2 복수의 쓰루홀들은 소결 전에 가스 분배 플레이트의 세라믹 하부 부분 및 세라믹 상부 부분을 통해 머시닝된다. 제 2 복수의 쓰루홀들은 제 1 복수의 쓰루홀들의 방사상 외부에 배열된다. 제 2 복수의 쓰루홀들은 제 1 복수의 쓰루홀들과 상이한 형상을 갖는다. 제 2 복수의 쓰루홀들은 슬롯-형상이고 제 1 복수의 쓰루홀들은 원형이다.
다른 특징들에서, 제 1 복수의 쓰루홀들은 3개의 플라즈마 시스 두께들보다 보다 작은 직경을 갖는다. 제 2 복수의 쓰루홀들은 3개의 플라즈마 시스 두께들보다 보다 작은 폭 및 폭의 2 내지 10배인 길이를 갖는다.
기판 프로세싱 시스템은 가스 분배 플레이트, 프로세싱 챔버, 플라즈마 생성기 및 페데스탈을 포함한다. 프로세싱 챔버는 400 ℃보다 높은 온도에서 동작한다.
본 개시의 추가 적용가능 영역들은 상세한 기술, 청구항들 및 도면들로부터 명백해질 것이다. 상세한 기술 및 구체적인 예들은 단지 예시의 목적들을 위해 의도되고 개시의 범위를 제한하도록 의도되지 않는다.
본 개시는 상세한 기술 및 첨부된 도면들로부터 보다 완전히 이해될 것이다.
도 1은 본 개시에 따른 임베딩된 전극을 갖는 세라믹 가스 분배 플레이트의 예의 상면 사시도이다.
도 2는 본 개시에 따른 임베딩된 전극을 갖는 세라믹 가스 분배 플레이트의 예의 하단 사시도이다.
도 3은 본 개시에 따른 임베딩된 전극을 갖는 세라믹 가스 분배 플레이트의 예의 측단면도이다.
도 4는 본 개시에 따른 임베딩된 전극을 갖는 세라믹 가스 분배 플레이트의 예의 확대된 측단면도이다.
도 5는 본 개시에 따른 임베딩된 전극을 갖는 세라믹 가스 분배 플레이트의 예의 부분적인 상면 사시도 및 측단면도이다.
도 6은 본 개시에 따른 임베딩된 전극의 예의 부분적인 상면 사시도 및 단면도이다.
도 7은 본 개시에 따른 임베딩된 전극을 갖는 세라믹 가스 분배 플레이트를 포함하는 기판 프로세싱 시스템의 예의 기능 블록도이다.
도면들에서, 참조 번호들은 유사한/하거나 동일한 엘리먼트들을 식별하도록 재사용될 수도 있다.
본 발명에 따른 가스 분배 플레이트는 가스를 분배하고 CCP 프로세스에서 전극으로서 역할을 한다. 가스 분배 플레이트는 세라믹 바디를 포함한다. 일부 예들에서, 알루미늄 나이트라이드 (AlN), 알루미늄 옥사이드 (Al2O3), 실리콘 나이트라이드 (Si3N4), 이트륨 옥사이드 (Y2O3), 지르코늄 옥사이드 (ZrO2) 및 이들로부터 이루어진 합성물들이 사용될 수도 있다. 단지 예를 들면, 지르코늄 알루미네이트 또는 이트륨 알루미네이트가 불소에 대한 고 내부식성을 제공하도록 사용될 수도 있다. 가스 분배 플레이트는 가스 분배를 위한 쓰루홀들 및 임베딩된 금속 전극을 포함한다. 일부 예들에서, 금속 비아들이 임베딩된 금속 전극에 RF 전력을 전도하도록 플레이트의 외경 둘레에 배열된다.
일부 예들에서, 전극 및 비아들은 세라믹의 열 팽창 계수 (CTE: coefficient of thermal expansion) 에 밀접하게 매칭하는 CTE를 갖는 금속으로 이루어진다. 일부 예들에서, 몰리브덴, 텅스텐, 또는 또 다른 적합한 금속이 사용될 수도 있다. PECVD 반응기 또는 PEALD 반응기에서, 가스 분배 플레이트는 CCP를 생성하기 위해 RF 전력공급된 전극으로서 역할을 한다. 일부 예들에서, 플라즈마를 위한 반응물질 가스들은 가스 쓰루홀들을 통해 도입되고 가스 분배 플레이트 내의 슬롯된 홀들을 통해 배기될 수 있다.
세라믹의 사용은 플레이트로 하여금 고온 환경들에서 사용되게 한다. 가스 분배 플레이트는 가스 분배 플레이트가 CCP 회로 내에서 전력공급된 전극으로서 역할하는 것을 요구하는 고온 PECVD 반응기 또는 PEALD 반응기의 문제를 해결한다. 세라믹은 또한 가스 분배 플레이트가 대부분의 가스 화학물질들 및 플라즈마들을 견디게 한다. 일부 예들에서, 가스 분배 플레이트는 400 ℃ 내지 1100 ℃의 온도에서 동작하는/하거나 부식성 가스 화학물질들을 사용하는 CCP 반응기 내에서 사용된다. 대안적으로, 가스 분배 플레이트는 전극으로서 임의의 PECVD CCP 반응기 내에서 또는 가스 분배 플레이트로서 임의의 CVD 반응기 내에서 사용될 수 있다.
이제 도 1 내지 도 4를 참조하면, 임베딩된 전극을 갖는 세라믹 가스 분배 플레이트 (100) 가 도시된다. 도 1에서, 세라믹 가스 분배 플레이트 (100) 는 세라믹 가스 분배 플레이트 (100) 의 방사상 내측 부분에 배열된 제 1 복수의 쓰루홀들 (120) 을 갖는 상부 표면 (110) 을 포함한다. 일부 예들에서, 제 1 복수의 쓰루홀들 (120) 은 원형이고 2개 또는 3개의 플라즈마 시스 두께들보다 작은 직경을 갖는다.
세라믹 가스 분배 플레이트 (100) 는 제 1 복수의 쓰루홀들 (120) 의 방사상 외부에 배열된 제 2 복수의 쓰루홀들 (128) 을 더 포함한다. 도 1의 예에서, 제 2 복수의 쓰루홀들 (128) 은 일반적으로 슬롯-형상이다. 일부 예들에서, 슬롯들은 제 2 크기 치수 (도 1의 예에서 원주 방향의 폭) 보다 보다 큰 제 1 크기 치수 (도 1의 예에서 방사상 방향의 길이) 를 갖는다. 일부 예들에서, 슬롯의 제 2 크기 치수는 2개 또는 3개의 플라즈마 시스 두께들보다 작고 슬롯의 제 1 크기 치수는 제 2 크기 치수보다 보다 크다. 일부 예들에서, 슬롯의 제 1 크기 치수는 대면 플레이트에 대해 목표된 전도에 따라, 제 2 크기 치수보다 적어도 2 내지 10배 크다.
도 1에 도시된 제 1 복수의 쓰루홀들 (120) 은 원형이고 도 1의 제 2 복수의 쓰루홀들 (128) 은 슬롯-형상이지만, 제 1 복수의 쓰루홀들 (120) 및 제 2 복수의 쓰루홀들 (128) 은 다른 형상들을 가질 수도 있다. 부가적인 쓰루홀들 (132) 이 세라믹 가스 분배 플레이트 (100) 의 방사상 외측 에지에 인접하게 배열될 수도 있다.
도 2에서, 세라믹 가스 분배 플레이트 (100) 의 하부 표면 (150) 이 도시된다. 하부 표면 (150) 은 세라믹 가스 분배 플레이트 (100) 의 방사상 외측 에지에 인접하게 배열된 축방향으로-돌출하는 환형 표면 (154) 을 포함한다. 축방향으로-돌출하는 환형 표면 (154) 은 기판 프로세싱 시스템 내에 배열될 때 기판을 향해 아래쪽 방향으로 돌출한다.
도 3 및 도 4에서, 세라믹 가스 분배 플레이트 (100) 의 부가적인 상세들이 도시된다. 도 3에서, 제 1 복수의 쓰루홀들 (120) 은 세라믹 가스 분배 플레이트 (100) 를 통과하고 가스로 하여금 세라믹 가스 분배 플레이트 (100) 아래의 영역으로 세라믹 가스 분배 플레이트 (100) 를 통과하게 한다. 유사하게, 제 2 복수의 쓰루홀들 (128) 은 세라믹 가스 분배 플레이트 (100) 를 통과하고 가스로 하여금 세라믹 가스 분배 플레이트 (100) 를 통해 돌아가게 한다.
도 4에서, 전극 (160) 은 대체로 기판에 평행하고 가스가 쓰루홀들 (120, 128 및 132) 내로 흐르는 방향에 대체로 수직인 평면에서 세라믹 가스 분배 플레이트 (100) 내에 임베딩된다. 전극 (160) 은 전극 (160) 에 전기적 접속을 제공하도록 전극 (160) 으로부터 세라믹 가스 분배 플레이트 (100) 의 방사상 외측 에지에 인접한 가스 분배 플레이트 (100) 의 상부 표면으로 연장하는 비아들 (162) 에 연결될 수도 있다.
이제 도 5 및 도 6을 참조하면, 전극 (160) 의 부가적인 상세들이 도시된다. 전극 (160) 은 쓰루홀들 (120 및 128) 과 정렬하는 홀들 (220 및 228) 을 포함한다. 전극 (160) 내의 홀들 (220 및 228) 은 클리어런스를 제공하고 전극 (160) 과의 콘택트 또는 전극 (160) 의 노출을 방지하도록 대응하는 쓰루홀들 (120 및 128) 보다 보다 크다. 유사하게 전극 (160) 내에 홀들 (미도시) 이 쓰루홀들 (132) 을 위해 제공될 수도 있다. 전극 (160) 은 이하에 더 기술될 바와 같이 세라믹 가스 분배 플레이트 (100) 의 상부 부분 (234) 과 하부 부분 (236) 사이에서 스위칭된다.
일부 예들에서, 세라믹 가스 분배 플레이트 (100) 는 금속 스크린 인쇄 프로세스와 결합된 그린 바디 (시트) 제작 프로세스를 사용하여 생성된다. 즉, 세라믹 가스 분배 플레이트 (100) 의 하부 부분 (236) 은 하나 이상의 그린 시트들을 사용하여 이루어진다. 이어서, 전극 (160) 은 하부 부분 (236) 의 상부 표면 상에 스크린 인쇄된다. 마지막으로, 세라믹 가스 분배 플레이트 (100) 의 상부 부분 (234) 은 전극 (160) 위에 배열된다. 이해될 수 있는 바와 같이, 전극 (160) 은 또한 상부 부분 (234) 의 하부 표면 상에 스크린 인쇄될 수 있고 이어서 하부 부분이 전극 (160) 위에 배열될 수 있다.
이러한 방법은 목표된 두께의 세라믹 플레이트를 생성하도록, 전극 (160) 으로 하여금 그린 바디 상에 정확하게 인쇄되게 하고, 하나 이상의 세라믹 그린 시트들 사이에 위치되게 한다.
상부 부분 (234), 하부 부분 (236) 및 전극 (160) 을 포함하는 가스 분배 플레이트 어셈블리는 소결 전에 그린 상태에서 머시닝된다. 이는 균일한 가스 분배를 위해 요구되는 목표된 수의 쓰루홀들 또는 슬롯들로 하여금 고온 압축 제작된 부분을 머시닝하는 시간 및 비용의 일부에서 머시닝되게 한다. 그린 상태에서 머시닝된 피처들의 정확도는 소결-후 머시닝이 세라믹 가스 분배 플레이트 (100) 의 전체 머시닝의 일부로 제한되기에 충분히 유지된다.
이제 도 7을 참조하면, 프로세싱 챔버 (300) 의 예가 도시된다. 프로세싱 챔버 (300) 는 기판 지지부 (314) 에 인접하게 배열된 가스 분배 디바이스 (312) 를 포함한다. 일부 예들에서, 프로세싱 챔버 (300) 는 또 다른 프로세싱 챔버의 내부에 배열될 수도 있다. 페데스탈은 마이크로 프로세스 볼륨을 생성하는 위치로 기판 지지부 (314) 를 리프팅하도록 사용될 수도 있다. 가스 분배 디바이스 (312) 는 가스 분배 플레이트 (100) 를 포함한다.
플라즈마 생성기 (342) 는 RF 소스 (346) 및 매칭 및 분배 회로 (348) 를 포함한다. 플라즈마 생성기 (342) 는 플라즈마를 생성하도록 가스 분배 플레이트 (100) 의 전극에 RF 전력을 제공한다.
가스 전달 시스템 (360) 은 프로세싱 챔버 (300) 으로 하나 이상의 프로세스 가스들, 퍼지 가스들, 등을 공급하도록 제공될 수도 있다. 가스 전달 시스템 (360) 은 대응하는 질량 유량 제어기들 (MFCs) (366), 밸브들 (370) 및 매니폴드 (372) 와 유체로 연통하는 하나 이상의 가스 소스들 (364) 을 포함할 수도 있다. 매니폴드 (372) 는 제 1 캐비티 (356) 와 유체로 연통한다. 가스 전달 시스템 (360) 은 하나 이상의 가스들을 포함하는 가스 혼합물의 매니폴드 (372) 로의 전달을 계측한다. 프로세스 가스들은 프로세싱 챔버 (300) 로의 전달 전에 매니폴드 (372) 내에서 혼합될 수도 있다.
가스 분배 플레이트 (100) 는 아이솔레이터 (332) 상에 놓일 수도 있다. 일부 예들에서, 아이솔레이터 (332) 는 Al2O3 또는 또 다른 적합한 재료로 이루어질 수도 있다. 상부 부분 (320) 은 제 1 캐비티 (356) 를 규정하는 중앙 섹션 (352) 을 포함할 수도 있다. 일부 예들에서, 중앙 섹션 (352) 은 Al2O3 또는 또 다른 적합한 재료로 이루어진다. 상부 부분 (320) 은 또한 중앙 섹션 (352) 둘레에 배열된 방사상 외측 섹션 (380) 을 포함한다. 방사상 외측 섹션 (380) 은 하나 이상의 층들 (382-1, 382-2, ..., 및 382-N) (집합적으로 층들 (382)) 을 포함할 수도 있고, 여기서 N은 0보다 큰 정수이다. 도 7의 예에서, 방사상 외측 섹션 (380) 은, 배기 및 가스 커튼 캐비티들을 규정하는 N=3인 층들 (382) 을 포함하지만, 추가의 또는 보다 적은 층들이 사용될 수도 있다.
중앙 섹션 (352) 및 방사상 외측 섹션 (380) 은 제 2 캐비티 (390) 를 규정하도록 가스 분배 플레이트 (100) 에 대해 이격된 관계로 배열된다.
프로세스 가스는 가스 전달 시스템 (360) 으로부터 제 1 캐비티 (356) 를 통해 제 2 캐비티 (390) 로 흐른다. 제 2 캐비티 (390) 내의 프로세스 가스들은 기판 지지부 (314) 상에 배열된 기판에 걸쳐 균일하게 프로세스 가스를 분배하도록 가스 분배 플레이트 (100) 내로 제 1 복수의 쓰루홀들 (120) 을 통해 흐른다. 일부 예들에서, 기판 지지부 (314) 은 가열된다.
일부 예들에서, 가스 분배 플레이트 (100) 는 프로세스 가스가 기판에 노출된 후에 가스 분배 플레이트 (100) 를 통한 프로세스 가스 플로우 백 (flow back) 을 위한 배기 경로를 제공하도록 제 2 복수의 쓰루홀들 (128) 을 포함한다. 제 2 복수의 쓰루홀들 (128) 은 가스 분배 플레이트 (100) 의 외연 둘레에 배열될 수도 있다.
하나 이상의 환형 시일부들이 제 2 캐비티의 상이한 부분들을 분리하도록 제공될 수도 있다. 일부 예들에서, 환형 시일부들은 니켈 도금된 환형 시일부들이다. 예를 들어, 제 1 환형 시일부 (404) 및 제 2 환형 시일부 (408) 는 각각 제 2 캐비티 (390) 의 공급부 (410), 제 2 캐비티 (390) 의 배기부 (412), 및 가스 커튼부 (414) 사이의 경계들을 각각 규정하도록 제공될 수도 있다.
이 예에서, 제 1 환형 시일부 (404) 는 공급부 (410) 와 배기부 (412) 사이의 경계를 규정한다. (제 2 환형 시일부 (408) 와 함께) 제 3 환형 시일부 (420) 는 제 2 캐비티 (390) 의 가스 커튼부 (414) 를 규정하도록 제공될 수도 있다. 이 예에서, 제 2 환형 시일부 (408) 는 제 2 캐비티 (390) 의 배기부 (412) 와 가스 커튼부 (414) 사이의 경계를 규정한다. 제 1 환형 시일부 (404), 제 2 환형 시일부 (408) 및 제 3 환형 시일부 (420) 는 각각 환형 금속 시일부들을 포함할 수도 있다.
방사상 외측 섹션 (380) 은 제 2 캐비티 (390) 의 배기부 (412) 로부터의 배기 가스를 수용하는 배기 유입부들 (440) 및 배기 캐비티들 (442) 을 더 규정한다. 밸브 (450) 및 펌프 (452) 는 배기부 (412) 를 배출시키도록 사용될 수도 있다. 방사상 외측 섹션 (380) 은 또한 제 2 캐비티 (390) 의 가스 커튼부 (414) 로 퍼지 가스를 공급하는 가스 커튼 캐비티 (460) 및 가스 커튼 유출부 (462) 를 규정한다. 가스 소스 (470) 및 밸브 (472) 는 가스 커튼부 (414) 에 공급된 퍼지 가스를 제어하도록 사용될 수도 있다.
제 3 환형 시일부 (420) 는 또한 플라즈마 생성기 (342) 로부터 가스 분배 플레이트 (100) 내에 임베딩된 전극 (160) 으로 전기적 접속을 제공할 수도 있지만, 전극 (160) 을 접속하기 위한 다른 방법들이 사용될 수도 있다.
제어기 (480) 는 센서들을 사용하여 시스템 파라미터들을 모니터링하고 가스 전달 시스템 (360), 플라즈마 생성기 (342) 및 다른 컴포넌트들의 프로세스를 제어하도록 사용될 수도 있다.
가스 분배 디바이스 내의 가스 홀들의 특정한 기하학적 구조는 구체적인 프로세스 조건들에 대해 선택될 수도 있다. 주어진 프로세스 조건에 대해, HCD를 지속시키기 위해 요구되는 최소 및 최대 직경은 분석적으로 추정되거나 경험적으로 결정될 수 있다. 본 개시에 따라, 가스 분배 디바이스의 대면 플레이트의 홀들은 (i) HCD를 지속시킬 수 있는 최소 직경보다 보다 작은 가스 홀들을 만들거나, (ii) HCD를 지속시킬 수 있는 최대 직경보다 보다 큰 가스 홀들을 만드는 대신 결합된 방식을 사용한다.
본 개시에 따른 가스 분배 디바이스의 대면 플레이트의 일부 홀들은 슬롯-형상이고 HCD를 지속시킬 최소 크기 치수보다 작은 제 1 크기 치수 (예컨대 폭) 및 HCD를 지속시킬 최소 크기 치수보다 큰 제 2 크기 치수 (예컨대 길이) 를 갖는다. 즉, 크기 치수들 중 하나는 HCD를 방지하도록 사용되고 크기 치수들 중 다른 하나는 그렇지 않으면 원형 홀들이 사용될 때 필요한 홀들의 수를 감소시키기 위해 상승된다.
일부 예들에서, 슬롯의 제 1 크기 치수는 2개 또는 3개의 플라즈마 시스 두께들보다 보다 작다. 일부 예들에서, 슬롯의 제 2 크기 치수는 제 1 크기 치수보다 보다 크다. 일부 예들에서, 슬롯의 제 2 크기 치수는 제 1 크기 치수보다 2 내지 10배 크다. 단지 예를 들면, 슬롯들은 0.04 인치의 제 1 크기 치수 및 0.4 인치의 제 2 크기 치수를 가질 수도 있다.
원형 홀을 통한 플로우 전도는 대략
Figure 112016016975379-pat00001
로 근사화될 수 있고, 여기서 d는 원형 홀의 직경이다. 반대로, 층류 (laminar flow) 및 동일한 두께를 갖는 좁은 슬롯의 플로우 전도는 대략
Figure 112016016975379-pat00002
로 근사화될 수 있고, 여기서 a는 길이이고 b는 폭이다. 제 2 크기 치수가 제 1 크기 치수의 2배이면, 전도는 제 1 치수의 직경들을 갖는 2개의 홀들의 2.4배일 것이다. 전술한 바로부터 알 수 있는 바와 같이, (원형 홀에 대한) 일 슬롯의 제 2 크기 치수를 2배로 하는 것은 동일한 원래 폭을 갖는 2개의 원형 홀들에 대한 전도를 상당히 개선한다. 부가적인 개선은 제 1 크기 치수에 대한 제 2 크기 치수의 보다 큰 증가를 갖는 것을 알 수 있다.
본 개시에 따른 대면 플레이트의 적어도 일부 홀들의 기하학적 구조는, 마주보는 (opposing) 벽들의 근접도로 인해 플라즈마 시스가 들어갈 수 없도록 슬롯된 형상을 갖는다. 슬롯들의 폭 및 길이는 특정한 프로세스에 대해 사용될 압력, 반응물질 종, 및 플라즈마 전력에 기초하여 결정될 수도 있다. 일부 예들에서, 슬롯들은 대면 플레이트의 방사상 선들과 정렬된 슬롯의 길이를 갖고 배열된다. 다른 예들에서, 슬롯들은 방사상 선들을 가로지르는 슬롯들의 길이를 갖고 또는 방사상 선들에 대해 다른 각도로 배열된다.
대면 플레이트가 본 명세서에 기술된 바와 같이 슬롯-형상의 홀들을 갖고 HCD를 갖지 않는 대면 플레이트들와 유사한 플로우 전도를 생성하기 위해, 대면 플레이트는 (각각 2개 또는 3개의 플라즈마 시스 두께들보다 보다 작은 직경을 갖는) 매우 큰 수의 원형 홀들을 요구할 것이다. 큰 수의 홀들은 통상적으로 비싼, 비용이 많이 드는 머시닝을 요구한다. 보다 적은 슬롯들이 보다 큰 수의 홀들과 동일한 결과들을 생성하도록 요구받기 때문에, 대면 플레이트는 제작이 보다 저렴하고, 또한 보다 광범위한 재료들로 이루어질 수 있다.
전술한 기술은 본질적으로 단순히 예시적이고 어떠한 방식으로도 본 개시, 이의 애플리케이션, 또는 용도를 제한하도록 의도되지 않는다. 본 개시의 광범위한 교시들은 다양한 형태들로 구현될 수 있다. 따라서, 본 개시는 특별한 예들을 포함하지만, 본 개시의 진정한 범위는 다른 수정들이 도면들, 명세서, 및 이하의 청구항들을 연구함으로써 명백해질 것이기 때문에 그렇게 제한되지 않아야 한다. 본 명세서에서 사용된 바와 같이, 구 A, B, 및 C 중 적어도 하나는 비배타적인 논리 OR를 사용하여, 논리적으로 (A 또는 B 또는 C) 를 의미하는 것으로 해석되어야 하고, "적어도 하나의 A, 적어도 하나의 B, 및 적어도 하나의 C"를 의미하도록 해석되지 않아야 한다. 방법 내에서 하나 이상의 단계들은 본 개시의 원리를 변경하지 않고 다른 순서로 (또는 동시에) 실행될 수도 있다는 것이 이해되어야 한다.
일부 구현예들에서, 제어기는 상술한 예들의 일부일 수도 있는 시스템의 일부이다. 이러한 시스템들은, 프로세싱 툴 또는 툴들, 챔버 또는 챔버들, 프로세싱용 플랫폼 또는 플랫폼들, 및/또는 특정 프로세싱 컴포넌트들 (웨이퍼 페데스탈, 가스 플로우 시스템, 등) 을 포함하는, 반도체 프로세싱 장비를 포함할 수 있다. 이들 시스템들은 반도체 웨이퍼 또는 기판의 프로세싱 이전에, 프로세싱 동안에 그리고 프로세싱 이후에 그들의 동작을 제어하기 위한 전자장치에 통합될 수도 있다. 전자장치는 시스템 또는 시스템들의 다양한 컴포넌트들 또는 하위부품들을 제어할 수도 있는 "제어기"로서 지칭될 수도 있다. 제어기는, 시스템의 프로세싱 요건들 및/또는 타입에 따라서, 프로세싱 가스들의 전달, 온도 설정사항들 (예를 들어, 가열 및/또는 냉각), 압력 설정사항들, 진공 설정사항들, 전력 설정사항들, 무선 주파수 (RF) 생성기 설정사항들, RF 매칭 회로 설정사항들, 주파수 설정사항들, 플로우 레이트 설정사항들, 유체 전달 설정사항들, 위치 및 동작 설정사항들, 툴 및 다른 이송 툴들 및/또는 특정 시스템과 연결되거나 인터페이싱된 로드록들 내외로의 웨이퍼 이송들을 포함하는, 본 명세서에 개시된 프로세스들 중 임의의 프로세스를 제어하도록 프로그램될 수도 있다.
일반적으로 말하면, 제어기는 인스트럭션들을 수신하고 인스트럭션들을 발행하고 동작을 제어하고 세정 동작들을 인에이블하고, 엔드포인트 측정들을 인에이블하는 등을 하는 다양한 집적 회로들, 로직, 메모리, 및/또는 소프트웨어를 갖는 전자장치로서 규정될 수도 있다. 집적 회로들은 프로그램 인스트럭션들을 저장하는 펌웨어의 형태의 칩들, 디지털 신호 프로세서들 (DSP), ASIC (application specific integrated circuit) 으로서 규정되는 칩들 및/또는 프로그램 인스트럭션들 (예를 들어, 소프트웨어) 을 실행하는 하나 이상의 마이크로프로세서들, 또는 마이크로제어기들을 포함할 수도 있다. 프로그램 인스트럭션들은 반도체 웨이퍼 상에서 또는 반도체 웨이퍼에 대한 특정 프로세스를 실행하기 위한 동작 파라미터들을 규정하는, 다양한 개별 설정사항들 (또는 프로그램 파일들) 의 형태로 제어기로 또는 시스템으로 전달되는 인스트럭션들일 수도 있다. 일부 실시예들에서, 동작 파라미터들은 하나 이상의 층들, 재료들, 금속들, 옥사이드들, 실리콘, 실리콘 다이옥사이드, 표면들, 회로들, 및/또는 웨이퍼의 다이들의 제조 동안에 하나 이상의 프로세싱 단계들을 달성하도록 프로세스 엔지니어들에 의해서 규정된 레시피의 일부일 수도 있다.
제어기는, 일부 구현예들에서, 시스템에 통합되거나, 시스템에 커플링되거나, 이와 달리 시스템에 네트워킹되거나, 또는 이들의 조합으로 될 수 있는 컴퓨터에 커플링되거나 이의 일부일 수도 있다. 예를 들어, 제어기는 웨이퍼 프로세싱의 원격 액세스를 가능하게 할 수 있는 공장 (fab) 호스트 컴퓨터 시스템의 전부 또는 일부이거나 "클라우드" 내에 있을 수도 있다. 컴퓨터는 제조 동작들의 현 진행을 모니터링하고, 과거 제조 동작들의 이력을 조사하고, 복수의 제조 동작들로부터 경향들 또는 성능 계측치들을 조사하고, 현 프로세싱의 파라미터들을 변경하고, 현 프로세싱을 따르는 프로세싱 단계들을 설정하고, 또는 새로운 프로세스를 시작하기 위해서 시스템으로의 원격 액세스를 인에이블할 수도 있다. 일부 예들에서, 원격 컴퓨터 (예를 들어, 서버) 는 로컬 네트워크 또는 인터넷을 포함할 수도 있는 네트워크를 통해서 프로세스 레시피들을 시스템에 제공할 수 있다. 원격 컴퓨터는 차후에 원격 컴퓨터로부터 시스템으로 전달될 파라미터들 및/또는 설정사항들의 입력 또는 프로그래밍을 가능하게 하는 사용자 인터페이스를 포함할 수도 있다. 일부 예들에서, 제어기는 하나 이상의 동작들 동안에 수행될 프로세스 단계들 각각에 대한 파라미터들을 특정한, 데이터의 형태의 인스트럭션들을 수신한다. 이 파라미터들은 제어기가 제어하거나 인터페이싱하도록 구성된 툴의 타입 및 수행될 프로세스의 타입에 특정적일 수도 있다는 것이 이해되어야 한다. 따라서, 상술한 바와 같이, 제어기는 예를 들어 서로 네트워킹되어서 공통 목적을 위해서, 예를 들어 본 명세서에 기술된 프로세스들 및 제어들을 위해서 협력하는 하나 이상의 개별 제어기들을 포함함으로써 분산될 수도 있다. 이러한 목적들을 위한 분산형 제어기의 예는 챔버 상의 프로세스를 제어하도록 조합되는, (예를 들어, 플랫폼 레벨에서 또는 원격 컴퓨터의 일부로서) 원격으로 위치한 하나 이상의 집적 회로들과 통신하는 챔버 상의 하나 이상의 집적 회로들일 수 있다.
비한정적으로, 예시적인 시스템들은 플라즈마 에칭 챔버 또는 모듈, 증착 챔버 또는 모듈, 스핀-린스 챔버 또는 모듈, 금속 도금 챔버 또는 모듈, 세정 챔버 또는 모듈, 베벨 에지 에칭 챔버 또는 모듈, PVD (physical vapor deposition) 챔버 또는 모듈, CVD (chemical vapor deposition) 챔버 또는 모듈, ALD (atomic layer deposition) 챔버 또는 모듈, ALE (atomic layer etch) 챔버 또는 모듈, 이온 주입 챔버 또는 모듈, 트랙 (track) 챔버 또는 모듈, 및 반도체 웨이퍼들의 제조 및/또는 제작 시에 사용되거나 연관될 수도 있는 임의의 다른 반도체 프로세싱 시스템들을 포함할 수도 있다.
상술한 바와 같이, 툴에 의해서 수행될 프로세스 단계 또는 단계들에 따라서, 제어기는, 반도체 제작 공장 내의 툴 위치들 및/또는 로드 포트들로부터/로 웨이퍼들의 컨테이너들을 이동시키는 재료 이송 시에 사용되는, 다른 툴 회로들 또는 모듈들, 다른 툴 컴포넌트들, 클러스터 툴들, 다른 툴 인터페이스들, 인접 툴들, 이웃하는 툴들, 공장 도처에 위치한 툴들, 메인 컴퓨터, 또 다른 제어기 또는 툴들 중 하나 이상과 통신할 수도 있다.

Claims (22)

  1. 기판 프로세싱 시스템을 위한 가스 분배 플레이트를 제작하기 위한 방법에 있어서,
    (a) 가스 분배 플레이트의 세라믹 하부 부분을 생성하는 단계로서, 상기 세라믹 하부 부분은 복수의 세라믹 그린 (green) 시트들을 포함하는, 상기 세라믹 하부 부분을 생성하는 단계;
    (b) 상기 가스 분배 플레이트의 세라믹 상부 부분을 생성하는 단계로서, 상기 세라믹 상부 부분은 복수의 세라믹 그린 시트들을 포함하는, 상기 세라믹 상부 부분을 생성하는 단계;
    (c) 상기 세라믹 하부 부분 및 상기 세라믹 상부 부분이 그린 상태에 있는 동안, 금속 스크린 인쇄 프로세스를 사용하여 상기 세라믹 하부 부분의 상부 표면 및 상기 세라믹 상부 부분의 하부 표면 중 적어도 하나 상에 전극을 인쇄하는 단계;
    (d) 상기 세라믹 하부 부분 및 상기 세라믹 상부 부분이 그린 상태에 있는 동안, 상기 가스 분배 플레이트의 상기 세라믹 하부 부분 및 상기 세라믹 상부 부분을 통해 제 1 복수의 쓰루홀들을 머시닝하는 단계;
    (e) 상기 세라믹 하부 부분 및 상기 세라믹 상부 부분을 통해 제 2 복수의 쓰루홀들을 머시닝하는 단계; 및
    (f) (e) 단계 이후, 상기 가스 분배 플레이트를 형성하기 위해 상기 세라믹 상부 부분 및 상기 세라믹 하부 부분을 소결하는 단계를 포함하며,
    상기 제 2 복수의 쓰루홀들은 상기 제 1 복수의 쓰루홀들의 방사상 외측에 배열되도록 구성되는, 가스 분배 플레이트를 제작하기 위한 방법.
  2. 제 1 항에 있어서,
    상기 (c) 단계는, 상기 전극에 홀들을 인쇄하는 단계, 를 포함하며,
    상기 (d) 단계는, 상기 세라믹 상부 부분, 상기 세라믹 하부 부분 및 상기 전극의 홀들을 통해 상기 제 1 복수의 쓰루홀들 및 상기 제 2 복수의 쓰루홀들을 머시닝하는 단계, 를 포함하는, 가스 분배 플레이트를 제작하기 위한 방법.
  3. 제 1 항에 있어서,
    상기 전극은 상기 세라믹 상부 부분 및 상기 세라믹 하부 부분의 열 팽창 계수와 매칭하는 열 팽창 계수를 갖는 재료로 이루어지는, 가스 분배 플레이트를 제작하기 위한 방법.
  4. 제 1 항에 있어서,
    상기 전극은 몰리브덴으로 이루어지는, 가스 분배 플레이트를 제작하기 위한 방법.
  5. 제 1 항에 있어서,
    상기 전극은 텅스텐으로 이루어지는, 가스 분배 플레이트를 제작하기 위한 방법.
  6. 제 1 항에 있어서,
    상기 세라믹 그린 시트들은 알루미늄 나이트라이드 (AlN), 알루미늄 옥사이드 (Al2O3), 실리콘 나이트라이드 (Si3N4), 이트륨 옥사이드 (Y2O3), 지르코늄 옥사이드 (ZrO2) 및 이들의 합성물들로 이루어진 그룹으로부터 선택된 재료로 이루어지는, 가스 분배 플레이트를 제작하기 위한 방법.
  7. 삭제
  8. 제 1 항에 있어서,
    상기 제 2 복수의 쓰루홀들은 상기 제 1 복수의 쓰루홀들과 상이한 형상을 갖는, 가스 분배 플레이트를 제작하기 위한 방법.
  9. 제 1 항에 있어서,
    상기 제 2 복수의 쓰루홀들은 슬롯-형상이고, 상기 제 1 복수의 쓰루홀들은 원형인, 가스 분배 플레이트를 제작하기 위한 방법.
  10. 제 9 항에 있어서,
    상기 가스 분배 플레이트의 상기 제 1 복수의 쓰루홀들을 통해 상기 가스 분배 플레이트의 기판측으로 가스를 통과시키는 단계; 및
    상기 제 2 복수의 쓰루홀들을 사용하여 상기 가스 분배 플레이트의 상기 기판측으로부터 가스를 배기하는 단계를 더 포함하는, 가스 분배 플레이트를 제작하기 위한 방법.
  11. 제 9 항에 있어서,
    상기 제 1 복수의 쓰루홀들은 3개의 플라즈마 시스 두께들보다 보다 작은 직경을 갖고, 상기 제 2 복수의 쓰루홀들은 3개의 플라즈마 시스 두께들보다 보다 작은 폭 및 상기 폭의 2 내지 10 배인 길이를 갖는, 가스 분배 플레이트를 제작하기 위한 방법.
  12. 기판 프로세싱 시스템을 위한 가스 분배 플레이트에 있어서,
    복수의 세라믹 그린 시트들을 포함하는 가스 분배 플레이트의 세라믹 하부 부분;
    복수의 세라믹 그린 시트들을 포함하는 상기 가스 분배 플레이트의 세라믹 상부 부분;
    상기 세라믹 하부 부분 및 상기 세라믹 상부 부분이 그린 상태에 있는 동안, 금속 스크린 인쇄를 사용하여 상기 세라믹 하부 부분의 상부 표면 및 상기 세라믹 상부 부분의 하부 표면 중 적어도 하나 상에 인쇄된 전극;
    상기 세라믹 하부 부분 및 상기 세라믹 상부 부분이 그린 상태에 있는 동안, 소결 전에 상기 가스 분배 플레이트의 상기 세라믹 하부 부분 및 상기 세라믹 상부 부분을 통해 머시닝된 제 1 복수의 쓰루홀들; 및
    상기 세라믹 하부 부분 및 상기 세라믹 상부 부분이 상기 그린 상태에 있는 동안, 소결 전에 상기 세라믹 하부 부분 및 상기 세라믹 상부 부분을 통해 머시닝된 제 2 복수의 쓰루홀들; 을 포함하며,
    상기 제 2 복수의 쓰루홀들은 상기 제 1 복수의 쓰루홀들의 방사상 외측에 배열되도록 구성되는, 기판 프로세싱 시스템을 위한 가스 분배 플레이트.
  13. 제 12 항에 있어서,
    상기 전극은 홀들을 포함하며,
    상기 제1 복수의 쓰루홀들 및 상기 제2 복수의 쓰루홀들은,
    상기 세라믹 하부 부분 및 상기 세라믹 상부 부분이 상기 그린 상태에 있는 동안, 상기 세라믹 하부 부분, 상기 세라믹 상부 부분 및 상기 전극의 홀들을 통해 머시닝되는, 기판 프로세싱 시스템을 위한 가스 분배 플레이트.
  14. 제 12 항에 있어서,
    상기 전극은 상기 세라믹 상부 부분 및 상기 세라믹 하부 부분의 열 팽창 계수와 매칭하는 열 팽창 계수를 갖는 재료로 이루어지는, 기판 프로세싱 시스템을 위한 가스 분배 플레이트.
  15. 제 12 항에 있어서,
    상기 전극은 몰리브덴으로 이루어지는, 기판 프로세싱 시스템을 위한 가스 분배 플레이트.
  16. 제 12 항에 있어서,
    상기 전극은 텅스텐으로 이루어지는, 기판 프로세싱 시스템을 위한 가스 분배 플레이트.
  17. 제 12 항에 있어서,
    상기 세라믹 그린 시트들은 알루미늄 나이트라이드 (AlN), 알루미늄 옥사이드 (Al2O3), 실리콘 나이트라이드 (Si3N4), 이트륨 옥사이드 (Y2O3), 지르코늄 옥사이드 (ZrO2) 및 이들의 합성물들로 이루어진 그룹으로부터 선택된 재료로 이루어지는, 기판 프로세싱 시스템을 위한 가스 분배 플레이트.
  18. 삭제
  19. 제 12 항에 있어서,
    상기 제 2 복수의 쓰루홀들은 상기 제 1 복수의 쓰루홀들과 상이한 형상을 갖는, 기판 프로세싱 시스템을 위한 가스 분배 플레이트.
  20. 제 12 항에 있어서,
    상기 제 2 복수의 쓰루홀들은 슬롯-형상이고, 상기 제 1 복수의 쓰루홀들은 원형인, 기판 프로세싱 시스템을 위한 가스 분배 플레이트.
  21. 제 12 항에 있어서,
    상기 제 1 복수의 쓰루홀들은 3개의 플라즈마 시스 두께들보다 보다 작은 직경을 갖고,
    상기 제 2 복수의 쓰루홀들은 3개의 플라즈마 시스 두께들보다 보다 작은 폭 및 상기 폭의 2 내지 10배인 길이를 갖는, 기판 프로세싱 시스템을 위한 가스 분배 플레이트.
  22. 기판 프로세싱 시스템에 있어서,
    제 12 항에 기재된 상기 가스 분배 플레이트;
    프로세싱 챔버;
    플라즈마 생성기; 및
    페데스탈을 포함하고,
    상기 프로세싱 챔버는 400 ℃보다 높은 온도에서 동작하는, 기판 프로세싱 시스템.
KR1020160001369A 2015-01-12 2016-01-06 임베딩된 전극을 갖는 세라믹 가스 분배 플레이트 KR102468008B1 (ko)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020220133865A KR102626481B1 (ko) 2015-01-12 2022-10-18 임베딩된 전극을 갖는 세라믹 가스 분배 플레이트

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/594,423 US10217614B2 (en) 2015-01-12 2015-01-12 Ceramic gas distribution plate with embedded electrode
US14/594,423 2015-01-12

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020220133865A Division KR102626481B1 (ko) 2015-01-12 2022-10-18 임베딩된 전극을 갖는 세라믹 가스 분배 플레이트

Publications (2)

Publication Number Publication Date
KR20160086770A KR20160086770A (ko) 2016-07-20
KR102468008B1 true KR102468008B1 (ko) 2022-11-16

Family

ID=56368019

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020160001369A KR102468008B1 (ko) 2015-01-12 2016-01-06 임베딩된 전극을 갖는 세라믹 가스 분배 플레이트
KR1020220133865A KR102626481B1 (ko) 2015-01-12 2022-10-18 임베딩된 전극을 갖는 세라믹 가스 분배 플레이트

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020220133865A KR102626481B1 (ko) 2015-01-12 2022-10-18 임베딩된 전극을 갖는 세라믹 가스 분배 플레이트

Country Status (4)

Country Link
US (1) US10217614B2 (ko)
JP (1) JP6739940B2 (ko)
KR (2) KR102468008B1 (ko)
TW (1) TWI736527B (ko)

Families Citing this family (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9490149B2 (en) * 2013-07-03 2016-11-08 Lam Research Corporation Chemical deposition apparatus having conductance control
US10077497B2 (en) * 2014-05-30 2018-09-18 Lam Research Corporation Hollow cathode discharge (HCD) suppressing capacitively coupled plasma electrode and gas distribution faceplate
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10497542B2 (en) * 2016-01-04 2019-12-03 Daniel T. Mudd Flow control showerhead with integrated flow restrictors for improved gas delivery to a semiconductor process
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
JP6994502B2 (ja) * 2016-08-26 2022-01-14 アプライド マテリアルズ インコーポレイテッド プラズマ処理チャンバ用プラズマスクリーン
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9824884B1 (en) 2016-10-06 2017-11-21 Lam Research Corporation Method for depositing metals free ald silicon nitride films using halide-based precursors
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US20190032211A1 (en) * 2017-07-28 2019-01-31 Lam Research Corporation Monolithic ceramic gas distribution plate
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US10920319B2 (en) * 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005175508A (ja) * 2000-01-20 2005-06-30 Sumitomo Electric Ind Ltd 半導体製造装置用ガスシャワー体

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4590042A (en) * 1984-12-24 1986-05-20 Tegal Corporation Plasma reactor having slotted manifold
US5800618A (en) * 1992-11-12 1998-09-01 Ngk Insulators, Ltd. Plasma-generating electrode device, an electrode-embedded article, and a method of manufacturing thereof
JP3132214B2 (ja) * 1993-01-14 2001-02-05 株式会社村田製作所 セラミック多層回路部品の製造方法およびセラミックグリーンシートの取扱装置
JP3602908B2 (ja) * 1996-03-29 2004-12-15 京セラ株式会社 ウェハ保持部材
JP3535309B2 (ja) * 1996-04-10 2004-06-07 東京エレクトロン株式会社 減圧処理装置
WO2004114728A1 (ja) * 2003-06-20 2004-12-29 Ngk Insulators, Ltd. プラズマ発生電極及びプラズマ発生装置、並びに排気ガス浄化装置
JP2008294017A (ja) * 2007-05-22 2008-12-04 Sumitomo Electric Ind Ltd シャワーヘッド及びそれを搭載した半導体製造装置
JP4586831B2 (ja) 2007-08-08 2010-11-24 Tdk株式会社 セラミックグリーンシート構造、及び、積層セラミック電子部品の製造方法
US8702867B2 (en) * 2008-07-08 2014-04-22 Jusung Engineering Co., Ltd. Gas distribution plate and substrate treating apparatus including the same
JP2013098236A (ja) * 2011-10-28 2013-05-20 Kyocera Corp 配線基板ならびにその配線基板を備えた電子装置および電子モジュール装置
US9449795B2 (en) 2013-02-28 2016-09-20 Novellus Systems, Inc. Ceramic showerhead with embedded RF electrode for capacitively coupled plasma reactor

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005175508A (ja) * 2000-01-20 2005-06-30 Sumitomo Electric Ind Ltd 半導体製造装置用ガスシャワー体

Also Published As

Publication number Publication date
US10217614B2 (en) 2019-02-26
US20160203952A1 (en) 2016-07-14
KR20220147552A (ko) 2022-11-03
TW201637837A (zh) 2016-11-01
KR102626481B1 (ko) 2024-01-17
TWI736527B (zh) 2021-08-21
JP2016188424A (ja) 2016-11-04
JP6739940B2 (ja) 2020-08-12
KR20160086770A (ko) 2016-07-20

Similar Documents

Publication Publication Date Title
KR102626481B1 (ko) 임베딩된 전극을 갖는 세라믹 가스 분배 플레이트
JP7453149B2 (ja) セラミックベースプレートを備えるマルチプレート静電チャック
KR102525777B1 (ko) 기생 플라즈마를 억제하고 웨이퍼-내 불균일성을 감소시키기 위한 시스템들 및 방법들
US11605546B2 (en) Moveable edge coupling ring for edge process control during semiconductor wafer processing
JP6878616B2 (ja) ボトムおよびミドルエッジリング
KR102521717B1 (ko) 아킹 (arcing) 을 감소시키기 위한 헬륨 플러그 설계
TWI810138B (zh) 可運動的邊緣環設計
KR102430432B1 (ko) 개방 볼륨 이퀄라이제이션 통로들 및 측면 밀폐부를 가진 평면형 기판 에지 콘택트
US11133211B2 (en) Ceramic baseplate with channels having non-square corners
JP2017041631A (ja) 静電チャック用の凸形の内面を有する環状エッジシール
JP7470101B2 (ja) 寿命が延長された閉じ込めリング
US10096471B2 (en) Partial net shape and partial near net shape silicon carbide chemical vapor deposition
US20230253193A1 (en) Substrate support with uniform temperature across a substrate
US20230073259A1 (en) High temperature substrate support with heat spreader
WO2021126848A1 (en) Asymmetric purged block beneath wafer plane to manage non-uniformity

Legal Events

Date Code Title Description
AMND Amendment
A201 Request for examination
AMND Amendment
E902 Notification of reason for refusal
AMND Amendment
E701 Decision to grant or registration of patent right
A107 Divisional application of patent
AMND Amendment
X701 Decision to grant (after re-examination)
GRNT Written decision to grant