CN110088885A - 向下游室传送自由基和前体气体以实现远程等离子体膜沉积的有热控制的集成喷头 - Google Patents

向下游室传送自由基和前体气体以实现远程等离子体膜沉积的有热控制的集成喷头 Download PDF

Info

Publication number
CN110088885A
CN110088885A CN201780077684.7A CN201780077684A CN110088885A CN 110088885 A CN110088885 A CN 110088885A CN 201780077684 A CN201780077684 A CN 201780077684A CN 110088885 A CN110088885 A CN 110088885A
Authority
CN
China
Prior art keywords
spray head
treatment system
distribution cavity
room
lining treatment
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201780077684.7A
Other languages
English (en)
Other versions
CN110088885B (zh
Inventor
雷切尔·巴特泽
邱华檀
巴德里·瓦拉达拉简
帕特里克·吉拉德·布里林格
龚波
威尔·施洛塞尔
桂喆
谈太德
杰弗里·霍恩
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Priority to CN202311212678.1A priority Critical patent/CN117497451A/zh
Publication of CN110088885A publication Critical patent/CN110088885A/zh
Application granted granted Critical
Publication of CN110088885B publication Critical patent/CN110088885B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67075Apparatus for fluid treatment for etching for wet etching
    • H01L21/6708Apparatus for fluid treatment for etching for wet etching using mainly spraying means, e.g. nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45572Cooled nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/02Details
    • H01J37/20Means for supporting or positioning the objects or the material; Means for adjusting diaphragms or lenses associated with the support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32422Arrangement for selecting ions or species in the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32522Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68735Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge profile or support profile
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68757Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a coating or a hardness or a material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68785Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the mechanical construction of the susceptor, stage or support
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05CAPPARATUS FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05C13/00Means for manipulating or holding work, e.g. for separate articles
    • B05C13/02Means for manipulating or holding work, e.g. for separate articles for particular articles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4581Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber characterised by material of construction or surface finish of the means for supporting the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • H01J37/32642Focus rings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/6715Apparatus for applying a liquid, a resin, an ink or the like

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Plasma Technology (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

一种衬底处理系统包括第一室,该第一室包括衬底支撑件。喷头布置在所述第一室上方并被配置成过滤离子且将来自等离子体源的自由基输送到所述第一室。所述喷头包括:传热流体分配腔,其包括用于接收传热流体的入口和用于将所述传热流体引导穿过所述喷头的中心部分到达出口以控制所述喷头的温度的多个流动通道;辅助气体分配腔,其包括用于接收辅助气体的入口和用于将所述辅助气体注入所述第一室的多个辅助气体注入器;和穿过所述喷头的多个通孔。所述通孔不与所述传热流体分配腔连通,也不与所述辅助气体分配腔流体连通。

Description

向下游室传送自由基和前体气体以实现远程等离子体膜沉积 的有热控制的集成喷头
相关申请的交叉引用
本申请要求2016年12月14日提交的美国专利申请No.15/378,854的优先权。上述申请的全部公开内容通过引用并入本文。
技术领域
本公开涉及衬底处理系统,更具体地涉及包括向下游室传送自由基和前体气体的喷头的衬底处理系统。
背景技术
这里提供的背景描述是为了总体呈现本公开的背景的目的。在此背景技术部分以及在提交申请时不能确定为现有技术的描述的各方面中描述的范围内的当前指定的发明人的工作既不明确也不暗示地承认是针对本公开的现有技术。
衬底处理系统可用于在诸如半导体晶片之类的衬底上沉积膜。衬底处理系统通常包括处理室和衬底支撑件。在膜沉积期间,可以将自由基和前体气体供应到处理室。
例如,处理室可包括上室、下室和衬底支撑件。喷头可以布置在上室和下室之间。衬底布置在下室中的衬底支撑件上。将等离子体气体混合物供应到上室并且在上室中激励等离子体。等离子体产生的自由基中的一些通过喷头流到下室。喷头过滤离子并屏蔽紫外线以防止其到达下室。前体气体混合物通过喷头供应到下室,并与自由基反应以在衬底上沉积膜。
通常,喷头没有热控制系统。然而,在一些处理系统中,使用基本热控制系统来控制喷头的外边缘的温度,该外边缘可接近而不是在真空下。由于来自等离子体的热量,基本热控制系统不能均匀地控制整个喷头上的温度。换句话说,喷头中心的温度升高。随着例如等离子体开/关、压力、流速和/或基座温度等工艺变化,温度也会发生变化。喷头温度的变化不利地影响沉积工艺的均匀性和缺陷性能。
发明内容
一种衬底处理系统包括第一室,该第一室包括衬底支撑件。喷头布置在所述第一室上方并被配置成过滤离子且将来自等离子体源的自由基输送到所述第一室。所述喷头包括:传热流体分配腔,其包括用于接收传热流体的入口和用于将所述传热流体引导穿过所述喷头的中心部分到达出口以控制所述喷头的温度的多个流动通道;辅助气体分配腔,其包括用于接收辅助气体的入口和用于将所述辅助气体注入所述第一室的多个辅助气体注入器;和穿过所述喷头的多个通孔。所述通孔不与所述传热流体分配腔连通,也不与所述辅助气体分配腔流体连通。
在其他特征中,所述传热流体分配腔包括:与所述入口流体连通的第一分配腔。所述流动通道的第一端与所述第一分配腔连通。第二分配腔与所述流动通道的相对端流体连通。
在其他特征中,所述传热流体分配腔包括:与所述入口流体连通的第一分配腔;与所述流动通道的第一端流体连通的第二分配腔;第一多个限制装置,其布置在所述第一分配腔和所述第二分配腔之间,以限制其间的流体流动;与所述流动通道的相对端流体连通的第三分配腔;与所述出口流体连通的第四分配腔;和第二多个限制装置,其布置在所述第三分配腔和所述第四分配腔之间,以限制其间的流体流动。
在其他特征中,所述多个流动通道沿径向方向从所述喷头的一侧流动到所述喷头的相对侧。所述多个流动通道限定直的路径。所述多个流动通道限定弯曲路径。所述多个流动通道限定正弦形路径。
在其他特征中,所述辅助气体分配腔包括:第一分配腔;第二分配腔;和设置在所述第一分配腔和所述第二分配腔之间的流动限制装置。
在其他特征中,所述流通限制装置包括:第一多个壁;和在所述第一多个壁之间限定的多个缝隙。所述第一多个壁是弓形的。第二多个壁围绕所述第二分配腔中的所述通孔布置。所述第二多个壁是圆筒形的。
在其他特征中,所述辅助气体注入器与所述第二分配腔流体连通。多个限制装置布置在所述第二分配腔和所述辅助气体注入器之间。
在其他特征中,所述多个流动通道包括入口和出口。所述多个流动通道的所述入口布置在所述喷头的一侧上,所述多个流动通道的所述出口布置在所述一侧上在所述入口之间,并且所述多个流动通道连接到所述入口上,穿过所述喷头行进并返回穿过所述喷头到达所述出口。
在其他特征中,第二室布置在所述第一室上方。所述喷头布置在所述第一室和所述第二室之间。线圈围绕所述第二室布置。RF发生器连接到所述线圈以在所述第二室中产生等离子体。
在其他特征中,所述流动通道中的至少一个包括流动限制装置。所述传热流体包括液体。所述传热流体包括气体。所述传热流体不流入所述第一室。
在其他特征中,所述辅助气体注入器从所述喷头的底表面延伸预定距离,其中所述预定距离在0.1英寸至1.5英寸的范围内。所述通孔的直径在0.05英寸至0.3英寸的范围内。
在其他特征中,所述喷头包括圆筒形壁,所述圆筒形壁从所述喷头的底表面延伸并且位于所述多个通孔和所述多个辅助气体注入器的径向外侧。所述喷头包括圆筒形壁,所述圆筒形壁从所述喷头的顶表面向上延伸,并且位于所述多个通孔和所述多个辅助气体注入器的径向外侧。
在其他特征中,第一O形环布置在所述喷头的顶表面和所述上室之间;以及第二O形环布置在所述喷头的所述底表面和所述下室之间。
根据详细描述、权利要求和附图,本公开的其他适用领域将变得显而易见。详细描述和具体示例仅意图用于说明的目的,并不旨在限制本公开的范围。
附图说明
根据详细描述和附图将更充分地理解本公开,其中:
图1是根据本公开的包括喷头的衬底处理室的示例的功能框图。
图2A是根据本公开的喷头的示例的底部透视图;
图2B是示出根据本公开的用于接收O形环的凹槽的侧剖视图;
图3是根据本公开的喷头的示例的顶部透视图;
图4A是示出根据本公开的喷头的示例的底表面的平面图;
图4B是示出根据本公开的布置在辅助气体注入器周围的多个通孔的示例的平面图;
图4C是示出根据本公开的布置在辅助气体注入器周围的多个通孔的另一示例的平面图;
图5A是根据本公开的喷头的示例的侧剖视图;
图5B是示出由多个相邻层形成的喷头的示例的侧剖视图;
图6是根据本公开的喷头的另一示例的放大侧剖视图;
图7是根据本公开的图6的喷头的侧剖视图;
图8A是根据本公开的包括向下突出的壁的喷头的另一示例的放大侧剖视图;
图8B是根据本公开的包括向上突出的壁的喷头的另一示例的放大侧剖视图;
图9是根据本公开的喷头的中间层的顶表面的示例的平面图;
图10示出了根据本公开的具有限制装置的通道的示例,所述限制装置用于控制流体通过通道的流动;
图11是根据本公开的喷头的中间层的底表面的示例的平面图;
图12是根据本公开的喷头的中间层的顶表面的另一示例的平面图,该顶表面包括沿其一个边缘布置的交替的传热流体入口和出口对;
图13是根据本公开的图12中的喷头的中间层的底表面的平面图;以及
图14是图12和图13中的喷头的侧剖视图。
在附图中,可以重复使用附图标记来标识相似和/或相同的元件。
具体实施方式
本公开涉及一种衬底处理系统,其包括集成的嵌入式安装的喷头,该喷头输送来自远程等离子体源的均匀的自由基并过滤离子。喷头通过穿过喷头的中心部分向通道供应传热流体来提供均匀的温度控制,以保持均匀和受控的温度。喷头还向包括衬底的室提供均匀的前体气流输送。在一些示例中,衬底处理系统可用于沉积保形碳化物膜,但是也可以沉积其他类型的膜。
现在参考图1,衬底处理系统10包括上室20和下室30。虽然示出并描述了特定类型的衬底处理系统,但是也可以使用其他类型的衬底处理系统。虽然示出了电感耦合等离子体,但是也可以使用其他类型的等离子体产生,例如电容耦合等离子体、远程等离子体源或其他合适的等离子体发生器。
在一些示例中,上室20可以包括圆顶形室,但是也可以使用其他室形状。衬底支撑件34布置在下室30中。衬底36在衬底处理期间布置在衬底支撑件34上。喷头40布置在上室20和下室30之间。感应线圈42可以布置在上室20周围。
气体输送系统50-1可用于将包含等离子体气体的工艺气体混合物供应到上室20。气体输送系统50-1包括一个或多个气体源52-1、52-2、...、和52-N,阀54-1、...、和54-N,质量流量控制器(MFC)56-1、...、和56-N,以及歧管58,但也可以使用其他类型的气体输送系统(其中N是整数)。气体输送系统50-2将包含前体气体的工艺气体混合物输送到喷头40。
RF等离子体发生器66包括RF源70和匹配网络72。RF等离子体发生器66选择性地向感应线圈42提供RF功率(同时供应等离子体气体)以在上室20中产生等离子体62。
热控制系统86可用于将诸如气体或液体冷却剂的传热流体供应到喷头40以控制喷头40的温度。阀88和泵90可用于抽空反应物。
控制器94与气体输送系统50-1和50-2通信,以根据需要选择性地将处理气体供应到上室20和喷头40。控制器94与RF等离子体发生器66通信以产生和熄灭上室20中的等离子体。
控制器94与热控制系统86通信以控制用于控制喷头40的温度的传热流体的流速和温度。在一些示例中,传热流体可包括水、与乙二醇混合的水、全氟聚醚氟化流体或其他流体和/或一种或多种气体。在一些示例中,热控制系统86使用闭环控制来控制传热流体的流速或温度。在其他示例中,热控制系统86使用比例积分微分(PID)控制来控制流速和温度。传热流体可以在建筑水循环系统的开环系统中提供。在一些示例中,传热流体与真空室通过气密方式隔离开。
在一些示例中,控制器94可以连接到布置在喷头40中用于感测喷头40的一个或多个温度的一个或多个温度传感器(未示出)。在一些示例中,控制器94可以连接到布置在喷头40中用于感测处理室中的一个或多个压力的一个或多个压力传感器(未示出)。控制器94与阀88以及泵90通信,以控制上室20和下室30内的压力,并选择性地从其中排出反应物。
现在参考图2A-3,示出了喷头40的顶表面102、底表面104和侧表面108。在图2A中,喷头40包括多个间隔开的通孔110,通孔110在喷头的轴向中心部分或中心从喷头40的顶表面102通向喷头40的底表面104。在一些示例中,O形环111可以位于喷头40的底表面104和下室30之间,如图2B所示。凹槽113可以位于喷头40和下室30中的一个或两个上,以定位O形环111。
多个辅助气体注入器112供应来自喷头40的诸如前体气体之类的辅助气体。在一些示例中,辅助气体注入器112在喷头40的中心部分从喷头40的底表面104向下延伸。在一些示例中,辅助气体注入器112包括底表面104上的限制装置(未示出),以防止反向扩散并使气流从一个辅助气体注入器均匀地流到另一个。限制装置可能导致受到阻塞的流动条件。
在图3中,喷头40包括成对的热流体端口120,122,以用作入口和出口。喷头40可包含一个以上的热流体分配腔,其具有更多成对的端口。泄漏收集盘128可以布置在热流体端口120,122中的一个或两个周围。泄漏收集盘128可以布置在上室和下室的外部。泄漏收集盘128使得能进行泄漏检测。在一些示例中,O形环115可以位于喷头40的顶表面102和上室20之间。凹槽可以位于喷头40和上室20中的一个或两个上以与图2B所示的方式类似的方式定位O-环111。
现在参考图4A,喷头40的通孔110和辅助气体注入器112可以以多种图案布置。例如,图4A中所示的喷头40的通孔110和辅助气体注入器112可以具有偏移的三角形图案T。替代图案包括矩形、径向、六边形或螺旋图案,但是也可以使用其他图案。在一些示例中,辅助气体注入器112的间隔在0.25英寸至2英寸的范围内。在一些示例中,通孔110可以具有与辅助气体注入器的间隔相同的间隔,但是也可以使用不同的间隔,如图4B和4C所示。
在一些示例中,通孔110可以包括多个较小的通孔,这些通孔围绕每个辅助气体注入器112聚集,如图4B和图4C中的示例所示。围绕辅助气体注入器112的通孔110的布置可以如图4B所示是均匀的,或者如图4C所示不均匀。在一些示例中,通孔110-R位于喷头40的在辅助气体注入器的靠近喷头40的中心的一侧上的径向线上。
现在参考图5A-8B,示出了喷头40的侧剖视图。在图5A中,通孔110从喷头40的顶表面102通向其底表面104。一个或多个传热流体分配腔140位于一个或多个平面中,所述平面垂直于通孔110并且平行但偏离喷头40的上表面102。一个或多个辅助气体分配腔150位于一个或多个平面中,所述平面垂直于通孔110并且平行但偏离喷头40的下表面104和包括传热流体分配腔140的一个或多个平面。所示的配置是辅助气体分配腔上方的传热流体分配腔。可以反转分配腔,使得辅助气体分配腔位于传热流体分配腔上方。
一个或多个传热流体分配腔140连接到热流体端口120,122。一个或多个辅助气体分配腔150从辅助气体入口接收气体(图2A)并供应辅助气体流至辅助气体注入器112的流动通道152。
在一些示例中,辅助气体注入器112从喷头40的底表面延伸远离一预定距离,以减少喷头40上的膜沉积。在一些示例中,预定距离在0.1英寸至1.5英寸的范围内,但是也可以使用其他距离。在一些示例中,辅助气体注入器112包括限制装置以防止反向扩散并确保从一个辅助气体注入器到另一个辅助气体注入器的流动均匀性。在一些示例中,通孔110的直径在0.05英寸至0.3英寸的范围内。
在图5B中,喷头40可以由连接在一起的多层制成,包括顶层163、中间层165和底层167。可以添加更多层以创建额外的分配腔。在一些示例中,喷头40可以使用真空钎焊、钨惰性气体(TIG)焊接或电子束焊接来制造,以便以合理的成本实现复杂且独特的几何形状。真空钎焊连接允许将喷头加工成平板,凹槽切入在板中,每个板之间有钎焊层。焊接技术需要更复杂的子部件,以便焊接能进入所有需要密封的区域。可以加工柱和相应的孔以将密封区域提升到可以焊接的部件的表面。
在一些示例中,中间层165的顶表面限定一个或多个传热流体分配腔140,并且中间层165的底表面限定一个或多个辅助气体分配腔150。然而,顶层163的底表面可用于部分或完全限定一个或多个传热流体分配腔140,并且底层167的顶表面可用于完全或部分地限定一个或多个辅助气体分配腔。
在一些示例中,分配腔和其上方和下方的材料的厚度为0.05英寸至0.25英寸,但是也可以使用其他厚度。在分配腔之间和之上/之下的材料的厚度由支撑流体压力所需的强度以及制造所需的材料厚度确定。可以调整传热流体分配腔140的厚度以减小流体的压降。可以选择辅助气体分配腔150的尺寸足够大以使得气体能均匀分布到每个注入器112。每层的厚度应该最小化以减小总厚度,从而减少通孔110中的自由基损失。
在一些示例中,顶层163和底层167的厚度在0.075英寸至0.125英寸的范围内,但是也可以使用其他厚度。在一些示例中,顶层163和底层167的厚度是0.1英寸,但是也可以使用其他厚度。在一些示例中,中间层165的厚度在0.4英寸至0.6英寸的范围内,但是也可以使用其他厚度。在一些示例中,中间层165的厚度是0.5英寸,但是也可以使用其他厚度。在一些示例中,喷头的厚度小于或等于1英寸。在一些示例中,喷头的厚度小于或等于0.7英寸。
在图6和图7中,示出了泄漏收集盘128。泄漏收集盘128包括围绕热流体端口120,122中的至少一个布置的凹部。在一些示例中,凹部是圆筒形的,但是也可以使用其他形状。
在图8A中,一些示例包括圆筒形壁210,其从喷头40的径向外边缘208(径向向内靠近径向外边缘208或与径向外边缘208间隔开)向下朝向衬底36(并且在通孔110和辅助气体注射器112的径向外侧)延伸。圆筒形壁210可以与喷头40集成或附接到喷头40上。圆筒形壁210改善了喷头40和由衬底看到的室壁之间的热均匀性。圆筒形壁210还可用于通过在壁和衬底支撑件34之间产生流动限制装置来控制排放端口泵送不均匀性。在一些示例中,圆筒形壁210在包括衬底支撑件34的顶表面的平面下方延伸。
在图8B中,一些示例包括圆筒形壁211,其从喷头40的径向外边缘208(径向向内靠近径向外边缘208或与径向外边缘208间隔开)向上延伸(并且在通孔110和辅助气体注入器112的径向外侧)。圆筒形壁211可以与喷头40的顶表面集成或附接到喷头40的顶表面。圆筒形壁211提供用于安装自由基源的安装表面。
现在参考图9-图10,一个或多个传热流体分配腔140的示例布置。在图9中,示出了中间层165的顶表面。一个或多个传热流体分配腔140包括第一分配腔156-1。在一些示例中,第一分配腔156-1具有弓形形状,但是也可以使用其他形状。在一些示例中,多个限制装置158-1在第一分配腔156-1的一侧彼此相邻地布置。选择多个限制装置158-1中的每一个之间的间隔以限制和分配从第一分配腔156-1进入第二分配腔156-2的流量。在一些示例中,多个限制装置158-1中的每一个包括具有圆形、椭圆形或长方形形状的柱,但是也可以使用其他形状。多个限制装置158-1可用于使流动通道160之间的流体流动更均匀并消除喷射效应。替代地,一个或多个流动通道160可包括限制装置164以控制流动,如图10所示。如果流动通道160包括限制装置164,则可以省略多个限制装置158-1,并且第一分配腔156-1和第二分配腔156-2可以是单个分配腔。
第二分配腔156-2通向流动通道160的第一端。在一些示例中,流动通道160具有三角形、方波形、弯曲形或大致正弦形形状以增加表面积。流动通道160的第二端连接到布置在喷头40的相对侧的第三分配腔156-3。多个限制装置158-2布置在第三分配腔156-3的一侧上。多个限制装置158-2中的每一个被布置成限制进入第四分配腔156-4的流量。第四分配腔156-4连接到出口。如果流动通道160包括限制装置164,则可以省略多个限制装置158-2,并且第三分配腔156-3和第四分配腔156-4可以是单个分配腔。
在一些示例中,热流体流动通道160具有小于或等于流速的10%的通道到通道的非均匀性。在一些示例中,热流体流速为每分钟10加仑并且将整个喷头表面控制为±1摄氏度。在一些示例中,辅助气体注入器112具有小于或等于质量流速的1%的流动不均匀性。在一些示例中,辅助气体注入器112具有小于或等于质量流速的0.1%的不均匀性。
在图11中,示出了中间层165的底表面。一个或多个辅助气体分配腔150包括气体入口172和与第一分配腔176-1和第二分配腔176-2流体连通的流动通道174。第一多个壁180布置在第一分配腔176-1和第二分配腔176-2之间。多个缝隙184布置在多个壁180的端部之间,以限制第一分配腔176-1和第二分配腔176-2之间的流动。在一些示例中,第一分配腔176-1是环形的,第二分配腔176-2是圆形的,并且第一多个壁180是弓形的,但是也可以使用其他形状。
第二多个壁190围绕通孔110布置。在一些示例中,第二多个壁190具有圆筒形状,但是也可以使用其他形状。在一些示例中,第二多个壁190的顶部边缘提供结合区域以在第二分配腔176-2和通孔110之间形成真空密封。在一些示例中,在辅助气体注入器112的入口处提供多个限制装置186,以控制辅助气体从第二分配腔176-2到下室30的流动。
在一些示例中,缝隙184相对于限制装置186设定尺寸,使得缝隙184处的压降ΔP缝隙明显大于压降ΔP第一分配腔。在一些示例中,ΔP缝隙是ΔP第一分配腔的20倍。在一些示例中,ΔP缝隙是ΔP第一分配腔的5倍。
现在参考图12-14,另一喷头40的中间部分300显示为包括沿其一侧布置的传热流体入口和出口。换句话说,流动通道从入口穿过喷头行进并穿过喷头返回到出口。
在图12中,示出了中间部分300的顶侧。流体入口310连接到流体入口分配腔320。在一些示例中,流体入口分配腔320是弓形的。流到多个流动通道330的入口连接到流体入口分配腔320。多个流动通道330横穿喷头40,转弯并返回到位于入口324中的相邻入口324之间的出口334。尽管流动通道330显示为直的区段,但是非直的流动通道(例如上面所示的那些)也可用于增加表面积和热传递(或者可以使用直的和弯曲的组合)。
出口334穿过中间部分300中的气体通路338到达位于图3中的中间部分300的底侧上的出口分配腔350。出口分配腔350连接到流体出口358。可以理解,中间部分300的底表面还可以包括与上面图11中所示的分配腔类似的辅助气体分配腔。可以改变通路338的尺寸以补偿从通道到通道的不均匀的流速,以实现与使用柱158实现的均匀性相同的均匀性。
本文所述的集成喷头提供足够且均匀的自由基,过滤来自远程等离子体源的离子,提供均匀的温度控制,并提供均匀的前体。在一些示例中,由包括上述传热流体通道的喷头提供的热控制将衬底上的热不均匀性控制为小于5℃。传热流体通道还能够控制包含在上室20的容积空间中的等离子体产生的热量。喷头还包括内部辅助气体分配腔,其向下室提供均匀的前体输送。在一些示例中,来自辅助气体分配腔的气体出口偏离喷头的底表面一预定距离,以最小化在喷头上的沉积并延长清洁之间的时间。
前面的描述本质上仅仅是说明性的,并且决不意图限制本公开、其应用或用途。本公开的广泛教导可以以各种形式实现。因此,尽管本公开包括特定示例,但是本公开的真实范围不应当如此限制,因为在研究附图、说明书和所附权利要求时,其他修改将变得显而易见。应当理解,在不改变本公开的原理的情况下,方法中的一个或多个步骤可以以不同的顺序(或同时地)执行。此外,虽然每个实施方式在上面被描述为具有某些特征,但是关于本公开的任何实施方式描述的那些特征中的任何一个或多个可以在任何其他实施方式的特征中实现和/或与任何其他实施方式的特征组合,即使该组合没有明确描述。换句话说,所描述的实施方式不是相互排斥的,并且一个或多个实施方式彼此的置换保持在本公开的范围内。
使用包括“连接”、“接合”、“耦合”、“相邻”、“邻近”、“在...之上”、“在...上方”、“在…下方”和“设置”的各种术语来描述元件之间(例如,在模块、电路元件、半导体层等之间)的空间和功能关系。除非明确地描述为“直接的”,否则当在上述公开中描述的第一和第二元件之间的关系时,该关系可以是其中在第一和第二元件之间不存在其他中间元件的直接关系,但是也可以是其中在第一和第二元件之间(在空间上或功能上)存在一个或多个中间元件的间接关系。如本文所使用的,短语“A、B和C中的至少一个”应当被解释为意味着使用非排他性逻辑或(OR)的逻辑(A或B或C),并且不应被解释为表示“A中的至少一个,B中的至少一个和C中的至少一个”。
在一些实现方式中,控制器是系统的一部分,所述系统可以是上述示例的一部分。这样的系统可以包括半导体处理设备,所述半导体处理设备包括一个或多个处理工具、一个或多个室、用于处理的一个或多个平台、和/或特定处理部件(衬底基座、气流系统等)。这些系统可以与用于在半导体衬底或衬底的处理之前、期间和之后控制其操作的电子器件集成。电子器件可以被称为“控制器”,其可以控制一个或多个系统的各种部件或子部件。根据处理要求和/或系统类型,控制器可以被编程以控制本文公开的任何处理,包括处理气体的输送、温度设置(例如加热和/或冷却)、压强设置、真空设置、功率设置、射频(RF)发生器设置、RF匹配电路设置、频率设置、流速设置、流体输送设置、位置和操作设置、衬底输送进出工具以及其他输送工具和/或连接到特定系统或与特定系统接口的装载锁。
广义地说,控制器可以定义为具有接收指令、发出指令、控制操作、启用清洁操作、启用端点测量等的各种集成电路、逻辑、存储器和/或软件的电子设备。集成电路可以包括存储程序指令的固件形式的芯片、数字信号处理器(DSP)、限定为专用集成电路(ASIC)的芯片、和/或一个或多个微处理器、或执行程序指令(例如,软件)的微控制器。程序指令可以是以各种单个的设置(或程序文件)的形式传送到控制器的指令,所述单个的设置(或程序文件)定义用于在半导体衬底上或为半导体衬底或系统执行特定处理的操作参数。在一些实施方式中,操作参数可以是由工艺工程师定义的配方的一部分,以在一个或多个层、材料、金属、氧化物、硅、二氧化硅、表面、电路和/或衬底的管芯的制备过程中完成一个或多个处理步骤。
在一些实现方式中,控制器可以是计算机的一部分或耦合到计算机,所述计算机与系统集成、耦合到系统、以其他方式联网到系统或这些的组合。例如,该控制器可以在“云”中,或在晶片厂(fab)主机计算机系统的全部或部分中,其使得能够对衬底处理进行远程访问。计算机可以实现对系统的远程访问以监控制备操作的目前进展,研究过去的制备操作的历史,从多个制备操作来研究趋势或性能标准,改变当前处理的参数,设置当前处理之后的处理步骤,或开始新的处理。在一些示例中,远程计算机(例如服务器)可以通过网络(其可以包括本地网络或因特网)向系统提供工艺配方。远程计算机可以包括使得能够输入或编程参数和/或设置的用户接口,然后将所述参数和/或设置从远程计算机传送到系统。在一些示例中,控制器以数据的形式接收指令,所述指令指定在一个或多个操作期间要执行的每个处理步骤的参数。应当理解,对于要执行的处理的类型和与控制器接口或由控制器控制的工具的类型,参数可以是特定的。因此,如上所述,控制器可以是分布式的,例如通过包括一个或多个联网在一起并朝着共同目的(例如,本文所述的处理和控制)而工作的离散控制器。用于这种目的的分布式控制器的示例是在与远程(例如在平台级或作为远程计算机的一部分)定位的一个或多个集成电路通讯的室上的一个或多个集成电路,它们结合以控制在室上的处理。
示例系统可以包括但不限于,等离子体蚀刻室或模块、沉积室或模块、旋转漂洗室或模块、金属电镀室或模块、清洁室或模块、倒角边缘蚀刻室或模块、物理气相沉积(PVD)室或模块、化学气相沉积(CVD)室或模块、原子层沉积(ALD)室或模块、原子层蚀刻(ALE)室或模块、离子注入室或模块、轨道室或模块、以及可以与半导体衬底的制备和/或制造相关联或可以在半导体衬底的制备和/或制造中使用的任何其他半导体处理系统。
如上所述,根据将由工具执行的一个或多个工艺步骤,控制器可以与一个或多个其它工具电路或模块、其他工具部件、群集工具、其它工具接口、相邻工具、邻近工具、位于整个工厂中的工具、主计算机、另一控制器、或在半导体制造工厂中将衬底容器往返工具位置和/或装载端口输送的材料运输中使用的工具通信。

Claims (25)

1.一种衬底处理系统,其包括:
第一室,其包括衬底支撑件;
喷头,其布置在所述第一室上方并被配置成过滤离子且将来自等离子体源的自由基输送到所述第一室,
其中,所述喷头包括:
传热流体分配腔,其包括用于接收传热流体的入口和用于将所述传热流体引导穿过所述喷头的中心部分到达出口以控制所述喷头的温度的多个流动通道;
辅助气体分配腔,其包括用于接收辅助气体的入口和用于将所述辅助气体注入所述第一室的多个辅助气体注入器;和
穿过所述喷头的多个通孔,
其中所述通孔不与所述传热流体分配腔连通,也不与所述辅助气体分配腔流体连通。
2.根据权利要求1所述的衬底处理系统,其中所述传热流体分配腔包括:
与所述入口流体连通的第一分配腔,
其中所述流动通道的第一端与所述第一分配腔连通;和
第二分配腔,其与所述流动通道的相对端流体连通。
3.根据权利要求1所述的衬底处理系统,其中所述传热流体分配腔包括:
与所述入口流体连通的第一分配腔;
与所述流动通道的第一端流体连通的第二分配腔;
第一多个限制装置,其布置在所述第一分配腔和所述第二分配腔之间,以限制其间的流体流动;
与所述流动通道的相对端流体连通的第三分配腔;
与所述出口流体连通的第四分配腔;和
第二多个限制装置,其布置在所述第三分配腔和所述第四分配腔之间,以限制其间的流体流动。
4.根据权利要求1所述的衬底处理系统,其中所述多个流动通道沿径向方向从所述喷头的一侧流动到所述喷头的相对侧。
5.根据权利要求4所述的衬底处理系统,其中所述多个流动通道限定直的路径。
6.根据权利要求4所述的衬底处理系统,其中所述多个流动通道限定弯曲路径。
7.根据权利要求6所述的衬底处理系统,其中所述多个流动通道限定正弦形路径。
8.根据权利要求1所述的衬底处理系统,其中,所述辅助气体分配腔包括:
第一分配腔;
第二分配腔;和
设置在所述第一分配腔和所述第二分配腔之间的流动限制装置。
9.根据权利要求8所述的衬底处理系统,其中,所述流通限制装置包括:
第一多个壁;和
在所述第一多个壁之间限定的多个缝隙。
10.根据权利要求9所述的衬底处理系统,其中所述第一多个壁是弓形的。
11.根据权利要求9所述的衬底处理系统,其还包括围绕所述第二分配腔中的所述通孔布置的第二多个壁。
12.根据权利要求11所述的衬底处理系统,其中所述第二多个壁是圆筒形的。
13.根据权利要求8所述的衬底处理系统,其中,所述辅助气体注入器与所述第二分配腔流体连通。
14.根据权利要求13所述的衬底处理系统,其还包括布置在所述第二分配腔和所述辅助气体注入器之间的多个限制装置。
15.根据权利要求1所述的衬底处理系统,其中所述多个流动通道包括入口和出口,其中所述多个流动通道的所述入口布置在所述喷头的一侧上,所述多个流动通道的所述出口布置在所述一侧上在所述入口之间,并且所述多个流动通道连接到所述入口,穿过所述喷头行进并返回穿过所述喷头到达所述出口。
16.根据权利要求1所述的衬底处理系统,其还包括:
第二室,其布置在所述第一室上方,其中所述喷头布置在所述第一室和所述第二室之间;
围绕所述第二室布置的线圈;和
RF发生器,其连接到所述线圈以在所述第二室中产生等离子体。
17.根据权利要求1所述的衬底处理系统,其中,所述流动通道中的至少一个包括流动限制装置。
18.根据权利要求1所述的衬底处理系统,其中所述传热流体包括液体。
19.根据权利要求1所述的衬底处理系统,其中所述传热流体包括气体。
20.根据权利要求1所述的衬底处理系统,其中所述传热流体不流入所述第一室。
21.根据权利要求1所述的衬底处理系统,其中所述辅助气体注入器从所述喷头的底表面延伸预定距离,其中所述预定距离在0.1英寸至1.5英寸的范围内。
22.根据权利要求1所述的衬底处理系统,其中所述通孔的直径在0.05英寸至0.3英寸的范围内。
23.根据权利要求1所述的衬底处理系统,其中所述喷头包括圆筒形壁,所述圆筒形壁从所述喷头的底表面延伸并且位于所述多个通孔和所述多个辅助气体注入器的径向外侧。
24.根据权利要求1所述的衬底处理系统,其中,所述喷头包括圆筒形壁,所述圆筒形壁从所述喷头的顶表面向上延伸并且位于所述多个通孔和所述多个辅助气体注入器的径向外侧。
25.根据权利要求1所述的衬底处理系统,其还包括:第一O形环,其布置在所述喷头的顶表面和所述上室之间;以及第二O形环,其布置在所述喷头的所述底表面和所述下室之间。
CN201780077684.7A 2016-12-14 2017-12-14 向下游室传送自由基和前体气体以实现远程等离子体膜沉积的有热控制的集成喷头 Active CN110088885B (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN202311212678.1A CN117497451A (zh) 2016-12-14 2017-12-14 向下游室传送自由基和前体气体以实现远程等离子体膜沉积的有热控制的集成喷头

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US15/378,854 US10604841B2 (en) 2016-12-14 2016-12-14 Integrated showerhead with thermal control for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition
US15/378,854 2016-12-14
PCT/US2017/066411 WO2018112197A1 (en) 2016-12-14 2017-12-14 Integrated showerhead with thermal control for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition

Related Child Applications (1)

Application Number Title Priority Date Filing Date
CN202311212678.1A Division CN117497451A (zh) 2016-12-14 2017-12-14 向下游室传送自由基和前体气体以实现远程等离子体膜沉积的有热控制的集成喷头

Publications (2)

Publication Number Publication Date
CN110088885A true CN110088885A (zh) 2019-08-02
CN110088885B CN110088885B (zh) 2023-10-13

Family

ID=62488447

Family Applications (2)

Application Number Title Priority Date Filing Date
CN201780077684.7A Active CN110088885B (zh) 2016-12-14 2017-12-14 向下游室传送自由基和前体气体以实现远程等离子体膜沉积的有热控制的集成喷头
CN202311212678.1A Pending CN117497451A (zh) 2016-12-14 2017-12-14 向下游室传送自由基和前体气体以实现远程等离子体膜沉积的有热控制的集成喷头

Family Applications After (1)

Application Number Title Priority Date Filing Date
CN202311212678.1A Pending CN117497451A (zh) 2016-12-14 2017-12-14 向下游室传送自由基和前体气体以实现远程等离子体膜沉积的有热控制的集成喷头

Country Status (6)

Country Link
US (4) US10604841B2 (zh)
JP (2) JP7163289B2 (zh)
KR (2) KR102470174B1 (zh)
CN (2) CN110088885B (zh)
TW (1) TW201836440A (zh)
WO (1) WO2018112197A1 (zh)

Families Citing this family (279)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
WO2018106627A1 (en) * 2016-12-08 2018-06-14 Applied Materials, Inc. Temporal atomic layer deposition processing chamber
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10604841B2 (en) * 2016-12-14 2020-03-31 Lam Research Corporation Integrated showerhead with thermal control for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US20190088451A1 (en) * 2017-05-12 2019-03-21 Ontos Equipment Systems, Inc. Integrated Thermal Management for Surface Treatment with Atmospheric Plasma
US20180340257A1 (en) * 2017-05-25 2018-11-29 Applied Materials, Inc. Diffuser for uniformity improvement in display pecvd applications
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) * 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US10510553B1 (en) * 2018-05-30 2019-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Dry ashing by secondary excitation
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
CN112673456A (zh) * 2018-09-10 2021-04-16 朗姆研究公司 使用亚稳的活化自由基物质的原子层处理工艺
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
KR102641752B1 (ko) * 2018-11-21 2024-03-04 삼성전자주식회사 가스 주입 모듈, 기판 처리 장치, 및 그를 이용한 반도체 소자의 제조방법
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
US11078568B2 (en) * 2019-01-08 2021-08-03 Applied Materials, Inc. Pumping apparatus and method for substrate processing chambers
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200109620A (ko) * 2019-03-13 2020-09-23 (주)포인트엔지니어링 접합부품
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
DE102019131794A1 (de) 2019-11-25 2021-05-27 Aixtron Se Wandgekühltes Gaseinlassorgan für einen CVD-Reaktor
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
JP6809745B1 (ja) * 2020-08-03 2021-01-06 株式会社ニッシン プラズマ処理装置
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
US20220068607A1 (en) * 2020-08-31 2022-03-03 Tokyo Electron Limited Gas Cluster Assisted Plasma Processing
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US20220350251A1 (en) * 2021-05-03 2022-11-03 Applied Materials, Inc. Chamber and methods of treating a substrate after exposure to radiation
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
WO2023077002A1 (en) * 2021-10-29 2023-05-04 Lam Research Corporation Showerhead with hole sizes for radical species delivery

Citations (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0852392A2 (en) * 1996-12-16 1998-07-08 Applied Materials, Inc. Closed-loop dome thermal control apparatus for a semiconductor wafer processing system
WO2001029282A2 (en) * 1999-10-20 2001-04-26 Cvd Systems, Inc. Fluid processing system
US20040050326A1 (en) * 2002-09-12 2004-03-18 Thilderkvist Karin Anna Lena Apparatus and method for automatically controlling gas flow in a substrate processing system
US20040082251A1 (en) * 2002-10-29 2004-04-29 Applied Materials, Inc. Apparatus for adjustable gas distribution for semiconductor substrate processing
EP1496138A1 (en) * 2002-04-16 2005-01-12 Tokyo Electron Limited Processing system, processing method and mounting member
US20050241763A1 (en) * 2004-04-30 2005-11-03 Zhisong Huang Gas distribution system having fast gas switching capabilities
US20060169201A1 (en) * 2005-02-01 2006-08-03 Wan-Goo Hwang Apparatus for supplying gas and apparatus for forming a layer having the same
JP2006324400A (ja) * 2005-05-18 2006-11-30 Shimadzu Corp シャワーヘッドおよび表面波励起プラズマ処理装置
KR20070118836A (ko) * 2006-06-13 2007-12-18 주식회사 케이씨텍 중성화빔을 이용한 표면처리장치
US20080124463A1 (en) * 2006-11-28 2008-05-29 Applied Materials, Inc. System and method for depositing a gaseous mixture onto a substrate surface using a showerhead apparatus
EP1961837A1 (en) * 2007-02-26 2008-08-27 Applied Materials, Inc. Apparatus for controlling gas flow to a processing chamber
JP2008211219A (ja) * 2007-02-26 2008-09-11 Applied Materials Inc 処理チャンバへのガスフローを制御する方法及び装置
EP2187104A1 (en) * 2008-11-18 2010-05-19 Sauer-Danfoss ApS Fluid distribution valve
US20110097492A1 (en) * 2009-10-27 2011-04-28 Kerr Roger S Fluid distribution manifold operating state management system
CN102541102A (zh) * 2010-11-25 2012-07-04 东京毅力科创株式会社 处理装置
CN103993293A (zh) * 2013-02-15 2014-08-20 诺发系统公司 带温度控制的多室喷头
US20150004313A1 (en) * 2006-09-16 2015-01-01 Piezonics Co., Ltd. Apparatus of chemical vapor deposition with a showerhead regulating injection velocity of reactive gases positively and method thereof
CN104282530A (zh) * 2013-07-03 2015-01-14 朗姆研究公司 包括等温处理区的等离子体处理设备
CN104342632A (zh) * 2013-08-07 2015-02-11 北京北方微电子基地设备工艺研究中心有限责任公司 预清洗腔室及等离子体加工设备
US20160032453A1 (en) * 2014-08-01 2016-02-04 Lam Research Corporation Systems and methods for vapor delivery
US20160168705A1 (en) * 2014-12-10 2016-06-16 Lam Research Corporation Inlet for effective mixing and purging
KR20160133373A (ko) * 2015-05-12 2016-11-22 램 리써치 코포레이션 백사이드 가스 전달 튜브를 포함하는 기판 페데스탈 모듈 및 제작 방법

Family Cites Families (188)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3156326B2 (ja) 1992-01-07 2001-04-16 富士通株式会社 半導体成長装置およびそれによる半導体成長方法
GB9411911D0 (en) 1994-06-14 1994-08-03 Swan Thomas & Co Ltd Improvements in or relating to chemical vapour deposition
US5597439A (en) 1994-10-26 1997-01-28 Applied Materials, Inc. Process gas inlet and distribution passages
US5919382A (en) 1994-10-31 1999-07-06 Applied Materials, Inc. Automatic frequency tuning of an RF power source of an inductively coupled plasma reactor
ATE181637T1 (de) 1994-10-31 1999-07-15 Applied Materials Inc Plasmareaktoren zur halbleiterscheibenbehandlung
US6054013A (en) 1996-02-02 2000-04-25 Applied Materials, Inc. Parallel plate electrode plasma reactor having an inductive antenna and adjustable radial distribution of plasma ion density
US6036878A (en) 1996-02-02 2000-03-14 Applied Materials, Inc. Low density high frequency process for a parallel-plate electrode plasma reactor having an inductive antenna
US6200412B1 (en) 1996-02-16 2001-03-13 Novellus Systems, Inc. Chemical vapor deposition system including dedicated cleaning gas injection
US5614026A (en) 1996-03-29 1997-03-25 Lam Research Corporation Showerhead for uniform distribution of process gas
EP0854210B1 (en) 1996-12-19 2002-03-27 Toshiba Ceramics Co., Ltd. Vapor deposition apparatus for forming thin film
US6616767B2 (en) 1997-02-12 2003-09-09 Applied Materials, Inc. High temperature ceramic heater assembly with RF capability
JP3341619B2 (ja) 1997-03-04 2002-11-05 東京エレクトロン株式会社 成膜装置
US5994662A (en) 1997-05-29 1999-11-30 Applied Materials, Inc. Unique baffle to deflect remote plasma clean gases
GB9712400D0 (en) 1997-06-16 1997-08-13 Trikon Equip Ltd Shower head
US6148761A (en) 1998-06-16 2000-11-21 Applied Materials, Inc. Dual channel gas distribution plate
JP4149051B2 (ja) 1998-11-09 2008-09-10 東京エレクトロン株式会社 成膜装置
TW582050B (en) 1999-03-03 2004-04-01 Ebara Corp Apparatus and method for processing substrate
US6565661B1 (en) 1999-06-04 2003-05-20 Simplus Systems Corporation High flow conductance and high thermal conductance showerhead system and method
US6205869B1 (en) 1999-08-12 2001-03-27 Sentry Equipment Corporation Apparatus and method for sampling fluid from reactor vessel
US6364949B1 (en) 1999-10-19 2002-04-02 Applied Materials, Inc. 300 mm CVD chamber design for metal-organic thin film deposition
TW514996B (en) 1999-12-10 2002-12-21 Tokyo Electron Ltd Processing apparatus with a chamber having therein a high-corrosion-resistant sprayed film
JP3953247B2 (ja) 2000-01-11 2007-08-08 株式会社日立国際電気 プラズマ処理装置
DE10007059A1 (de) 2000-02-16 2001-08-23 Aixtron Ag Verfahren und Vorrichtung zur Herstellung von beschichteten Substraten mittels Kondensationsbeschichtung
US6444039B1 (en) 2000-03-07 2002-09-03 Simplus Systems Corporation Three-dimensional showerhead apparatus
JP2003529926A (ja) 2000-03-30 2003-10-07 東京エレクトロン株式会社 プラズマ処理システム内への調整可能なガス注入のための方法及び装置
US6306247B1 (en) 2000-04-19 2001-10-23 Taiwan Semiconductor Manufacturing Company, Ltd Apparatus and method for preventing etch chamber contamination
US6537419B1 (en) 2000-04-26 2003-03-25 David W. Kinnard Gas distribution plate assembly for providing laminar gas flow across the surface of a substrate
US6502530B1 (en) 2000-04-26 2003-01-07 Unaxis Balzers Aktiengesellschaft Design of gas injection for the electrode in a capacitively coupled RF plasma reactor
US6635117B1 (en) 2000-04-26 2003-10-21 Axcelis Technologies, Inc. Actively-cooled distribution plate for reducing reactive gas temperature in a plasma processing system
US6387207B1 (en) 2000-04-28 2002-05-14 Applied Materials, Inc. Integration of remote plasma generator with semiconductor processing chamber
DE10024883A1 (de) 2000-05-19 2001-11-29 Bosch Gmbh Robert Plasmaätzanlage
KR100406174B1 (ko) 2000-06-15 2003-11-19 주식회사 하이닉스반도체 화학적 강화 화학 기상 증착 장비에 사용되는 샤워 헤드
JP4371543B2 (ja) 2000-06-29 2009-11-25 日本電気株式会社 リモートプラズマcvd装置及び膜形成方法
CN1328766C (zh) 2001-01-22 2007-07-25 东京毅力科创株式会社 处理装置和处理方法
US6878206B2 (en) 2001-07-16 2005-04-12 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
DE50210381D1 (de) 2001-04-10 2007-08-09 Basf Ag Eisenoxide mit höherem veredelungsgrad
JP4250375B2 (ja) 2001-05-15 2009-04-08 キヤノン株式会社 成膜装置及び電子源の製造装置並びにそれらを用いた成膜方法及び電子源の製造方法
US20060191637A1 (en) 2001-06-21 2006-08-31 John Zajac Etching Apparatus and Process with Thickness and Uniformity Control
US6820570B2 (en) 2001-08-15 2004-11-23 Nobel Biocare Services Ag Atomic layer deposition reactor
WO2003034477A1 (en) 2001-10-18 2003-04-24 Chul Soo Byun Method and apparatus for chemical vapor ddeposition capable of preventing contamination and enhancing film growth rate
KR100421223B1 (ko) 2001-12-13 2004-03-02 삼성전자주식회사 화학 기상 반응기용 샤워헤드
US6617679B2 (en) 2002-02-08 2003-09-09 Advanced Energy Industries, Inc. Semiconductor package for multiple high power transistors
US7186385B2 (en) 2002-07-17 2007-03-06 Applied Materials, Inc. Apparatus for providing gas to a processing chamber
US20040031565A1 (en) 2002-08-13 2004-02-19 Taiwan Semiconductor Manufacturing Co., Ltd. Gas distribution plate for processing chamber
US6837966B2 (en) 2002-09-30 2005-01-04 Tokyo Electron Limeted Method and apparatus for an improved baffle plate in a plasma processing system
KR100520979B1 (ko) 2003-03-07 2005-10-12 위순임 원격 플라즈마 발생기를 이용한 진공 프로세스 챔버
KR100520980B1 (ko) 2003-04-19 2005-10-13 위순임 고밀도 플라즈마 화학적 기상 증착 챔버 및 이를 위한가스 노즐
US7335396B2 (en) 2003-04-24 2008-02-26 Micron Technology, Inc. Methods for controlling mass flow rates and pressures in passageways coupled to reaction chambers and systems for depositing material onto microfeature workpieces in reaction chambers
US7537662B2 (en) 2003-04-29 2009-05-26 Asm International N.V. Method and apparatus for depositing thin films on a surface
US7296534B2 (en) 2003-04-30 2007-11-20 Tokyo Electron Limited Hybrid ball-lock attachment apparatus
US6830624B2 (en) 2003-05-02 2004-12-14 Applied Materials, Inc. Blocker plate by-pass for remote plasma clean
KR100965758B1 (ko) 2003-05-22 2010-06-24 주성엔지니어링(주) 액정표시장치용 플라즈마 강화 화학기상증착 장치의샤워헤드 어셈블리
JP2007525822A (ja) 2003-05-30 2007-09-06 アヴィザ テクノロジー インコーポレイテッド ガス分配システム
US7198653B2 (en) 2003-07-31 2007-04-03 Delavau Llc Calcium carbonate granulation
JP4306403B2 (ja) 2003-10-23 2009-08-05 東京エレクトロン株式会社 シャワーヘッド構造及びこれを用いた成膜装置
KR100513920B1 (ko) 2003-10-31 2005-09-08 주식회사 시스넥스 화학기상증착 반응기
US20050230350A1 (en) 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US7298534B2 (en) 2004-04-13 2007-11-20 Philip Morris Usa Inc. Off-axis holographic light concentrator and method of use thereof
US7273526B2 (en) 2004-04-15 2007-09-25 Asm Japan K.K. Thin-film deposition apparatus
US8083853B2 (en) 2004-05-12 2011-12-27 Applied Materials, Inc. Plasma uniformity control by gas diffuser hole design
US7712434B2 (en) 2004-04-30 2010-05-11 Lam Research Corporation Apparatus including showerhead electrode and heater for plasma processing
US20050241767A1 (en) 2004-04-30 2005-11-03 Ferris David S Multi-piece baffle plate assembly for a plasma processing system
US20050241579A1 (en) 2004-04-30 2005-11-03 Russell Kidd Face shield to improve uniformity of blanket CVD processes
US8074599B2 (en) 2004-05-12 2011-12-13 Applied Materials, Inc. Plasma uniformity control by gas diffuser curvature
US8328939B2 (en) 2004-05-12 2012-12-11 Applied Materials, Inc. Diffuser plate with slit valve compensation
US20060021703A1 (en) 2004-07-29 2006-02-02 Applied Materials, Inc. Dual gas faceplate for a showerhead in a semiconductor wafer processing system
CN102154628B (zh) 2004-08-02 2014-05-07 维高仪器股份有限公司 用于化学气相沉积反应器的多气体分配喷射器
KR20060059305A (ko) 2004-11-26 2006-06-01 삼성전자주식회사 반도체 공정 장비
US20060124169A1 (en) 2004-12-09 2006-06-15 Tokyo Electron Limited Gas supply unit, substrate processing apparatus, and supply gas setting method
US7601242B2 (en) 2005-01-11 2009-10-13 Tokyo Electron Limited Plasma processing system and baffle assembly for use in plasma processing system
JP2006261217A (ja) 2005-03-15 2006-09-28 Canon Anelva Corp 薄膜形成方法
US7674393B2 (en) 2005-03-25 2010-03-09 Tokyo Electron Limited Etching method and apparatus
KR100747735B1 (ko) 2005-05-13 2007-08-09 주식회사 테스 반도체 제조 장치
KR100731164B1 (ko) 2005-05-19 2007-06-20 주식회사 피에조닉스 샤워헤드를 구비한 화학기상 증착 방법 및 장치
US7895970B2 (en) 2005-09-29 2011-03-01 Tokyo Electron Limited Structure for plasma processing chamber, plasma processing chamber, plasma processing apparatus, and plasma processing chamber component
JP4997842B2 (ja) 2005-10-18 2012-08-08 東京エレクトロン株式会社 処理装置
US20070119370A1 (en) 2005-11-04 2007-05-31 Paul Ma Apparatus and process for plasma-enhanced atomic layer deposition
DE102005055468A1 (de) 2005-11-22 2007-05-24 Aixtron Ag Verfahren zum Abscheiden von Schichten in einem CVD-Reaktor sowie Gaseinlassorgan für einen CVD-Reaktor
US20070264427A1 (en) 2005-12-21 2007-11-15 Asm Japan K.K. Thin film formation by atomic layer growth and chemical vapor deposition
CN101003895B (zh) 2006-01-16 2011-10-19 中微半导体设备(上海)有限公司 一种传送反应物到基片的装置及其处理方法
JP2007191792A (ja) 2006-01-19 2007-08-02 Atto Co Ltd ガス分離型シャワーヘッド
KR100752622B1 (ko) 2006-02-17 2007-08-30 한양대학교 산학협력단 원거리 플라즈마 발생장치
JP4844167B2 (ja) 2006-02-24 2011-12-28 東京エレクトロン株式会社 冷却ブロック及びプラズマ処理装置
US20070202701A1 (en) 2006-02-27 2007-08-30 Tokyo Electron Limited Plasma etching apparatus and method
JP4827083B2 (ja) 2006-02-27 2011-11-30 東京エレクトロン株式会社 プラズマエッチング装置およびプラズマエッチング方法
US8268078B2 (en) 2006-03-16 2012-09-18 Tokyo Electron Limited Method and apparatus for reducing particle contamination in a deposition system
US8231799B2 (en) 2006-04-28 2012-07-31 Applied Materials, Inc. Plasma reactor apparatus with multiple gas injection zones having time-changing separate configurable gas compositions for each zone
JP2008027796A (ja) 2006-07-24 2008-02-07 Canon Inc プラズマ処理装置
US8187679B2 (en) 2006-07-29 2012-05-29 Lotus Applied Technology, Llc Radical-enhanced atomic layer deposition system and method
JP2008066413A (ja) 2006-09-05 2008-03-21 Tokyo Electron Ltd シャワーヘッド構造及びこれを用いた処理装置
JP2008088228A (ja) 2006-09-29 2008-04-17 Fujifilm Corp インクジェット用インク組成物、及び、これを用いた画像形成方法並びに記録物
US20080081114A1 (en) 2006-10-03 2008-04-03 Novellus Systems, Inc. Apparatus and method for delivering uniform fluid flow in a chemical deposition system
CN100451163C (zh) 2006-10-18 2009-01-14 中微半导体设备(上海)有限公司 用于半导体工艺件处理反应器的气体分布装置及其反应器
US20080156631A1 (en) 2006-12-27 2008-07-03 Novellus Systems, Inc. Methods of Producing Plasma in a Container
US20080156264A1 (en) 2006-12-27 2008-07-03 Novellus Systems, Inc. Plasma Generator Apparatus
KR101281188B1 (ko) 2007-01-25 2013-07-02 최대규 유도 결합 플라즈마 반응기
EP1970468B1 (de) 2007-03-05 2009-07-15 Applied Materials, Inc. Beschichtungsanlage und Gasleitungssystem
US7978631B1 (en) 2007-05-31 2011-07-12 Oracle America, Inc. Method and apparatus for encoding and mapping of virtual addresses for clusters
US20090136652A1 (en) 2007-06-24 2009-05-28 Applied Materials, Inc. Showerhead design with precursor source
JP2009016453A (ja) 2007-07-02 2009-01-22 Tokyo Electron Ltd プラズマ処理装置
JP5444599B2 (ja) 2007-09-28 2014-03-19 東京エレクトロン株式会社 ガス供給装置及び成膜装置
US7976631B2 (en) 2007-10-16 2011-07-12 Applied Materials, Inc. Multi-gas straight channel showerhead
US20090095222A1 (en) 2007-10-16 2009-04-16 Alexander Tam Multi-gas spiral channel showerhead
US20090095221A1 (en) 2007-10-16 2009-04-16 Alexander Tam Multi-gas concentric injection showerhead
FI123322B (fi) 2007-12-17 2013-02-28 Beneq Oy Menetelmä ja laitteisto plasman muodostamiseksi
US8512509B2 (en) 2007-12-19 2013-08-20 Applied Materials, Inc. Plasma reactor gas distribution plate with radially distributed path splitting manifold
KR20090078538A (ko) 2008-01-15 2009-07-20 삼성전기주식회사 샤워 헤드와 이를 구비하는 화학 기상 증착 장치
JP5230225B2 (ja) 2008-03-06 2013-07-10 東京エレクトロン株式会社 蓋部品、処理ガス拡散供給装置、及び基板処理装置
US8110068B2 (en) 2008-03-20 2012-02-07 Novellus Systems, Inc. Gas flow distribution receptacles, plasma generator systems, and methods for performing plasma stripping processes
KR101004927B1 (ko) 2008-04-24 2010-12-29 삼성엘이디 주식회사 Cvd용 샤워 헤드 및 이를 구비하는 화학 기상 증착 장치
JP5222040B2 (ja) 2008-06-25 2013-06-26 東京エレクトロン株式会社 マイクロ波プラズマ処理装置
US8291857B2 (en) 2008-07-03 2012-10-23 Applied Materials, Inc. Apparatuses and methods for atomic layer deposition
US8147648B2 (en) 2008-08-15 2012-04-03 Lam Research Corporation Composite showerhead electrode assembly for a plasma processing apparatus
US9222172B2 (en) 2008-08-20 2015-12-29 Applied Materials, Inc. Surface treated aluminum nitride baffle
JP5026373B2 (ja) 2008-09-04 2012-09-12 シャープ株式会社 気相成長装置及び気相成長方法
JP2010084190A (ja) 2008-09-30 2010-04-15 Sharp Corp 気相成長装置および気相成長方法
JP2010192513A (ja) 2009-02-16 2010-09-02 Hitachi High-Technologies Corp プラズマ処理装置およびその運転方法
KR101658758B1 (ko) 2009-02-20 2016-09-21 엔지케이 인슐레이터 엘티디 세라믹스-금속 접합체 및 그 제조 방법
WO2010101369A2 (ko) 2009-03-03 2010-09-10 주성엔지니어링㈜ 가스 분배 장치 및 이를 구비하는 기판 처리 장치
JP4576466B2 (ja) 2009-03-27 2010-11-10 シャープ株式会社 気相成長装置及び気相成長方法
US8183132B2 (en) * 2009-04-10 2012-05-22 Applied Materials, Inc. Methods for fabricating group III nitride structures with a cluster tool
US20100263588A1 (en) 2009-04-15 2010-10-21 Gan Zhiyin Methods and apparatus for epitaxial growth of semiconductor materials
KR101064210B1 (ko) 2009-06-01 2011-09-14 한국생산기술연구원 막증착 진공장비용 샤워헤드
US8894767B2 (en) 2009-07-15 2014-11-25 Applied Materials, Inc. Flow control features of CVD chambers
CN102576667A (zh) 2009-07-22 2012-07-11 应用材料公司 中空阴极喷头
KR101062462B1 (ko) 2009-07-28 2011-09-05 엘아이지에이디피 주식회사 샤워헤드 및 이를 포함하는 화학기상증착장치
US8258025B2 (en) 2009-08-07 2012-09-04 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing microcrystalline semiconductor film and thin film transistor
US20110117728A1 (en) 2009-08-27 2011-05-19 Applied Materials, Inc. Method of decontamination of process chamber after in-situ chamber clean
US20110065276A1 (en) 2009-09-11 2011-03-17 Applied Materials, Inc. Apparatus and Methods for Cyclical Oxidation and Etching
US8419959B2 (en) 2009-09-18 2013-04-16 Lam Research Corporation Clamped monolithic showerhead electrode
TWI385272B (zh) 2009-09-25 2013-02-11 Ind Tech Res Inst 氣體分佈板及其裝置
KR101097386B1 (ko) 2009-10-01 2011-12-23 주식회사 뉴파워 프라즈마 원격 플라즈마 발생기를 지지하는 거치대
WO2011044451A2 (en) 2009-10-09 2011-04-14 Applied Materials, Inc. Multi-gas centrally cooled showerhead design
TWI430714B (zh) 2009-10-15 2014-03-11 Orbotech Lt Solar Llc 電漿處理腔之噴撒頭組件及電漿處理腔之噴撒頭組件之氣體電離板之製備方法
US20120321910A1 (en) 2010-01-12 2012-12-20 Sundew Technologies Llc Methods and apparatus for atomic layer deposition on large area substrates
US8381275B2 (en) 2010-01-27 2013-02-19 International Business Machines Corporation Staged user deletion
ATE551439T1 (de) 2010-02-08 2012-04-15 Roth & Rau Ag PARALLELER PLATTENREAKTOR ZUR GLEICHMÄßIGEN DÜNNFILMABLAGERUNG MIT REDUZIERTER WERKZEUGAUFSTELLFLÄCHE
JP5445252B2 (ja) 2010-03-16 2014-03-19 東京エレクトロン株式会社 成膜装置
US20110256692A1 (en) 2010-04-14 2011-10-20 Applied Materials, Inc. Multiple precursor concentric delivery showerhead
JP4840832B2 (ja) 2010-04-28 2011-12-21 シャープ株式会社 気相成長装置、気相成長方法、および半導体素子の製造方法
US8608852B2 (en) * 2010-06-11 2013-12-17 Applied Materials, Inc. Temperature controlled plasma processing chamber component with zone dependent thermal efficiencies
US8910644B2 (en) 2010-06-18 2014-12-16 Applied Materials, Inc. Method and apparatus for inducing turbulent flow of a processing chamber cleaning gas
JP5474193B2 (ja) 2010-07-12 2014-04-16 株式会社アルバック 成膜装置
US8721791B2 (en) 2010-07-28 2014-05-13 Applied Materials, Inc. Showerhead support structure for improved gas flow
US9184028B2 (en) * 2010-08-04 2015-11-10 Lam Research Corporation Dual plasma volume processing apparatus for neutral/ion flux control
US8869742B2 (en) 2010-08-04 2014-10-28 Lam Research Corporation Plasma processing chamber with dual axial gas injection and exhaust
US20120052216A1 (en) 2010-08-27 2012-03-01 Applied Materials, Inc. Gas distribution showerhead with high emissivity surface
US20120135609A1 (en) 2010-11-30 2012-05-31 Applied Materials, Inc. Apparatus and Process for Atomic Layer Deposition
US9719169B2 (en) 2010-12-20 2017-08-01 Novellus Systems, Inc. System and apparatus for flowable deposition in semiconductor fabrication
WO2012122054A2 (en) 2011-03-04 2012-09-13 Novellus Systems, Inc. Hybrid ceramic showerhead
TWI534291B (zh) 2011-03-18 2016-05-21 應用材料股份有限公司 噴淋頭組件
US9695510B2 (en) 2011-04-21 2017-07-04 Kurt J. Lesker Company Atomic layer deposition apparatus and process
US8562785B2 (en) 2011-05-31 2013-10-22 Lam Research Corporation Gas distribution showerhead for inductively coupled plasma etch reactor
US9245717B2 (en) 2011-05-31 2016-01-26 Lam Research Corporation Gas distribution system for ceramic showerhead of plasma etch reactor
DE102011056589A1 (de) 2011-07-12 2013-01-17 Aixtron Se Gaseinlassorgan eines CVD-Reaktors
JP5792563B2 (ja) 2011-08-31 2015-10-14 東京エレクトロン株式会社 プラズマエッチング方法及びプラズマエッチング装置
US8960235B2 (en) 2011-10-28 2015-02-24 Applied Materials, Inc. Gas dispersion apparatus
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
CN102424956B (zh) 2011-12-02 2013-07-10 彭继忠 用于金属有机化合物化学气相沉积设备的喷淋装置
US20130288485A1 (en) 2012-04-30 2013-10-31 Applied Materials, Inc. Densification for flowable films
US9447499B2 (en) 2012-06-22 2016-09-20 Novellus Systems, Inc. Dual plenum, axi-symmetric showerhead with edge-to-center gas delivery
US9121097B2 (en) 2012-08-31 2015-09-01 Novellus Systems, Inc. Variable showerhead flow by varying internal baffle conductance
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
KR101477602B1 (ko) 2012-10-30 2014-12-30 피에스케이 주식회사 기판 처리 장치
US20140127911A1 (en) 2012-11-07 2014-05-08 Lam Research Corporation Palladium plated aluminum component of a plasma processing chamber and method of manufacture thereof
US10316409B2 (en) 2012-12-21 2019-06-11 Novellus Systems, Inc. Radical source design for remote plasma atomic layer deposition
US20140235069A1 (en) * 2013-02-15 2014-08-21 Novellus Systems, Inc. Multi-plenum showerhead with temperature control
US9255326B2 (en) 2013-03-12 2016-02-09 Novellus Systems, Inc. Systems and methods for remote plasma atomic layer deposition
US9353439B2 (en) 2013-04-05 2016-05-31 Lam Research Corporation Cascade design showerhead for transient uniformity
US9677176B2 (en) 2013-07-03 2017-06-13 Novellus Systems, Inc. Multi-plenum, dual-temperature showerhead
CN103521956A (zh) 2013-10-10 2014-01-22 光达光电设备科技(嘉兴)有限公司 分离式喷淋头结构
US10077497B2 (en) 2014-05-30 2018-09-18 Lam Research Corporation Hollow cathode discharge (HCD) suppressing capacitively coupled plasma electrode and gas distribution faceplate
US10107490B2 (en) 2014-06-30 2018-10-23 Lam Research Corporation Configurable liquid precursor vaporizer
US20150380221A1 (en) 2014-06-30 2015-12-31 Applied Materials, Inc. Hole Pattern For Uniform Illumination Of Workpiece Below A Capacitively Coupled Plasma Source
JP5963893B2 (ja) 2015-01-09 2016-08-03 株式会社日立国際電気 基板処理装置、ガス分散ユニット、半導体装置の製造方法およびプログラム
US10378107B2 (en) 2015-05-22 2019-08-13 Lam Research Corporation Low volume showerhead with faceplate holes for improved flow uniformity
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
US10358722B2 (en) 2015-12-14 2019-07-23 Lam Research Corporation Showerhead assembly
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10604841B2 (en) * 2016-12-14 2020-03-31 Lam Research Corporation Integrated showerhead with thermal control for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition
US20190119815A1 (en) 2017-10-24 2019-04-25 Applied Materials, Inc. Systems and processes for plasma filtering
US11015247B2 (en) 2017-12-08 2021-05-25 Lam Research Corporation Integrated showerhead with improved hole pattern for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition
US10472716B1 (en) 2018-05-17 2019-11-12 Lam Research Corporation Showerhead with air-gapped plenums and overhead isolation gas distributor
TW202020218A (zh) 2018-09-14 2020-06-01 美商應用材料股份有限公司 用於多流前驅物配分劑量的裝置
US11420217B2 (en) 2019-12-19 2022-08-23 Applied Materials, Inc. Showerhead for ALD precursor delivery
KR20220049926A (ko) 2020-10-15 2022-04-22 주식회사 원익아이피에스 기판처리장치

Patent Citations (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0852392A2 (en) * 1996-12-16 1998-07-08 Applied Materials, Inc. Closed-loop dome thermal control apparatus for a semiconductor wafer processing system
WO2001029282A2 (en) * 1999-10-20 2001-04-26 Cvd Systems, Inc. Fluid processing system
EP1496138A1 (en) * 2002-04-16 2005-01-12 Tokyo Electron Limited Processing system, processing method and mounting member
US20040050326A1 (en) * 2002-09-12 2004-03-18 Thilderkvist Karin Anna Lena Apparatus and method for automatically controlling gas flow in a substrate processing system
US20040082251A1 (en) * 2002-10-29 2004-04-29 Applied Materials, Inc. Apparatus for adjustable gas distribution for semiconductor substrate processing
US20050241763A1 (en) * 2004-04-30 2005-11-03 Zhisong Huang Gas distribution system having fast gas switching capabilities
US20060169201A1 (en) * 2005-02-01 2006-08-03 Wan-Goo Hwang Apparatus for supplying gas and apparatus for forming a layer having the same
JP2006324400A (ja) * 2005-05-18 2006-11-30 Shimadzu Corp シャワーヘッドおよび表面波励起プラズマ処理装置
KR20070118836A (ko) * 2006-06-13 2007-12-18 주식회사 케이씨텍 중성화빔을 이용한 표면처리장치
US20150004313A1 (en) * 2006-09-16 2015-01-01 Piezonics Co., Ltd. Apparatus of chemical vapor deposition with a showerhead regulating injection velocity of reactive gases positively and method thereof
US20080124463A1 (en) * 2006-11-28 2008-05-29 Applied Materials, Inc. System and method for depositing a gaseous mixture onto a substrate surface using a showerhead apparatus
EP1961837A1 (en) * 2007-02-26 2008-08-27 Applied Materials, Inc. Apparatus for controlling gas flow to a processing chamber
JP2008211219A (ja) * 2007-02-26 2008-09-11 Applied Materials Inc 処理チャンバへのガスフローを制御する方法及び装置
EP2187104A1 (en) * 2008-11-18 2010-05-19 Sauer-Danfoss ApS Fluid distribution valve
US20110097492A1 (en) * 2009-10-27 2011-04-28 Kerr Roger S Fluid distribution manifold operating state management system
CN102541102A (zh) * 2010-11-25 2012-07-04 东京毅力科创株式会社 处理装置
CN103993293A (zh) * 2013-02-15 2014-08-20 诺发系统公司 带温度控制的多室喷头
CN104282530A (zh) * 2013-07-03 2015-01-14 朗姆研究公司 包括等温处理区的等离子体处理设备
CN104342632A (zh) * 2013-08-07 2015-02-11 北京北方微电子基地设备工艺研究中心有限责任公司 预清洗腔室及等离子体加工设备
US20160032453A1 (en) * 2014-08-01 2016-02-04 Lam Research Corporation Systems and methods for vapor delivery
US20160168705A1 (en) * 2014-12-10 2016-06-16 Lam Research Corporation Inlet for effective mixing and purging
KR20160133373A (ko) * 2015-05-12 2016-11-22 램 리써치 코포레이션 백사이드 가스 전달 튜브를 포함하는 기판 페데스탈 모듈 및 제작 방법

Also Published As

Publication number Publication date
CN117497451A (zh) 2024-02-02
KR20190087608A (ko) 2019-07-24
US20210371982A1 (en) 2021-12-02
WO2018112197A1 (en) 2018-06-21
JP2023002673A (ja) 2023-01-10
US20230175134A1 (en) 2023-06-08
US20180163305A1 (en) 2018-06-14
US20200219757A1 (en) 2020-07-09
JP2020502793A (ja) 2020-01-23
JP7163289B2 (ja) 2022-10-31
US12000047B2 (en) 2024-06-04
US11101164B2 (en) 2021-08-24
TW201836440A (zh) 2018-10-01
US10604841B2 (en) 2020-03-31
KR102470174B1 (ko) 2022-11-22
US11608559B2 (en) 2023-03-21
CN110088885B (zh) 2023-10-13
KR20220158875A (ko) 2022-12-01

Similar Documents

Publication Publication Date Title
CN110088885A (zh) 向下游室传送自由基和前体气体以实现远程等离子体膜沉积的有热控制的集成喷头
KR102323167B1 (ko) 프로세싱 챔버 내에서의 퍼징 및 플라스마 억제를 위한 방법 및 장치
KR102405728B1 (ko) 플라즈마 식각 프로세스들에서, 코팅된 부분들을 사용한 프로세스 윈도우 확장
CN107452590A (zh) 用于在下游反应器中边缘蚀刻速率控制的可调侧气室
CN105603390B (zh) 具有主动冷却型格栅的气体分配装置
TW201637837A (zh) 具有埋入電極之陶瓷氣體分配板
CN105132889B (zh) 应用于薄膜沉积装置喷淋头中的双气路中心进气结构
CN105428194A (zh) 抑制寄生等离子体和减少晶片内非均匀性的系统和方法
CN105624646A (zh) 借助可再入流路径的阀歧管盲管消除
US10157755B2 (en) Purge and pumping structures arranged beneath substrate plane to reduce defects
JP2017036493A (ja) 原子層堆積中における化学物質の制御された分離および送出により低欠陥処理を可能にするシステムおよび方法
CN108630581A (zh) 衬底处理系统的前体蒸气供应系统中流监测的系统和方法
TW202225466A (zh) 用於高溫製程的具有獨立面板的混合式噴淋頭
TW201943888A (zh) 包含具有減少之盲管段之氣體輸送系統的基板處理系統
TW202111763A (zh) 用於雙通道噴淋頭的方法與設備
WO2020014113A1 (en) Radio frequency (rf) signal source supplying rf plasma generator and remote plasma generator
TWI838387B (zh) 功率供應系統、基板處理系統、及供應功率的方法
TW202139324A (zh) 用以管理不均勻性的晶圓平面下方之非對稱沖洗塊
TW202336801A (zh) 具有用於自由基物種輸送的孔尺寸之噴淋頭

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant