JP4601439B2 - プラズマ処理装置 - Google Patents

プラズマ処理装置 Download PDF

Info

Publication number
JP4601439B2
JP4601439B2 JP2005025333A JP2005025333A JP4601439B2 JP 4601439 B2 JP4601439 B2 JP 4601439B2 JP 2005025333 A JP2005025333 A JP 2005025333A JP 2005025333 A JP2005025333 A JP 2005025333A JP 4601439 B2 JP4601439 B2 JP 4601439B2
Authority
JP
Japan
Prior art keywords
plasma
processing
distribution
monitor
wafer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2005025333A
Other languages
English (en)
Other versions
JP2006216605A (ja
Inventor
賢治 前田
賢悦 横川
任光 金清
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Hitachi High Tech Corp
Original Assignee
Hitachi High Technologies Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hitachi High Technologies Corp filed Critical Hitachi High Technologies Corp
Priority to JP2005025333A priority Critical patent/JP4601439B2/ja
Priority to US11/065,078 priority patent/US20060169410A1/en
Publication of JP2006216605A publication Critical patent/JP2006216605A/ja
Priority to US12/105,018 priority patent/US7658815B2/en
Application granted granted Critical
Publication of JP4601439B2 publication Critical patent/JP4601439B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • H01J2237/3341Reactive etching

Description

本発明は、プラズマ処理技術に係り、特に試料に均一な処理を施すことのできるプラズマ処理技術に関する。
半導体デバイスの製造において、成膜やエッチング等の工程にプラズマ処理装置が広く用いられている。これらプラズマ処理装置には、微細化するデバイスに対応した高精度処理と、量産安定性とが求められている。また、被処理ウエハの直径は、生産性向上の観点から拡大しており、現在では直径300mmのウエハが主流となっている。ウエハの大口径化に対応し、プラズマ処理装置にはウエハ面内での、より高い処理均一性が求められている。
処理均一性に最も影響を与えるのがプラズマの均一性である。プラズマ分布を制御し均一なプラズマを得るためには、プラズマに投入する高周波電力系を2系統以上備え、これらの系統に投入する電力の比率を制御する方法、あるいは磁場と電磁波の相互作用を用いる方法が提案されている。
また、プラズマモニタリング手段を備えたプラズマ処理装置のアイデアも出されている。例えば、特許文献1には、プラズマモニタリング手段から得られたプラズマ密度の分布状態と基準分布状態との比較結果に応じて補助コイルに電流を流し、これにより反応室内のプラズマを基準分布状態にすることが示されている。
また、特許文献2には、光センサの検出信号からプラズマの発光分布を求め、発光分布を均一分布とするように各アンテナ供給電力を制御することが示されている。
特開平8−167588号公報 特開平7−86179号公報
前記特許文献1に示す装置では、CCDカメラからの画像信号を処理することによりプラズマの均一性をモニタしている。しかし、ここに示されるモニタ方法を実現するには多大なコストがかかり、また画像処理に際して複雑な操作が要求される。このため、量産に用いられる半導体製造装置に適用するのは困難である。また、CCDカメラでプラズマを画像として捉えるためには比較的大きな窓を真空容器壁に取り付ける必要がある。しかし、このような大きな窓を取り付けると、プラズマの均一性が損なわれることになる。また、前記窓に堆積物の付着や削れ等が発生し窓自身に曇りが発生する。このため長期間の使用には耐えられないことがある。
また、特許文献2に示される装置は、前記CCDカメラを用いる装置に比して、コストや簡便さの点では勝っている。しかし、量産装置に適用する際の長期安定性について考慮されていない。また、ここに示される装置は、半導体デバイスの微細化に対応することはできない。すなわち、この装置では、プラズマ生成用の電磁波に2.45GHz前後の周波数帯を用いているからである。特許文献2には使用する周波数については明記されていないが、複数あるアンテナに三角板の組み合わせやヘリカルアンテナを用いる点、夫々のアンテナと電源とのケーブルの長さで均一性を制御できる点を考慮すると、プラズマの生成にマイクロ波を用いることを前提とする技術であることは明らかである。マイクロ波を用いたプラズマ源では電子温度が高くなり処理用ガスの解離が進みすぎるため、下地やマスク材料との選択比が悪化するという欠点があるからである。すなわち、特許文献2に示されるようなマイクロ波を利用したプラズマ源を用いたのでは、近年の半導体デバイスの微細化に対応することはできない。
さらに、特許文献2には、モニタからの信号によりプラズマ分布を調節する手段として各アンテナに供給する電力を制御することが記載されている。しかし、プラズマ生成用の数10MHz帯〜数GHz帯の高周波電力を精度良く複数に分割し、しかもその分割比を制御する簡便な手段を実現することは現実的には困難である。したがって、現状ではアンテナの数だけ高周波電源を具備しなければならず、これはやはり大幅なコストアップにつながってしまう。
本発明はこれらの問題点に鑑みなされたものであり、微細デバイスに対応した高精度加工を均一に施すことのできるプラズマ処理技術を提供するものである。
本発明は上記課題を解決するため、次のような手段を採用した。
減圧可能な処理容器と、処理容器内に試料を載置するステージと、処理容器のステージに対向する面側にステージと平行に配置した略円形のアンテナ電極と、処理室内に処理ガスを導入するガス導入手段と、処理容器内に磁場を形成し、形成した磁場とアンテナ電極から放射される電磁波との相互作用により処理容器内にプラズマを生成する外部コイルと、前記アンテナ電極の半径方向の少なくとも異なる3点におけるプラズマの発光強度をモニタする発光モニタと、外部コイルに供給する励磁電流を調整する制御部を備え、 前記発光モニタは、生成されたプラズマの中央部、側縁部及びこれらの中間部の少なくとも異なる3方向に指向性を有するように処理容器外に配置し、前記制御部は、発光モニタのモニタ結果をもとに外部コイルに供給する励磁電流を調整して、プラズマの発光強度を均一に制御する。
本発明は、以上の構成を備えるため、微細デバイスに対応した高精度加工を均一に施すことのできるプラズマ処理技術を提供することができる。
以下、最良の実施形態を添付図面を参照しながら説明する。図1は、本発明の実施形態に係るプラズマ処理装置を説明する図である。図に示すように、ガス導入手段10を有する真空排気された真空処理室1の内部に温調(温度調整)装置18により温調されたウエハ載置用ステージ2を設け、該ステージに対向する面にステージと平行に略円形のアンテナ7を設ける。第一の整合器12を介し第一の高周波電源11より前記アンテナ7に高周波電力を印加し、アンテナ7から放射される電磁波と外部コイル6及びヨーク5により形成される磁場との相互作用によりプラズマを生成する。この状態で、ステージ2に接続された第二の高周波電源13から第二の整合器14を介して被処理ウエハ3に高周波バイアスを印加することによりプラズマ処理を行うことができる。
アンテナ7には、フィルタユニット15及び第三の整合器17を介して第三の高周波電源16が接続されている。また、ガス導入手段10から導入されたガスを真空処理室1に均一に供給するため、ガス分散板8及びシャワープレート9が設置されている。
アンテナ7には、プラズマからの発光分布をモニタするためのモニタ部30が備えられており、モニタ部30により取り込まれた発光分布は、光ファイバ31を介して検出部32に入力される。検出部32で検出された発光分布信号は、制御部33に入力される。制御部33は、取り込まれた発光分布に応じ、直流電源21,22を制御する。
以下、本実施形態の各構成要素について、詳細に説明する。
まず、アンテナ周りの構成について説明する。被処理ウエハ3の直上にプラズマを生成し、維持するための第一の高周波電源11の周波数は100MHzから500MHzの間で選定される。周波数が低すぎるとサブパスカル領域でのプラズマ安定性が悪く、また処理に十分なプラズマ密度が得られない。一方、周波数が高すぎると、電磁波の波長が短くなることに起因したプラズマの不均一が顕在化してくる。また、マイクロ波領域では電子温度が上昇し、処理ガスの過剰解離が発生してしまう。
従って、前記周波数帯域(100MHzから500MHz)を用いることにより、処理に用いられる0.2Pa〜20Pa程度の圧力領域において、ウエハ直上に効率良く中密度程度のプラズマが生成可能となる。図1の例では、第一の高周波電源の周波数は200MHzとした。
なお、前記周波数帯の電磁波により生成されたプラズマは、マイクロ波ECRプラズマや、誘導結合プラズマよりも低い電子温度をしており、処理ガスの過剰な解離を防ぐ効果もある。
ここで、シリコン酸化膜等の絶縁膜のエッチングを例にとって説明する。絶縁膜のエッチングに用いられるCF系のガスは、電子温度の高いプラズマで多重解離を起こすことにより、マスク材料であるレジストや下地の窒化シリコン膜との選択比を低下させるFラジカルを多量に生成する。しかし前記周波数帯で生成したプラズマは電子温度が低く、このためソースパワーを適度に調節することにより中密度程度のプラズマを生成することが可能であり、高選択比の加工に好適な解離状態を実現することができる。
また、プラズマに接するアンテナ表面の材質を工夫すると、更なる選択比の向上が期待できる。図1の例では、アンテナ電極7のステージ2側表面にはシリコン製のシャワープレート9を用いた。シリコン製シャワープレート9には、直径が0.3乃至0.8mm程度の微細な穴が数百個開けられている。さらにこのシャワープレート9とアンテナ電極7の間には、直径が0.3乃至1.5mm程度の微細な穴が数百個程度開けられたガス分散板8が設置されている。ガス分散板8とアンテナ電極7との間は処理ガスのバッファ室となっており、ガス供給系10から供給された処理ガスは分散板8とシリコン板9を介して処理室に均一に導入される。
なお、図1の処理装置を用いてシリコン酸化膜等のエッチングを行う場合は、処理ガスとして、C,C,C,C,CF,CHF,CH,CHF等のCF系のガス、Ar、Xe,N等のバッファガス、及びOを混合して用いる。さらに、より高い選択比を要求されるプロセスに対しては、前記ガス系にCOガスを添加して用いる。
プラズマに接するアンテナ表面をシリコン製とすることの利点は、シリコン酸化膜をエッチングする際に選択比を低下させる原因である気相中のFラジカルをシリコンとの反応により除去できることにある。
また、図の例では、アンテナ電極7には、フィルタユニット15及び第三の整合器17を介してアンテナバイアス用の第三の高周波電源16が接続されている。ここで、アンテナバイアス用の第三の高周波電源の周波数は、第一の高周波電力により生成したプラズマに影響を与えないよう、好ましくは100kHzから20MHzの間で、さらに好ましくは400kHzから13.56MHzの間で選定される。フィルタユニット15は、第一の高周波電力が第三の高周波電源に廻り込むこと、及び第三の高周波電源の電力が第一の高周波電源に廻り込むことを抑止する。この例では前記第三の高周波電源の周波数は4MHzとした。
上述のように、第三の高周波電源16を用いてアンテナバイアスを印加することにより、アンテナ表面でのFラジカルを除去する反応をプラズマ密度とは独立に制御することができる。このため、微細なパターンを高精細に形成することができる。
図1の例では、アンテナ電極7のステージ側表面にシリコン材を用いたが、エッチングを行う対象によっては、他の材料、例えば、シリコンカーバイト、グラッシーカーボン、石英、アルマイト処理されたアルミニウム、ポリイミド等を用いることができる。
なお、アンテナ電極7及び処理容器1の側壁は、図示しない温度制御ユニットにより一定温度に温調されており、これにより長時間に渡り安定したプロセス性能を保つことができる。
次に、ステージ周りの構成について説明する。ステージ2には、ウエハに高周波バイアスを印加してイオンを引き込むための第二の高周波電源13が接続されている。該電源の周波数は、第一の高周波電源電力により生成したプラズマに影響を与えず、かつ効率よくイオンをウエハに引き込むように、好ましくは100kHzから20MHzの間で、さらに好ましくは400kHzから13.56MHzの間で選定される。図の例においては4MHzに設定した。
また、気相中の活性種の密度分布のさらなる制御のために、ステージ2の外周部には、ウエハ3を取り囲むように、略円環状のフォーカスリング4が配置されている。図の例においてはフォーカスリングの材質としてシリコンを用いた。なお、アンテナバイアスを制御することにより、気相中のFラジカルの平均的な密度を制御することができるが、前記フォーカスリングを備えることにより、ウエハ面内でのFラジカルの密度分布を詳細に制御する事が可能となる。
処理ガスの多重解離により発生したFラジカルは、ウエハ表面のレジストによっても消費される。ウエハの外側の領域にFラジカルを消費する部材が設置されていない場合、ウエハ外周部のFラジカル密度はウエハ中央部に比して高くなってしまうが、前記フォーカスリングはこれを抑制する効果を持つ。
さらに、ウエハバイアス用の電力をフォーカスリングに分岐して印加することにより、ウエハ外周部におけるFラジカル密度抑制効果を高めることができる。図の例では、フォーカスリングの材料をシリコンとしたが、エッチングを行う対象によっては、他の材料、例えば、シリコンカーバイト、グラッシーカーボン、石英、アルマイト処理されたアルミニウム、ポリイミド等を用いることができる。
また、図示はしないが、処理ガスの導入経路を2系統とすることにより、気相中の活性種の分布制御を行わせることも可能である。
次に、プラズマ分布のモニタ制御系について説明する。モニタ制御系は、モニタ部30、検出部32、及び制御部33を備える。
モニタ部30は、所望の位置のプラズマ発光を処理容器外側に導く役割をしており、石英製ロッドをアンテナ7及び分散板8を貫通して配置して構成される。石英ロッドの下端はシャワープレート9の裏面に位置し、シャワープレートには、前記石英ロッドの下端に合致するように直径0.4乃至1.0mm程度の採光用の孔が複数個空けられている。
プラズマからの発光強度を定量的に計測できる範囲内で、採光用の孔の個数は少ないほうが望ましく、また孔径は小さい方が望ましい。なお、シャワープレートのガス供給用の孔を前記採光用の孔として流用することができる。
このような構造を採用することにより石英ロッドの下端部を直接プラズマに曝さないですむため、石英ロッド面の消耗や、荒れ、若しくは堆積物による採光量の変化を抑制することができる。図の例においてはロッドの材質に石英を用いたが、耐熱ガラス、サファイア等を用いることができる。また、ロッドの下端をアルミナやイットリア、イットリビウム等の薄膜でコーテイングしたものを用いることができる。これにより、採光用の孔を介して僅かに漏れてくるプラズマに対する耐久性を向上することができる。
図の例では、直径300mmのウエハ用の処理装置を前提とするため、3本の石英ロッドをアンテナ中心から夫々、半径20mm(中心部),80mm(中間部),140mm(外周部)の位置に配置した。石英ロッドを配置する位置はウエハサイズにより適宜設定すればよい。また、石英ロッドの本数、すなわち発光をモニタする点数は,半径位置において3点乃至5点程度が適当である。3点モニタではコスト的に有利であり、5点モニタは精度的に有利であることは言うまでもない。
次に、直径300mm以上のウエハに対応するには、モニタが最低3点必要となることについて説明する。
ここで、図2は、ウエハ面内における処理速度分布を説明する図であり、図2(a)は直径150mm以下のウエハにおける処理速度分布を示す図、図2(b)は直径300mm以上のウエハにおける処理速度分布を示す図である。
過去の直径150mm以下のウエハに対応するプラズマ処理装置においては、図2(a)に示すように、ウエハ面内における処理速度分布は単純な凸分布、あるいは凹分布となることが多かった。すなわち、ウエハサイズが小さいためにプラズマの不均一が目立たないためである。一方、直径300mm以上のウエハサイズでは、図2(b)に示すように、単純な凸凹分布ではなく、M分布やW分布といった複雑な分布形状となることがしばしば見受けられる。すなわち、これら分布形状を規定するには、最低3点のモニタ点が必要となる。
次に、モニタ点数の処理の均一性の判定確度に及ぼす影響について、その調査結果を説明する。ここで、「均一性」とは、
(Max(Ri)−Min(Ri))/(Max(Ri)+Min(Ri))*100 (%),i=1,2・・
で表される量である。Riはウエハ上でのある測定個所i点における処理速度を示している。
直径300mmのウエハを処理できるプラズマ処理装置を用いて得た様々な条件における全処理結果266件のうち、中心部と外周部の2点データの均一性が±5%以下であったものは74件であった。この中で、ウエハ面内での処理均一性が±5%以下であったものは38件であった。一方、中心、中間部、外周部の3点データの均一性が±5%以下であったものは47件であった。
すなわち、2点モニタで均一性を±5%以下と評価した場合、その中で実際にウエハ面内での処理均一性が±5%以下となるものは51%程度しかないのに対し、3点モニタで均一性を±5%と評価した場合、実際にウエハ面内での処理均一性が±5%以下となるものの割合は81%に向上する。モニタ点数を4点、5点と増やしていけば更に確度が上がっていくことは言うまでもない。
また、図の例においては、アンテナ電極7の中心に第一の高周波電源電力を給電しているため、中心部配置するモニタ用の石英ロッドを半径20mmの位置に配置したが、第一の高周波電源の給電部を中心から若干ずらし、半径0mmの位置に中心部の石英ロッドを配置することも可能である。また、中心部の石英ロッドをr=0mm方向に向けて若干チルト(傾斜)させて配置してもよい。いずれの場合もウエハ中心部の発光を得ることができ、モニタ精度を上げることができる。
モニタ部30で検出したプラズマからの発光は、光ファイバ31を介して検出部32に接続される。検出部は3つのフォトダイオードから構成されている。検出部32は光信号を電気信号に変換する機能をもつデバイスであればフォトダイオードに限らず、CCD素子,光電子増倍管等を用いることができる。また、分光器を設置し、分光観測をすることも可能である。この場合、単なるプラズマ分布だけでなく、あるラジカル種の径方向分布を知ることもできる。
電気信号に変換されたプラズマからの発光情報は、AD変換された後に制御部33に入力される。制御部33はプラズマ分布に基づきコイル用の直流電流源21,22を制御する。図の例の場合では、磁場を強くするとプラズマは外高分布となり、磁場を弱くすると中高分布となることが実験的に分かっているため、中心部での発光強度が強い場合は磁場を強く、逆に外周部での発光強度が強い場合は磁場を弱くする方向で制御を行う。
また、それぞれ異なる電流が供給される複数系統のコイル、例えば2系統のコイルを用いることにより、磁場強度だけでなく、磁力線形状を変えることにより、より精密な分布制御をおこなうこともできる。すなわち、単純な外高分布、中高分布の補正だけでなく、M型分布、W型分布の補正を行うことも可能となる。
図3は、モニタ部を処理容器1の側面に配置した例を説明する図である。なお、図3では、図を単純化するためにヨーク、コイル、電源等は省略して表示している。側面モニタ部34は処理容器側壁1のウエハ面よりも高い位置で、かつシャワープレート下面よりも低い位置に取り付けられている。側面モニタ部34は処理容器1に取り付けた金属製パイプ35を備え、該パイプの処理容器1とは反対側の端に石英窓36を取り付けた構造となっている。金属パイプ35を設けることにより、石英窓に入射する発光の入射立体角が制限されるため、所望の位置からのプラズマ発光のみを得ることができる。
すなわち、石英窓36aには処理容器中央部からの発光が入射し、石英窓36cには処理容器周辺部からの発光が入射することになる。また、金属製パイプ35により、石英窓が直接濃いプラズマに曝されないため、石英窓への堆積物や石英窓自体の削れを抑止することができる。
図3に示すようにモニタ部を構成にした場合は、アンテナ電極にモニタ部を具備した場合と異なり、石英窓から得られる発光分布はプラズマ分布を間接的に反映したものになる。すなわち、石英窓36には径方向の発光の積分値が入射するためである。正確なプラズマ分布を知るためにはアーベル変換等の作業を行う必要がある。この作業を制御部32で行うことにより、プラズマ分布が均一になるように制御を行うことができる。なお、プラズマが均一な状態における発光強度分布を予め採取しておき、実測された発光強度分布との偏差が最小になるように磁場を制御することによりプラズマ分布が均一になるように制御することができる。
また、このようにモニタ部34を処理容器側面に配置した場合、データ処理が若干煩雑になるかわりに、アンテナ周りの構造が単純化され、コスト的には有利になる。
次に本実施形態におけるプラズマ処理装置を用いて実際に処理を行った結果について説明する。図4は、本実施形態のプラズマ処理装置により、C/Ar/O混合ガスを用いてシリコン酸化膜のフラットサンプルをエッチングした際のエッチング結果の一例を示す図である。
図4に示すように、コイル6に流す電流を調整して平均磁場強度を調整することにより、エッチングレート分布を凸型(コイル電流8A)、フラット(コイル電流9A)、凹型(コイル電流10A)に制御できることが分かる。なお、エッチングレートの均一性は、それぞれ、凸型15%、フラット5%、凹型10%であった。この例の場合も、前述した例の場合と同じように、磁場強度を強くしていくに従って中高分布から均一分布を経て外高分布に制御される。
さらに、2系統あるコイルのそれぞれに流す電流の比率を変化させ、平均磁場強度だけでなく磁力線形状も含めて調節することにより、より均一性を高めることができる。
図5は、プラズマ処理装置のモニタ部より観測された発光強度分布の均一性と実際のエッチングレート分布の均一性の対応関係を説明する図である。図に示すように、発光強度分布のばらつきが極小値をとるコイル電流において、エッチングレート分布のばらつきは極小値となる。すなわち、この電流値において均一な処理が実現できることがわかる。
以上説明したように、本実施形態によれば、特定の処理の最適条件を探索する効率を格段に高めることができる。このため、処理の最適条件を得るために費やすリソース、例えばサンプルウエハコスト、時間、人件費等を削減することができる。
通常、最適条件の決定に際しては、サンプルウエハを実際にエッチングすることが行われる。この場合、処理速度や選択比などの要求を満たすため、ガス組成比、ガス流量、ソースパワー等のパラメータを変化させるとウエハ面内での処理の均一性が損なわれることがしばしば起こる。これは放電条件を変えたことによりプラズマ分布の均一性が損なわれたことが原因であることが多い。通常では、ここでさらにサンプルウエハを用いて均一性の良好な条件を探索することになる。しかし、本実施形態のプラズマ処理装置では、安価なSiダミーウエハを用いテスト放電を行うことにより、高価なサンプルウエハをエッチングすることなしにウエハ面内で均一な処理が実現できる処理条件を容易に探索することができる。
また、テスト放電では一条件につき数秒程度で発光分布が得られるのに対し、実際のサンプルを処理すると、エッチング結果を得るためには一条件あたり最低でも数十分の時間を要する。つまり、本実施形態のプラズマ処理装置を用いることにより、プロセス開発に必要となるサンプルウエハのコスト、開発時間、さらには開発人員を大幅に削減できることができる。
また、本実施形態のプラズマ処理装置は、プラズマ処理におけるプロセス開発の現場だけでなく、量産現場においても効果を発揮する。例えば、プラズマ処理装置のメンテナンス等で装置が稼動していない時間が暫く続いた直後は、処理容器内のコンディショニングを行う必要がある。このコンディショニングはSiダミーウエハを用いた数ステップからなる放電であり、反応容器内の温度と雰囲気を定常状態まで近づけることが目的である。しかし、コンディショニングを行っても、量産ロットの開始直後の初期ロットでは、処理速度の変動や、ウエハ面内での処理レート分布の変化等、プロセスのドリフトが発生する場合がしばしばある。これを回避するためにコンディショニング時間を長くすることは、スループットの低下に繋がる。
しかし、本実施形態のプラズマ処理装置を用いる場合は、ウエハ面内での処理レート分布のドリフトを回避することができる。すなわち、量産ロットを処理している定常状態での均一な発光分布をシステムに記憶させておき、ドリフトが起きる可能性が高い初期ロットでは定常状態の発光分布に近づけるような磁場制御を加えればよい。
また、この考え方を応用すれば、量産ラインでの異常検知にも応用できる。すなわち、定常状態よりも極端に発光分布が変化したときは異常事態が発生したと判断し、装置停止等の措置を講ずることが可能となる。これにより、装置異常等に起因する不良ロットの発生を防止することができる。
また、本実施形態のプラズマ処理装置を用いることにより、歩留まり向上を図ることもできる。前述のように、近年ではデバイスの微細化に対応するために、成膜、露光、エッチングのプロセスマージンはますます狭くなっている。しかし、本実施形態のプラズマ処理装置を用いることにより、ウエハ面内での処理速度の不均一に起因した不良を回避することができる。また、成膜時の膜厚不均一を補正することも可能となる。すなわち、CVDやスピンコートにより成膜された被エッチング膜の膜厚分布を予め測定しておき、それを補正するようなエッチング速度分布を設定することも可能となる。
本発明の実施形態に係るプラズマ処理装置を説明する図である。 ウエハ面内における処理速度分布を説明する図である。 モニタ部を処理容器1の側面に配置した例を説明する図である。 プラズマ処理装置により、C/Ar/O混合ガスを用いてシリコン酸化膜のフラットサンプルをエッチングした際のエッチング結果の一例を示す図である。 プラズマ処理装置のモニタ部より観測された発光強度分布の均一性と実際のエッチングレート分布の均一性の対応関係を説明する図である。
符号の説明
1 真空処理室
2 ウエハ載置用ステージ
3 ウエハ
4 フォーカスリング
5 ヨーク
6 コイル
7 アンテナ
8 ガス分散板
9 シャワープレート
10 ガス導入手段
11 第一の高周波電源
12 第一の整合器
13 第二の高周波電源
14 第二の整合器
15 フィルタユニット
16 第三の高周波電源
17 第三の整合器
18 温調装置
19 アンテナ外周絶縁リング
20 蓋部
21 第一の直流電源
22 第二の直流電源
30 モニタ部
31 光ファイバ
32 検出部
33 制御部
34 側面モニタ部
35 金属パイプ
36 石英窓

Claims (2)

  1. 減圧可能な処理容器と、
    処理容器内に試料を載置するステージと、
    処理容器のステージに対向する面側にステージと平行に配置した略円形のアンテナ電極と、
    処理室内に処理ガスを導入するガス導入手段と、
    処理容器内に磁場を形成し、形成した磁場とアンテナ電極から放射される電磁波との相互作用により処理容器内にプラズマを生成する外部コイルと、
    前記アンテナ電極の半径方向の少なくとも異なる3点におけるプラズマの発光強度をモニタする発光モニタと、
    外部コイルに供給する励磁電流を調整する制御部を備え、
    前記発光モニタは、生成されたプラズマの中央部、側縁部及びこれらの中間部の少なくとも異なる3方向に指向性を有するように処理容器外に配置し、前記制御部は、発光モニタのモニタ結果をもとに外部コイルに供給する励磁電流を調整して、プラズマの発光強度を均一に制御することを特徴とするプラズマ処理装置。
  2. 請求項記載のプラズマ処理装置において、
    前記発光モニタは、各方向におけるプラズマ発光のモニタ値をもとに、前記アンテナ電極の半径方向の少なくとも異なる3点におけるプラズマの発光強度を演算することを特徴とするプラズマ処理装置。
JP2005025333A 2005-02-01 2005-02-01 プラズマ処理装置 Expired - Fee Related JP4601439B2 (ja)

Priority Applications (3)

Application Number Priority Date Filing Date Title
JP2005025333A JP4601439B2 (ja) 2005-02-01 2005-02-01 プラズマ処理装置
US11/065,078 US20060169410A1 (en) 2005-02-01 2005-02-25 Plasma processing apparatus capable of controlling plasma emission intensity
US12/105,018 US7658815B2 (en) 2005-02-01 2008-04-17 Plasma processing apparatus capable of controlling plasma emission intensity

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2005025333A JP4601439B2 (ja) 2005-02-01 2005-02-01 プラズマ処理装置

Publications (2)

Publication Number Publication Date
JP2006216605A JP2006216605A (ja) 2006-08-17
JP4601439B2 true JP4601439B2 (ja) 2010-12-22

Family

ID=36755259

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2005025333A Expired - Fee Related JP4601439B2 (ja) 2005-02-01 2005-02-01 プラズマ処理装置

Country Status (2)

Country Link
US (2) US20060169410A1 (ja)
JP (1) JP4601439B2 (ja)

Families Citing this family (96)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8048806B2 (en) * 2000-03-17 2011-11-01 Applied Materials, Inc. Methods to avoid unstable plasma states during a process transition
US7833381B2 (en) * 2005-08-18 2010-11-16 David Johnson Optical emission interferometry for PECVD using a gas injection hole
JP4628900B2 (ja) * 2005-08-24 2011-02-09 株式会社日立ハイテクノロジーズ プラズマ処理装置
JP4801522B2 (ja) * 2006-07-21 2011-10-26 株式会社日立ハイテクノロジーズ 半導体製造装置及びプラズマ処理方法
JP5082411B2 (ja) * 2006-12-01 2012-11-28 東京エレクトロン株式会社 成膜方法
JP4833890B2 (ja) 2007-03-12 2011-12-07 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ分布補正方法
JP2008251866A (ja) * 2007-03-30 2008-10-16 Hitachi High-Technologies Corp プラズマ処理装置
KR100873923B1 (ko) * 2007-05-18 2008-12-15 (주)제이하라 플라즈마 발생장치
JP5081497B2 (ja) 2007-05-22 2012-11-28 株式会社日立ハイテクノロジーズ プラズマ処理装置
KR100888807B1 (ko) * 2007-05-23 2009-03-13 (주)제이하라 플라즈마 발생장치
JP5329167B2 (ja) * 2007-11-21 2013-10-30 東京エレクトロン株式会社 誘導結合プラズマ処理装置、誘導結合プラズマ処理方法および記憶媒体
JP5367522B2 (ja) * 2009-09-24 2013-12-11 東京エレクトロン株式会社 プラズマ処理装置及びシャワーヘッド
US8604697B2 (en) 2009-12-09 2013-12-10 Jehara Corporation Apparatus for generating plasma
US20110256692A1 (en) * 2010-04-14 2011-10-20 Applied Materials, Inc. Multiple precursor concentric delivery showerhead
US8264153B2 (en) 2010-08-09 2012-09-11 Jehara Corporation Plasma source for large size substrate
US8425719B2 (en) 2010-08-09 2013-04-23 Jehara Corporation Plasma generating apparatus
JP5730521B2 (ja) * 2010-09-08 2015-06-10 株式会社日立ハイテクノロジーズ 熱処理装置
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
JP5808697B2 (ja) * 2012-03-01 2015-11-10 株式会社日立ハイテクノロジーズ ドライエッチング装置及びドライエッチング方法
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
JP6516649B2 (ja) * 2015-10-09 2019-05-22 東京エレクトロン株式会社 プラズマエッチング方法
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10651017B2 (en) * 2016-06-30 2020-05-12 Tokyo Electron Limited Method for operation instability detection in a surface wave plasma source
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
KR102159894B1 (ko) * 2016-11-30 2020-09-24 도쿄엘렉트론가부시키가이샤 플라스마 처리 장치
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
GB201705202D0 (en) 2017-03-31 2017-05-17 Univ Dublin City System and method for remote sensing a plasma
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10424487B2 (en) 2017-10-24 2019-09-24 Applied Materials, Inc. Atomic layer etching processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
CN108682637B (zh) * 2018-05-21 2020-10-13 深圳市希迈科科技有限公司 一种半导体芯片等离子刻蚀机
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
CN112585726B (zh) * 2019-07-29 2023-07-14 株式会社日立高新技术 等离子处理装置
JP7336959B2 (ja) * 2019-10-28 2023-09-01 東京エレクトロン株式会社 制御方法及びプラズマ処理装置
JPWO2022202551A1 (ja) * 2021-03-23 2022-09-29

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0786179A (ja) * 1993-09-10 1995-03-31 Hitachi Ltd プラズマ処理装置
JP2001313285A (ja) * 2000-02-21 2001-11-09 Hitachi Ltd プラズマ処理装置及び試料の処理方法
JP2002231596A (ja) * 2001-02-01 2002-08-16 Hitachi Ltd 半導体装置の製造方法および製造システム

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4859277A (en) * 1988-05-03 1989-08-22 Texas Instruments Incorporated Method for measuring plasma properties in semiconductor processing
JPH08167588A (ja) 1994-12-12 1996-06-25 Sony Corp プラズマ処理装置及びプラズマモニタリング装置
US5733820A (en) * 1995-04-27 1998-03-31 Sharp Kabushiki Kaisha Dry etching method
FR2735225B1 (fr) 1995-06-12 1997-09-05 Motorola Semiconducteurs Capteur de position optoelectronique et systeme de compensation pour un tel capteur
JP3709552B2 (ja) * 1999-09-03 2005-10-26 株式会社日立製作所 プラズマ処理装置及びプラズマ処理方法
TW525213B (en) * 2000-02-16 2003-03-21 Hitachi Ltd Process monitoring methods in a plasma processing apparatus, monitoring units, and a sample processing method using the monitoring units
TW580735B (en) * 2000-02-21 2004-03-21 Hitachi Ltd Plasma treatment apparatus and treating method of sample material
JP4165638B2 (ja) * 2002-09-02 2008-10-15 東京エレクトロン株式会社 プロセスの監視方法及びプラズマ処理装置
JP3905466B2 (ja) * 2002-12-05 2007-04-18 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0786179A (ja) * 1993-09-10 1995-03-31 Hitachi Ltd プラズマ処理装置
JP2001313285A (ja) * 2000-02-21 2001-11-09 Hitachi Ltd プラズマ処理装置及び試料の処理方法
JP2002231596A (ja) * 2001-02-01 2002-08-16 Hitachi Ltd 半導体装置の製造方法および製造システム

Also Published As

Publication number Publication date
US20060169410A1 (en) 2006-08-03
JP2006216605A (ja) 2006-08-17
US20080210376A1 (en) 2008-09-04
US7658815B2 (en) 2010-02-09

Similar Documents

Publication Publication Date Title
JP4601439B2 (ja) プラズマ処理装置
US10090160B2 (en) Dry etching apparatus and method
US6503364B1 (en) Plasma processing apparatus
US7686917B2 (en) Plasma processing system and apparatus and a sample processing method
JP5161469B2 (ja) プラズマ処理装置
US20070224709A1 (en) Plasma processing method and apparatus, control program and storage medium
US20090152241A1 (en) Plasma etching apparatus and plasma etching method
JP5149610B2 (ja) プラズマ処理装置
JP2008251866A (ja) プラズマ処理装置
KR101290676B1 (ko) 플라즈마 처리장치 및 플라즈마 처리방법
JP2008286784A (ja) 分圧測定方法および分圧測定装置
JP2020517106A (ja) 遠隔プラズマモニタリングのための発光分光法(oes)
TWI498965B (zh) Plasma etching apparatus and plasma etching method
TWI737144B (zh) 電漿處理裝置、電漿處理方法及電子迴旋共振(ecr)高度監視器
KR100682788B1 (ko) 반도체 장치 및 액정표시 장치의 처리 방법 및 처리 장치
JPH10280173A (ja) エッチング方法及びエッチング装置
KR20070018404A (ko) 플라즈마 식각 장치
CN111725046A (zh) 等离子体处理方法和等离子体处理装置
KR20070069359A (ko) 플라즈마 장치 및 이를 이용한 플라즈마 제어방법
JP2002124398A (ja) プラズマ処理方法及び装置
JP4098711B2 (ja) プラズマ処理装置
KR101288047B1 (ko) 멀티 프리퀀시를 이용한 가스 분석장치
CN114582695A (zh) 腔室状况的诊断方法
JPH10189542A (ja) プラズマエッチング方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20071001

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20080327

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100706

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100810

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20100914

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20100928

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20131008

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

LAPS Cancellation because of no payment of annual fees