JP5774778B2 - プラズマ発生源、スパッタリング装置、中性粒子ビーム発生源及び薄膜蒸着システム - Google Patents

プラズマ発生源、スパッタリング装置、中性粒子ビーム発生源及び薄膜蒸着システム Download PDF

Info

Publication number
JP5774778B2
JP5774778B2 JP2014513443A JP2014513443A JP5774778B2 JP 5774778 B2 JP5774778 B2 JP 5774778B2 JP 2014513443 A JP2014513443 A JP 2014513443A JP 2014513443 A JP2014513443 A JP 2014513443A JP 5774778 B2 JP5774778 B2 JP 5774778B2
Authority
JP
Japan
Prior art keywords
plasma
belt
generation source
plasma chamber
plasma generation
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2014513443A
Other languages
English (en)
Other versions
JP2014522551A (ja
Inventor
ジェ ユ、ソク
ジェ ユ、ソク
ボン キム、ソン
ボン キム、ソン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Korea Basic Science Institute KBSI
Original Assignee
Korea Basic Science Institute KBSI
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from KR1020110055417A external-priority patent/KR101307019B1/ko
Priority claimed from KR1020120049386A external-priority patent/KR101383530B1/ko
Application filed by Korea Basic Science Institute KBSI filed Critical Korea Basic Science Institute KBSI
Publication of JP2014522551A publication Critical patent/JP2014522551A/ja
Application granted granted Critical
Publication of JP5774778B2 publication Critical patent/JP5774778B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3266Magnetic control means
    • H01J37/32669Particular magnets or magnet arrangements for controlling the discharge
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • C23C14/35Sputtering by application of a magnetic field, e.g. magnetron sputtering
    • C23C14/354Introduction of auxiliary energy into the plasma
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • C23C14/35Sputtering by application of a magnetic field, e.g. magnetron sputtering
    • C23C14/354Introduction of auxiliary energy into the plasma
    • C23C14/357Microwaves, e.g. electron cyclotron resonance enhanced sputtering
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/54Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32192Microwave generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3266Magnetic control means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/34Gas-filled discharge tubes operating with cathodic sputtering
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
    • H05H1/461Microwave discharges

Description

本発明は、プラズマ発生源及びその応用に関するものであり、さらに詳細には、永久磁石の配列を用いて高密度プラズマを発生するプラズマ発生源及びこれを用いた高効率、大面積の可能なスパッタリング装置と、高フラックス中性粒子ビームを発生させる中性粒子ビーム発生源及びスパッタリング装置と、中性粒子ビーム発生源を組み合わせた薄膜蒸着システムに関するものである。
プラズマは、様々な用途に応用されており、特に、薄膜を形成する工程において重要な技術要素となっている。半導体、OLED、太陽電池、LED、ダイヤモンド薄膜といった先端素材分野においては、高品質の薄膜蒸着が求められており、こうした要求を満足させることのできる大面積かつ高密度プラズマの発生は、非常に重要な技術である。
昨今、活用度が高まりつつあるフレキシブル電子デバイス、すなわちフレキシブルディスプレイ、フレキシブルライト、フレキシブル太陽電池、フレキシブル二次電池等に適用される薄膜形成においては、広い面積にわたり高密度プラズマを生成すると同時に、プラズマによって発生する高エネルギー粒子とプラズマ電子による薄膜の損傷を最小限に留めることのできる、スパッタリング技術が必要である。また、フレキシブルプラスチック基板を用いることができるよう、低温で高品質薄膜を蒸着することのできる低温工程技術が求められている。
既存のマグネトロンスパッタリング技術は、ターゲットに−500V以上の高電圧を印加することで、プラズマ発生とイオン加速を同時に解決するプラズマ発生電力とイオン加速電圧が一元化されている技術である。このように、マグネトロンスパッタリングのプラズマ発生電力とイオン加速電圧が一元化されている従来の技術は、ターゲットに高電圧印加しなければならないため、高エネルギー粒子の発生が避けられない。もし、高エネルギー粒子の発生を最小限に留めるために、ターゲット印加電圧を減少すれば、プラズマが不安定になったり、プラズマ密度が顕著に低下して蒸着速度が大きく落ちるという問題点が発生する。
このような問題点を解決するためには、プラズマ発生電力とイオン加速電圧を分離して、それぞれを独立的に制御する技術が必要である。プラズマ発生電力とイオン加速電圧を分離印加することができれば、ターゲット印加電圧の水準に関係なくターゲット付近に高密度プラズマの発生を望む場合その実現が可能であるが、このような目的を満足する大面積、高密度プラズマ発生源の技術開発は容易ではない。
一方、低温で高品質薄膜を蒸着するためには、高品質薄膜を蒸着するためには、既存の基板加熱の代わりに、薄膜が蒸着されている間に薄膜表面の原子層を同時に加熱することのできる原子スケールの加熱(atomic scale heating)技術が必要である。中性粒子ビームは、原子スケールの加熱を行うことができ、低温での大面積、高品質薄膜蒸着に有利な技術ではあるものの、中性粒子ビームが原子スケールの加熱効果を発揮するためには、高フラックス中性粒子ビームを発生しなければならない。既存の中性粒子ビーム発生源は、プラズマリミッタが中性化反射板と基板の間に設置されており、中性粒子ビームが基板に到達する際、障害物の役割をするという問題点がある。
既存の中性粒子ビーム発生源の問題点を解決するためには、プラズマリミッタがない状態で、かつプラズマと基板の相互作用を最小限に留め、高フラックス中性粒子ビームを発生することのできる高密度プラズマを発生させる技術が必要であるが、技術開発が容易ではない。
したがって、フレキシブル電子デバイス、すなわちフレキシブルディスプレイ、フレキシブルライト、フレキシブル太陽電池、フレキシブル二次電池等の製造分野に求められる薄膜を蒸着するためには、新たなスパッタリング装置と、プラズマリミッタのない高フラックス中性粒子ビーム発生源が必要となる。このような装置は、新たな薄膜の目的に適った大面積、高密度プラズマ発生源の開発により、容易に実現することができる。そのため、大面積、高密度プラズマ発生源の開発が核心的技術ではあるものの、未だ満足できるレベルで提供されてはいない。
一方、従来の技術においては、高真空で高密度プラズマを得るために、永久磁石または電磁石を用いて磁場を形成し、マイクロ波を照射して電子サイクロトロン共鳴(Electron Cyclotron Resonance、ECR)プラズマを発生させた。だが、従来の技術は磁場の構造のため電子サイクロトロン共鳴の領域において発生した高密度プラズマの閉じ込め(confinement)に問題点がある。例えば、複数の永久磁石を所定の間隔をおいて配列することでカップス場を形成する場合、cups field内で形成された電子サイクロトロン共鳴の領域で発生したプラズマは、曲線の磁場と電場によってExBドリフト(E−cross−B drift)、磁場勾配ドリフト(gradient B drift)、磁場の曲率ドリフト(curvature drift)等のドリフト運動(drift motion)を行うが、ドリフト運動の軌跡が一直線の発散軌跡(計曲線)を描いており、プラズマ、とりわけ電子が磁石の両端に抜けてプラズマ閉じ込めに問題が発生する。また、従来の技術の例は、cups fieldを形成することでプラズマ閉じ込めの問題を解決するために磁石の配列を補っていたが、不連続的な磁場の分布によりプラズマドリフト運動が不連続的な奇跡を描いており、プラズマ閉じ込め効果が減少する問題点がある。
一方、従来の技術においては、マイクロ波照射装置とプラズマの間に誘導体窓を用いて真空を維持すると同時に、マイクロ波を入射していた。しかし、このようなプラズマ発生源において蒸着工程を行うと、蒸着物質が誘導体窓をコーティングすることでマイクロ波の透過率を顕著に減少させ、プラズマ密度を減少させるため、肯定の信頼性を下げるという問題点がある。
したがって、本発明の目的は、プラズマ閉じ込め効果を最大限に高めることができる磁気構造と、これに伴う磁場とマイクロ波を連動した設計を通して、大面積高密度プラズマを発生するプラズマ発生源の提供を試みるものである。
また、本発明の他の目的は、プラズマを用いた蒸着工程のうち、誘電体窓のコーティング問題を解消することができる、マイクロ波照射装置を含むプラズマ発生源の提供を試みるものである。
また、本発明の他の目的は、上記のプラズマ発生源を用いたスパッタリング装置および中性粒子ビーム発生源と、これらを組み合わせた薄膜蒸着システムの提供を試みることにある。
本発明は、プラズマ発生空間を形成するプラズマチャンバ;
上記プラズマチャンバの外壁を囲む形で配置された一組以上のベルト型磁石;および
上記プラズマ発生空間にマイクロ波を照射するマイクロ波照射装置;を
含み、
上記プラズマチャンバは、シリンダ型、楕円トラックの底面を持つシリンダ型、または多角形の底面の多角柱のいずれかで構成され、
上記ベルト型磁石は、連続した磁石の配列を持ち、
上記のマイクロ波照射装置は、照射方向を調節することで、マイクロ波の電場が一組以上のベルト型磁石によって、プラズマ発生領域に形成される磁場の方向と垂直になるようマイクロ波を照射し、磁場分布に沿ってプラズマ密度を高めることを特徴とするプラズマ発生源を提供する。
また、本発明は、上記プラズマチャンバとマイクロ波照射装置は、マイクロ波が照射される開口部において相互に疎通し、上記プラズマチャンバとマイクロ波照射装置は、共に真空化が可能であることを特徴とするプラズマ発生源を提供する。
また、本発明は、上記のマイクロ波照射装置は、正方形導波管、シリンダ型導波管、環状導波管、トーラス型導波管または上記導波管にスリットを形成したスリット型導波管を含み、上記マイクロ波照射装置は、マイクロ波をパルスモードまたは連続モードで照射することを特徴とするプラズマ発生源を提供する。
また、本発明は、上記プラズマ発生源のプラズマチャンバ内部に、一つ以上のターゲットを設置し、上記ターゲットにバイアス電圧を印加してスパッタリングを発生させ、
上記のターゲットは、上記ベルト型磁石によってプラズマ発生空間に形成される磁場に囲まれるようにプラズマチャンバの内側壁に沿って一つ以上付着され、
上記プラズマチャンバの上面に平行に配置される一つ以上のターゲットをさらに設置して、
一つ以上の物質を基板に同時蒸着することができることを特徴とするスパッタリング装置を提供する。
また、本発明は、上記ターゲットに印加されるバイアス電圧は、直流電圧、交流電圧、パルス、またはこれらの混合からなる電圧であることを特徴とするスパッタリング装置を提供する。
また、本発明は、上記プラズマ発生源のプラズマチャンバ内部に、一つ以上の電気伝導性の高い物質で構成された中性化反射板を設置して、上記の中和プレートにバイアス電圧を印加して中性粒子ビームを生成し、
上記の中和プレートは、上記ベルト型磁石によってプラズマ発生空間に形成される磁場に囲まれるよう、プラズマチャンバの内側壁に沿って一つ以上付着され、
上記プラズマチャンバの上面に平行に配置される一つ以上の中和プレートをさらに設置することで、中性粒子ビームを発生させることを特徴とする中性粒子ビーム発生源を提供する。
また、本発明は、
プラズマを生成するプラズマ放電空間を提供するプラズマチャンバ;
プラズマイオンを、衝突によって中性粒子に変換させるために、上記プラズマチャンバ内部に設置される中和プレート;
中性粒子以外のプラズマイオンと電子を上記プラズマ放電空間に制限するよう、上記プラズマ放電空間の下端に設置されているリミッタ;
上記プラズマチャンバに装着され、プラズマチャンバ内にマイクロ波を出射するマイクロ波照射装置、および
上記プラズマチャンバの周囲を囲む一組のベルト型の磁石;を含み、
上記の一組のベルト型磁石のそれぞれは、ベルトの内部と外部が相補的な磁力極性を示し、プラズマチャンバの周囲に上下平行に配列される2つのベルト型磁石の磁力の極性も、上下の位置において互いに補うよう構成することを特徴とする中性粒子ビーム発生源を提供する。
また、本発明は、上記スパッタリング装置を一つ以上設置し、上記中性粒子ビーム発生源一つ以上を組み合わせたことを特徴とする薄膜蒸着システムを提供する。
また、本発明は、上記ターゲットまたは中和プレートに印加されるバイアス電圧は、直流電圧、交流電圧、パルス、またはこれらの混合からなる電圧であることを特徴とする薄膜蒸着システムを提供する。
また、本発明は、上記中和プレートは、金属、シリコンまたはグラファイトのうち一つで構成することを特徴とする薄膜蒸着システムを提供する。
本発明によれば、プラズマ発生源は、ベルト型の磁石がプラズマチャンバ内に形成される磁場と、マイクロ波の電場の相互作用により、低い運転圧力において、すなわち、高真空で高密度プラズマをチャンバ空間内に大面積にわたり均一に分布することができる。
また、本発明によれば、ベルト型磁石は、特別に磁気構造のスキャン等の駆動を必要とせず、かつ磁場を大面積にわたって分布させることができるため、大面積基板に均一に物質を蒸着することができる。
また、本発明は、プラズマチャンバをステンレス等の非磁性金属材料で構成し、真空シールのためにOリング等を用いず、石英やガラス等でプラズマチャンバを構成する場合に比べ、チャンバ内に高真空状態を形成することができ、これは、生成される中性粒子ビームの平均自由行程を大幅に向上させることができる。
また、本発明によれば、上記プラズマ発生源を用いたスパッタリング装置は、プラズマ発生電力とイオン加速電圧を分離し、独立して調節することにより、高エネルギー粒子による薄膜の損傷を最小限に抑え、高品質の薄膜を蒸着させることができる。また、低い運転圧力において高密度プラズマをターゲット付近に発生させることで、スパッタリング効率、スパッタされた粒子の直進性を向上させることができる。また、ターゲットの種類及び個数を自由に選択することができ、同時蒸着(co−deposition)等、多様な工程が可能となる。
また、本発明によれば、上記スパッタリング装置において、ターゲットを中和プレートに代替した中性粒子ビーム発生源は、高フラックス中性粒子ビームを大面積に供給することができ、とりわけプラズマリミッタがない状態でも、プラズマ−基板の相互作用を最小限に抑えることができるという特徴がある。
また、本発明によれば、上記スパッタリング装置と、上記中性粒子ビーム発生源を一つ以上組み合わせて薄膜蒸着要素物質と薄膜蒸着に必要なエネルギーを同時に供給することにより、高品質の薄膜を形成することができる薄膜蒸着システムを実現することができる。
本発明のプラズマ発生源構成の概略断面図である。 上記プラズマ発生源の構成要素であるベルト型磁石を構成する磁石構造の平面図である。 上記プラズマ発生源の構成要素であるベルト型磁石を構成する磁石構造の平面図である。 本発明のプラズマ発生源の斜投影図である。 図1aのプラズマ発生源に適用されるマイクロ波照射装置の構成を、より明確に表現した断面図である。 図1aのプラズマ発生源に適用されるトーラス型マイクロ波照射装置の構成を表現した断面図である。 図1aのプラズマ発生源に適用される四角形またはシリンダ型マイクロ波照射装置の構成を表現した断面図である。 図1aのプラズマ発生源を用いたスパッタリング装置の構成を示す断面図である。 図5のスパッタリング装置を変形して構成した中性粒子ビーム発生源を示す断面図である。 図5のスパッタリング装置と、図6の中性粒子ビーム発生源を組み合わせて構成した薄膜蒸着システムの一実施例を示す断面図である。 リミッタを含む本発明の中性粒子ビーム発生源の構成の概略断面図である。
以下、本発明の望ましい実施例について、添付図面を参照して詳細に説明する。
図1aを見ると、本発明のプラズマ発生源の構成を示されている。
プラズマを発生する空間を提供するプラズマチャンバ(100)の側壁には、一組以上のベルト型磁石(400)が装着されており、プラズマチャンバ(100)の上端には、マイクロ波照射装置(200)(launcherともいう)が装着されており、上記マイクロ波照射装置(200)からマイクロ波をプラズマチャンバ(100)内に放出することとなる。
特に、本発明は、上記のマイクロ波照射装置(200)から、マイクロ波がプラズマチャンバ(100)内に入射される地点を誘電体窓がない状態で、完全な開口部として構成し、蒸着工程進行中蒸着物が窓を汚染することによるマイクロ波透過率低下の問題を解決した。
図1b及び図1cは、図1aのプラズマ発生源外壁に設置される一組のベルト型磁石(400)の平面図である。すなわち、A型のベルト型の磁石(図1b)とB型のベルト型磁石(図1c)を上下に配置し、図1aのような形状の磁場を形成することができる。このようなベルト型磁石は、一組ではなく複数組配置することができ、これによって、プラズマ発生空間内に図1aのような曲線の磁場を連続的に分布することとなる。図1b及び図1cに示したベルト型磁石は、円形や楕円形のトラック、または任意の閉多角形で構成することができることは勿論である。上記の磁場は、途切れることなく連続し、これは、ベルト型マグネット(400)そのものが連続的な構成を持つためであり、このような連続構造は、マイクロ波をプラズマチャンバ(100)の側壁ではなく上端開口部を介して入射するためである。連続的に形成された磁場は、発生したプラズマの電子を捉えプラズマチャンバ側壁に沿ってトロイダル(toroidal)型の軌跡を描き、継続的にドリフト運動(drift motion)をさせることで、プラズマ閉じ込め(plasma confinement)効果を顕著に向上させることができる。すなわち、電子の運動を平均的に見ると、図1dの射影等図のように連続的な回帰軌跡を示し、プラズマ閉じ込め効果を大幅に向上させることができるようになる。
プラズマチャンバ(100)は、円筒形、楕円トラックの底面を持つシリンダ型、または多角形底面の多角柱である可能性があり、上記ベルト型マグネット(400)は、プラズマチャンバ(100)の構造に応じて、円、トラック、四角、それ以外のいくつかの形状でプラズマチャンバ(100)の側壁に装着され、プラズマチャンバ(100)内に電子サイクロトロン共鳴(Electron Cyclotron Resonance、ECR)磁場が形成されるよう促す。ここでは、電子サイクロトロン共鳴磁場、Bresは、次式の通りである。
Figure 0005774778
f:マイクロ波周波数、e:電子の電荷、m:電子の質量
また、マイクロ波照射装置(200)から照射されるマイクロ波の周波数は、プラズマイオン周波数よりも高いものを用いる。ここでは、プラズマイオン周波数、Ωiは、次式の通りである。
Figure 0005774778
:イオン密度、Z:原子番号、e:電子の電荷、m:イオンの質量
上記のようなプラズマ発生源は、外壁を取り囲むように設置一組以上のベルト型磁石(400)による磁場と、マイクロ波照射装置(200)に照射されるマイクロ波の電場は、互いに垂直をなしてECR(Electron Cyclotron Resonance)プラズマを形成し、プラズマ密度を高めることができ、また、このような高密度プラズマを大面積で発生させることができる。また、高密度プラズマを1mTorr以下の低圧高真空においても発生させることができ、粒子の平均自由行程を増加させて応用に有利である。
一方、上記プラズマを発生させるマイクロ波照射装置(200)のマイクロ波照射モードを必要に応じてパルスモードまたは連続モードに調整することで、適用の可能性を広げることができる。
以下、上記図1aないし図1dに基づいたプラズマ発生源の変形実施例、およびその応用に基づくスパッタリング装置、中性粒子ビーム発生源と薄膜蒸着システムについて詳細に説明する。
図1aおよび図1dのマイクロ波照射装置(200)を上から見ると、円形、楕円形、それらを利用したトラック型、または四角形等の形に見える。図2は、上記マイクロ波照射装置(200)にスリット(250)を形成した場合を示しており、図3は、トーラス型マイクロ波照射装置(200)にスリットを形成した場合を表し、図4は、四角形またはシリンダ型マイクロ波照射装置(200)を表しており、このようなマイクロ波照射装置(200)は、多数で構成されることで出力を高めることができる。
図5を見ると、上記のプラズマ発生源を応用したスパッタリング装置(800)が示されている。上記スパッタリング装置(800)は、マイクロ波の周波数がプラズマイオン周波数よりも高いプラズマイオンの運動に影響を与えず、電子を加熱することでプラズマを発生させることができ、上記ターゲット(700、710、720)に印加するバイアス電圧は、プラズマイオン周波数よりも低い周波数で印加することでターゲットに入射するイオンのエネルギーを調節することができ、プラズマ発生電力とイオン加速電圧を分離することを特徴とする。上記スパッタリング装置(800)においてプラズマ発生電力とイオン加速電圧を二元化することで、ターゲットのバイアス電圧とは関係なく、安定した高密度プラズマが維持されることで、低いターゲット印加電圧においてプラズマが不安定になるという既存のスパッタ装置とは区別される。また、既存のスパッタリング装置は、ターゲットのバイアス電圧が高いため、高エネルギー粒子が発生して薄膜に損傷を与える一方で、本実施例のスパッタリング装置(800)は、ターゲットバイアス電圧を下げることができ上記の問題を最小限に抑えることができるという利点がある。
プラズマチャンバの側壁に設置されたターゲット(700、710)付近の磁場に高密度プラズマを発生させることができるため、高効率のスパッタリングが期待できる。
のみならず、ベルト型磁石(400)の磁場構造のために、ターゲット(720)付近に非常に均一な高密度プラズマが分布し、ターゲット(720)のエッチング分布が均一でターゲット(720)の使用効率を高めることができる。また、上記ターゲット(720)は、大面積での構成が可能であり、これはプラズマ分布が大面積にわたり高密度に形成されうるためである。
一方、このスパッタリング装置(800)のターゲット(700、710、720)のバイアス電圧は、工程の目的に応じて直流電圧、交流電圧、直流パルス、交流パルスまたはこれらの混合により形成される電圧等、多様に変化させることができ、薄膜の特性を調整することができる。
また、上面と平行に設置されたターゲット(720)の側壁に設置するターゲット(700、710)は、それぞれ異なる材料で構成され、ホスト物質とドーパント物質を同時に蒸着することができる利便性を提供する。
具体例として、1つのターゲット(700)からZnを、異なるターゲット(710)からは、Inを、さらに別のターゲット(720)からはGaを形成することで、基板上にIGZOを形成することができる。このような配列においては、酸素陰イオン(negative ion)による薄膜の損傷を最小限に抑えることができると同時に、蒸着速度を向上させることができるという利点を持つ。
プラズマチャンバ内壁に設置されるターゲット(700、710)は、複数の断片を放射状に配置することができ、プラズマチャンバに水平面に配置されているターゲット(720)は、プラズマチャンバ上面に付着、もしくはチャンバ内の中央部に配置することもでき、これらも多くの断片で構成することもでき、ターゲットは複数の異なる材料で構成されうるものの、高速、高効率、均一薄膜蒸着のために、配列が調節された同一の物質でもありうる。
したがって、大面積のターゲット等、ターゲットの設定が自由でプラズマチャンバ内壁に設置されるターゲット(700、710)は、ベルト型磁石(400)による磁場に囲まれ、ターゲットの近くに高密度プラズマを発生させることができ、高効率スパッタリングが可能となる。
また、このようにプラズマチャンバの内壁に複数のターゲット(700、710)を設置する場合、プラズマチャンバ(100)を楕円トラックの底面を持つシリンダ型あるいは多角柱に構成すれば、薄膜の構成要素の個数及び含有量に最適となるよう、多数のターゲットの設置に非常に便利であり、ベルト型磁石(400)による磁場効果も調整することができるという利点がある。
また、本実施例のスパッタリング装置は、高真空において高密度プラズマを発生させ、スパッタされた粒子の直進性が向上し、トレンチパターンのある薄膜蒸着時、縦横比(aspect ratio)を向上させることができる。
本実施例のスパッタリング装置は、プラズマ発生電力とイオン加速電圧を独立して調節し、ベルト型磁石(400)を用いて形成した磁場により、プラズマ荷電粒子を拘束することで、別途のプラズマリミッタがない状態でも、プラズマ−基板の相互作用を最小限に抑えることができ、プラズマによる薄膜の損傷を最小限に留めることができる。しかし、必要に応じてチャンバ境界部にプラズマリミッタをさらに設置することができる点は、当業者に明らかな事項である。
図6は、上記スパッタリング装置(800)を変形した中性粒子ビーム発生源(900)の構成を表す。
スパッタリング装置(800)において、ターゲット(700、710、720)を導電性の高い物質で構成された中和プレート(300)に代替すると、中性粒子ビーム発生源(900)となる。タングステン等の金属、シリコン、グラファイト等、導電性が高い物質で構成された中和プレート(300)に−100V以下の低バイアス電圧を印加することで、中性粒子ビームを発生させることができ、バイアス電圧の多様なモードもまた、上記スパッタリング装置構成において同一に適用されうる。本実施例に係る中性粒子ビーム発生源(900)は、上記スパッタリング装置(800)と同じ原理で高密度プラズマが発生し、高フラックスの中性粒子ビームを発生することができる。本実施例の中性粒子ビーム発生源は、プラズマリミッタの設置がない状態においても、プラズマ − 基板相互作用を最小限に抑えることができ、既存の中性粒子ビーム発生源と区別される。また、高真空下において高密度プラズマ発生による中性粒子ビームの高フラックスと合わせて、平均自由行程の増加による利点も同一に表われる。しかし、必要に応じてャンバ境界部にプラズマリミッタをさらに設置することができる点は、当業者に明らかな事項である。
図7は、上記スパッタリング装置(800)と中性粒子ビーム発生源(900)を組み合わせて実装した薄膜蒸着システム(1000)の一実施例を表す。
上記薄膜蒸着システム(1000)によると、スパッタリング装置(800)によって薄膜を構成する粒子を供給すると同時に、中性粒子ビームによる薄膜形成に必要なエネルギーを追加供給することで、低温工程においても高品質の薄膜を形成することができるという利点がある。
上記図7では、一つのスパッタリング装置(800)を中心に、両側にそれぞれ一つずつ二つの中性粒子ビーム発生源(900)を設置することで、薄膜蒸着システム(1000)を実装したものの、スパッタリング装置(800)一つと中性粒子ビーム発生源(900)一つを組み合わせることも可能で、その組み合わせ方法は、当業者によっていくらでも多様な変形が可能なことは勿論である。
図8は、本発明の中性粒子発生源の構成にリミッタ(500)をさらに含む構成を表す。リミッタ(500)がない状態でも、プラズマ−基板の相互作用を最小限に抑えることができるが、リミッタ(500)をさらに設置することで、中性粒子ビームがプラズマチャンバ(100)から基板(600)のある工程チャンバ(図示せず)に出射される際、荷電された粒子をさらに完全に取り除くことができる。
一方、ベルト型磁石(400)は、永久磁石ではなく電磁石でも構成することができ、この場合マイクロ波の周波数を増加させることができ、このため、プラズマ密度を向上させることができる。
本発明の権利は、上述した実施例に限定されず、請求範囲に記載されたところにより定義され、本発明の分野における通常の知識を有する者が、請求範囲に記載された権利の範囲内で種々の変形及び改造を行うことができるという点は明白である。
本発明は、プラズマを用いて薄膜を形成する工程に広く利用することができ、とりわけ、半導体、OLED、太陽電池、LED、ダイヤモンド薄膜などの先端産業分野に、本発明のプラズマ発生源及び薄膜蒸着システムを用いることができる。
100 プラズマチャンバ
200 マイクロ波照射装置
250 スリット
300 中和プレート
400 ベルト型磁石
600 基板
700、710、720 ターゲット
800 スパッタリング装置
900 中性粒子ビーム発生源
1000 薄膜蒸着システム

Claims (8)

  1. プラズマ発生空間を形成するプラズマチャンバ;
    上記プラズマチャンバの外壁を囲む形で配置された一組以上のベルト型磁石であって、上記の一組のベルト型磁石のそれぞれは、ベルトの内部と外部が相補的な磁力極性を示し、プラズマチャンバの周囲に上下平行に配列される2つのベルト型磁石の磁力の極性も、上下の位置において互いに補うよう構成されるベルト型磁石;および
    上記プラズマ発生空間にマイクロ波を照射するマイクロ波照射装置;を含み、
    上記ベルト型磁石は、隙間なく連続した磁石の配列を持ち、形成される磁場が上記プラズマ発生空間に連続して形成され、
    上記マイクロ波照射装置は、環状導波管またはトーラス型導波管で形成され、上記導波管にスリットを形成したスリット型導波管を含み、
    マイクロ波の電場が一組以上のベルト型磁石によって、
    プラズマ発生空間に形成される磁場の方向と垂直になるようマイクロ波を照射し、マイクロ波をパルスモードまたは連続モードで照射することを特徴とするプラズマ発生源。
  2. 請求項1において、上記プラズマチャンバとマイクロ波照射装置は、マイクロ波が照射される開口部において相互に疎通し、上記プラズマチャンバとマイクロ波照射装置は、共に真空化が可能であることを特徴とするプラズマ発生源。
  3. 請求項1において、上記プラズマチャンバは、シリンダ型、楕円トラックの底面を持つシリンダ型、または多角形の底面の多角柱のいずれかで構成されることを特徴とするプラズマ発生源。
  4. 請求項1又は2に記載のプラズマ発生源のプラズマチャンバ内部に、一つ以上のターゲットを設置し、上記ターゲットにバイアス電圧を印加してスパッタリングを発生させ、
    上記のターゲットは、上記ベルト型磁石によってプラズマ発生空間に形成される磁場に囲まれるようにプラズマチャンバの内側壁に沿って一つ以上付着され、
    上記プラズマチャンバの上面に平行に配置される一つ以上のターゲットをさらに設置して、
    一つ以上の物質を基板に同時蒸着することができることを特徴とするスパッタリング装置。
  5. 請求項4に記載の上記ターゲットに印加されるバイアス電圧は、直流電圧、交流電圧、パルス、またはこれらの混合からなる電圧であることを特徴とするスパッタリング装置。
  6. 請求項1又は2に記載のプラズマ発生源のプラズマチャンバ内部に、一つ以上の電気伝導性の高い物質で構成された中和プレート を設置して、上記の中和プレートにバイアス電圧を印加して中性粒子ビームを生成し、
    上記の中和プレートは、上記ベルト型磁石によってプラズマ発生空間に形成される磁場に囲まれるよう、プラズマチャンバの内側壁に沿って一つ以上付着され、
    上記プラズマチャンバの上面に平行に配置される一つ以上の中和プレートをさらに設置することで、中性粒子ビームを発生させることを特徴とする中性粒子ビーム発生源。
  7. 請求項4に記載のスパッタリング装置を一つ以上設置し、請求項6の中性粒子ビーム発生源一つ以上を組み合わせたことを特徴とする薄膜蒸着システム。
  8. 請求項7に記載の薄膜蒸着システムにおいて、上記ターゲットまたは中和プレートに印加されるバイアス電圧は、直流電圧、交流電圧、パルス、またはこれらの混合からなる電圧であることを特徴とする薄膜蒸着システム。
JP2014513443A 2011-06-09 2012-06-01 プラズマ発生源、スパッタリング装置、中性粒子ビーム発生源及び薄膜蒸着システム Active JP5774778B2 (ja)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
KR1020110055417A KR101307019B1 (ko) 2011-06-09 2011-06-09 벨트형 자석을 포함한 중성입자 빔 발생장치
KR10-2011-0055417 2011-06-09
KR10-2012-0049386 2012-05-09
KR1020120049386A KR101383530B1 (ko) 2012-05-09 2012-05-09 벨트형 자석을 포함한 플라즈마 발생원
PCT/KR2012/004345 WO2012169747A2 (ko) 2011-06-09 2012-06-01 벨트형 자석을 포함한 플라즈마 발생원 및 이를 이용한 박막 증착 시스템

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2014259691A Division JP6006286B2 (ja) 2011-06-09 2014-12-24 ベルト型磁石を含む中性粒子ビーム発生源

Publications (2)

Publication Number Publication Date
JP2014522551A JP2014522551A (ja) 2014-09-04
JP5774778B2 true JP5774778B2 (ja) 2015-09-09

Family

ID=47296564

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2014513443A Active JP5774778B2 (ja) 2011-06-09 2012-06-01 プラズマ発生源、スパッタリング装置、中性粒子ビーム発生源及び薄膜蒸着システム
JP2014259691A Active JP6006286B2 (ja) 2011-06-09 2014-12-24 ベルト型磁石を含む中性粒子ビーム発生源

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2014259691A Active JP6006286B2 (ja) 2011-06-09 2014-12-24 ベルト型磁石を含む中性粒子ビーム発生源

Country Status (5)

Country Link
US (1) US9589772B2 (ja)
EP (2) EP2720518B1 (ja)
JP (2) JP5774778B2 (ja)
CN (1) CN103766002B (ja)
WO (1) WO2012169747A2 (ja)

Families Citing this family (109)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
WO2015066132A1 (en) * 2013-10-30 2015-05-07 Tecport Optics, Inc. Ophthalmic optical filters for prevention and reduction of photophobic effects and responses
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
CN103985942B (zh) * 2014-05-15 2016-03-30 南京航空航天大学 一种矩形波导到多米诺等离子波导转换器
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10224210B2 (en) * 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) * 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
CN104505326A (zh) * 2014-12-19 2015-04-08 中国科学院嘉兴微电子仪器与设备工程中心 一种应用于等离子体设备的腔室结构及等离子体设备
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
CN105088195A (zh) * 2015-08-26 2015-11-25 中国科学院等离子体物理研究所 一种快速自由基增强化学气相沉积薄膜的方法
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
CN105483630A (zh) * 2015-12-03 2016-04-13 凯盛光伏材料有限公司 一种制备柔性azo薄膜的方法
CN105369206A (zh) * 2015-12-03 2016-03-02 凯盛光伏材料有限公司 一种制备柔性衬底薄膜的磁控溅射装置
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US11037765B2 (en) * 2018-07-03 2021-06-15 Tokyo Electron Limited Resonant structure for electron cyclotron resonant (ECR) plasma ionization
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
CN113665848B (zh) * 2021-08-27 2023-03-14 中国人民解放军国防科技大学 一种磁场力/力矩作用投送系统及其地面测试装置

Family Cites Families (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5022977A (en) 1986-09-29 1991-06-11 Nippon Telegraph And Telephone Corporation Ion generation apparatus and thin film forming apparatus and ion source utilizing the ion generation apparatus
JPS6452062A (en) * 1987-08-21 1989-02-28 Nippon Telegraph & Telephone Ionic source
JP2587924B2 (ja) * 1986-10-11 1997-03-05 日本電信電話株式会社 薄膜形成装置
EP0264913B1 (en) * 1986-10-20 1994-06-22 Hitachi, Ltd. Plasma processing apparatus
JP2561270B2 (ja) 1987-04-08 1996-12-04 株式会社日立製作所 プラズマ装置
KR880013424A (ko) 1987-04-08 1988-11-30 미타 가츠시게 플라즈머 장치
KR920002864B1 (ko) * 1987-07-20 1992-04-06 가부시기가이샤 히다찌세이사꾸쇼 플라즈마 처리방법 및 그 장치
US5061838A (en) * 1989-06-23 1991-10-29 Massachusetts Institute Of Technology Toroidal electron cyclotron resonance reactor
JP3020580B2 (ja) * 1990-09-28 2000-03-15 株式会社日立製作所 マイクロ波プラズマ処理装置
US5359177A (en) 1990-11-14 1994-10-25 Mitsubishi Denki Kabushiki Kaisha Microwave plasma apparatus for generating a uniform plasma
JP3082331B2 (ja) * 1991-08-01 2000-08-28 三菱電機株式会社 半導体製造装置および半導体装置の製造方法
JPH07326495A (ja) * 1994-05-30 1995-12-12 Toshiba Corp マイクロ波プラズマ発生装置
JPH09125243A (ja) * 1995-10-27 1997-05-13 Canon Inc 薄膜形成装置
JPH09266096A (ja) * 1996-03-28 1997-10-07 Hitachi Ltd プラズマ処理装置及びこれを用いたプラズマ処理方法
JP4355036B2 (ja) * 1997-03-18 2009-10-28 キヤノンアネルバ株式会社 イオン化スパッタリング装置
JP3944946B2 (ja) * 1997-04-25 2007-07-18 株式会社島津製作所 薄膜形成装置
JPH11162956A (ja) 1997-11-25 1999-06-18 Hitachi Ltd プラズマ処理装置
US6610184B2 (en) 2001-11-14 2003-08-26 Applied Materials, Inc. Magnet array in conjunction with rotating magnetron for plasma sputtering
US20030029716A1 (en) * 2001-08-13 2003-02-13 Ga-Lane Chen DWDM filter system design
US7059268B2 (en) 2002-12-20 2006-06-13 Tokyo Electron Limited Method, apparatus and magnet assembly for enhancing and localizing a capacitively coupled plasma
KR100555849B1 (ko) * 2003-11-27 2006-03-03 주식회사 셈테크놀러지 중성입자빔 처리장치
KR100714898B1 (ko) * 2005-01-21 2007-05-04 삼성전자주식회사 중성빔을 이용한 기판 처리장치 및 처리방법
KR100716258B1 (ko) * 2006-06-29 2007-05-08 한국기초과학지원연구원 고체원소 중성입자빔 생성장치 및 방법
KR100754370B1 (ko) 2006-06-29 2007-09-03 한국기초과학지원연구원 향상된 중성입자 플럭스를 갖는 중성입자빔 생성장치
US8246794B2 (en) 2007-12-07 2012-08-21 Oc Oerlikon Blazers Ag Method of magnetron sputtering and a method for determining a power modulation compensation function for a power supply applied to a magnetron sputtering source
KR101092906B1 (ko) * 2009-06-11 2011-12-12 한국기초과학지원연구원 빔 플럭스 및 수송효율이 향상된 중성입자빔 생성장치 및 생성 방법
WO2011025143A2 (ko) * 2009-08-24 2011-03-03 한국기초과학지원연구원 플라즈마 발생용 마이크로웨이브 안테나

Also Published As

Publication number Publication date
US9589772B2 (en) 2017-03-07
EP2720518B1 (en) 2016-12-28
JP2015133321A (ja) 2015-07-23
US20140124364A1 (en) 2014-05-08
EP2720518A2 (en) 2014-04-16
EP3002996A1 (en) 2016-04-06
EP2720518A4 (en) 2015-05-27
JP2014522551A (ja) 2014-09-04
JP6006286B2 (ja) 2016-10-12
WO2012169747A2 (ko) 2012-12-13
WO2012169747A3 (ko) 2013-03-28
CN103766002B (zh) 2017-03-22
EP3002996B1 (en) 2020-03-25
CN103766002A (zh) 2014-04-30

Similar Documents

Publication Publication Date Title
JP6006286B2 (ja) ベルト型磁石を含む中性粒子ビーム発生源
KR101529578B1 (ko) 플라즈마 기판 처리 장치 및 방법
US20200232088A1 (en) Apparatus and system for vacuum deposition on a substrate and method for vacuum deposition on a substrate
KR102204217B1 (ko) 자기 제한을 갖는 플라즈마 소스를 이용하는 플라즈마 기반 재료 변경
KR20140019577A (ko) 박막 증착 장치 및 이를 이용한 박막 증착 방법
TWI573883B (zh) 物理氣相沉積系統與應用其之物理氣相沉積方法
TWI471894B (zh) A plasma generating source including a ribbon magnet, and a thin film deposition system using the same
KR101395485B1 (ko) 벨트형 자석을 포함한 플라즈마 발생원
KR101383530B1 (ko) 벨트형 자석을 포함한 플라즈마 발생원
KR20150069073A (ko) Ecr 플라즈마 스퍼터링 장치
RU2601903C2 (ru) Способ напыления тонкопленочных покрытий на поверхность полупроводниковых гетероэпитаксиальных структур методом магнетронного распыления
KR20220100024A (ko) 기판에 대한 타겟 재료의 스퍼터 증착을 위한 방법 및 장치
KR20140080154A (ko) 마그네트론 및 이를 포함하는 마그네트론 스퍼터링 장치
KR20160041875A (ko) Ecr 플라즈마 스퍼터링 장치
KR20080054056A (ko) 대향 타겟식 스퍼터링 장치
KR101307019B1 (ko) 벨트형 자석을 포함한 중성입자 빔 발생장치
JP2011208185A (ja) スパッタリング装置
US20060081467A1 (en) Systems and methods for magnetron deposition
KR20120000317A (ko) 전자 물질막 형성 장치
KR102085335B1 (ko) 플라즈마 화학 기상 증착 장치
US20120111270A1 (en) Plasma processing chamber having enhanced deposition uniformity
JP2012241250A (ja) プラズマ源およびこれを用いた薄膜の製造方法
WO2009075393A1 (en) Plasma damage free sputter gun, sputter, plasma process apparatus and film-forming method
JP2017088973A (ja) プラズマスパッタ装置
KR20130115183A (ko) 전자 물질막 형성 장치

Legal Events

Date Code Title Description
A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20140826

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20141125

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20141202

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20141224

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20150401

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20150403

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20150410

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20150630

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20150701

R150 Certificate of patent or registration of utility model

Ref document number: 5774778

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313113

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250