JP2007511902A - 薄膜成長用反応装置 - Google Patents

薄膜成長用反応装置 Download PDF

Info

Publication number
JP2007511902A
JP2007511902A JP2006538405A JP2006538405A JP2007511902A JP 2007511902 A JP2007511902 A JP 2007511902A JP 2006538405 A JP2006538405 A JP 2006538405A JP 2006538405 A JP2006538405 A JP 2006538405A JP 2007511902 A JP2007511902 A JP 2007511902A
Authority
JP
Japan
Prior art keywords
reaction chamber
port
reactant
exhaust
exhaust port
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
JP2006538405A
Other languages
English (en)
Inventor
エリック ジェイ. シェロ
ヴァゲズ イー. モヒス
Original Assignee
エーエスエム アメリカ インコーポレイテッド
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by エーエスエム アメリカ インコーポレイテッド filed Critical エーエスエム アメリカ インコーポレイテッド
Publication of JP2007511902A publication Critical patent/JP2007511902A/ja
Withdrawn legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45548Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45587Mechanical means for changing the gas flow
    • C23C16/45591Fixed means, e.g. wings, baffles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment

Abstract

反応炉が基板処理用の反応チャンバを画定する。反応炉には第1反応物質を反応チャンバへ提供するための第1取込口および第2反応物質を反応チャンバへ提供するための第2取込口が含まれる。第1排気口はガスを反応チャンバから取り除く。第2排気口もガスを反応チャンバから取り除く。流れ制御装置が第1および第2排気口を通じて流れを交互に閉塞するように構成される。反応チャンバはこの反応チャンバ内の拡散障壁用に構成される。

Description

本発明は化学処理用の設備に関する。特に、本発明は反応チャンバにおける薄膜成長用の設備に関する。
基板表面上の薄膜を残すための方法には数種類の蒸着法がある。これらの方法には真空蒸着法、「分子線エピタキシャル成長法」、「化学気相成長法(CVD)」(低圧有機金属CVDおよびプラズマ増速CVDを含む)の様々な変種並びに最近になって「原子層蒸着法(ALD)」としてより多く言及される「原子層エピタキシャル成長法(ALE)」が含まれる。
ALDはシリコンウェーハのような基板上の材料の形成用に半導体産業では知られた方法である。ALDは膜がサイクル中に行われる自己飽和反応を通じて構築される蒸着タイプのものである。膜厚は行われるサイクルの数によって決定される。ALD法では、ガス状の前駆体によりウェーハ上の材料の薄膜が繰り返し形成される基板あるいはウェーハに供給される。ある反応物質がウェーハ上の自己制限作用において吸収される。後続のある反応物質パルスが所望の材料の単一分子層を形成する被吸収材料と反応する。分解反応はリガンド交換あるいはゲッタリング反応のような適切に選択された試剤との反応を通して起こり得る。通常のALD反応では、分子単一層だけがサイクル毎に形成される。より厚い膜は目標厚が達成されるまで繰り返えされる成長サイクルを通じて生み出される。
ALD法では、コーティングされる少なくとも1つの面のある1枚以上の基板ならびに所望の製品の形成用反応物質が反応炉あるいは蒸着空間に導入される。1枚以上の基板が通常ウェーハ支持材あるいはサセプタ上に置かれる。ウェーハ支持材は反応炉内に定められる空間内に置かれる。ウェーハは反応物質ガスの凝縮温度を越えかつ分解温度未満の所望の温度まで加熱される。
ALDの際だった特色は、各反応物質が飽和面状態に達するまで基板にパルス状態で放出される点にある。上記のように、ある反応物質は通常、基板面上で吸収されるとともに次のある反応物質が引き続き被吸収種と反応する。成長速度が自己制限されるにつれて、成長速度はCVDにおけるような反応物質の温度や流量よりも反応シーケンスの繰り返し速度に比例する。
自己制限的成長を得るには、蒸気相は反応物質の順次続くパルス間のパージあるいはその他の除去の段階によって分離されたままに維持される。所望の材料の成長はパージ段階中には起きないので、パージ段階の継続時間が制限されることは有利である可能性がある。パージ段階の継続期間がより短くなることにより、反応炉内の反応物質の吸収や反応のために利用可能な時間が増えることが可能であるが、反応物質はしばしば相互に反応するので、蒸気相反応物質の混合は蒸着の自己制限の性質を破壊するCVD反応の危険を減らすために避けられなくてはならない。反応チャンバのすぐ上流および下流の共有ルート上での混合によってでも寄生CVDならびに後続する微粒子発生を通じた工程の汚染があり得る。
蒸気相反応物質の混合を防止するには、ALD反応炉には、パージ段階中の反応物質の反応物質供給源から反応チャンバまでの流れを防止するため、供給導管の一部に「不活性ガス弁調節」あるいは「拡散障壁」の配備が含まれると良い。不活性ガス弁調節はガス相、つまり、供給導管の正常な反応物質の流れに対し反対方向に流れるガスの輻射障壁の形成に係わる。ここに参照して組込まれている公開内容、T.サントーラ社、ハンドブック「結晶成長III」、「薄膜とエピタキシャル成長法」、B部、「成長機構とダイナミクス」第14章、D.T.J.ハール編「原子層エピタキシャル成長法」、エルゼビア サイエンス V.B.(1994年)601頁〜663頁を参照のこと。特に624頁〜626頁を参照されたい。このような先行の技術解決策により蒸気相反応物質が混合するのが防止されるに成功したものの、これらにはいくつかの欠点がある。例えば、いくつかの先行の技術解決策では、不活性ガス弁調節により反応チャンバから上流まで相当な距離が形成される。このような解決策により反応物質の流れの反応チャンバまで必要とされる時間が長くなる可能性があり、ガスが抜かれる下流面によりパルス段階がより長くなることになる。
従って、本発明の実施例は基板が置かれる反応チャンバが含まれる反応炉にかかわるものである。該反応炉には第1副次空間、第1副次空間と反応チャンバとの間の基板の片側面に位置する第1流れ制限部、第2副次空間、全体的に第1側面と向かい合うとともに第2副次空間と反応チャンバ間にある基板の第2側面に位置する第2流れ制限部が含まれる。第1反応物質取込口ならびに第1排気口が第1副次空間と通じている。ある実施例では、第1排気口が第1排気導管に接続されるとともに、第2排気口は第2排気導管に接続される。流れ制御装置は反応チャンバから第1排気導管あるいは第2排気導管のいずれかまで交互に流れにバイアスをかけるために設けられる。
本発明のもうひとつの実施例には、基板が交互に繰り返される蒸気相反応物質の表面反応に曝される基板面上の薄膜成長用の方法が含まれる。該方法には反応チャンバ、第1取込口、第2取込口、第1排気口および第2排気口がある反応炉が提供される様々な段階が含まれる。第1取込口、第2取込口、第1排気口および第2排気口は、通常、第1取込口から第2排気口までの流れが基板上を流れる一方で、第2取込口から第1排気口までの流れもまた基板上を流れるように設けられる。これに伴って、第1取込口から第1排気口までの流れならびに第2取込口から第2排気口までの流れが基板を迂回する。第1反応工程中には、(i)第1反応物質の流れは第1取込口を経由して反応チャンバまで供給される。(ii)第1反応物質の流れの一部は絞り込み状態である第1排気口を経由して出て行くことによって反応チャンバを迂回可能である。(iii)不活性ガスが第2取込口を通して第2排気口に供給される。(iii))第1反応物質の流れは反応チャンバの基板表面と反応可能となる。そして(iv)第1反応物質の流れは非絞り込み状態である第1排気口を通って反応チャンバから引き上げられる。反応チャンバは、第1排気口が絞り込み状態に置かれる一方で、第2排気口が非絞り込み状態に置かれるとともに、不活性ガスが第1取込口を通って第1排気口に供給される一方で、第2取込口を経由して不活性ガスを反応チャンバに供給することによってパージされる。第2反応物質パルス中には、第2反応物質が第2取込口および開放された第1排気口を経由して反応チャンバまで供給されると同時に、第2反応物質は反応チャンバの基板面と反応可能となる。第2反応物質は、不活性ガスが第2取込口を通って第2排気口に供給される一方で、第1取込口を経由して不活性ガスが反応チャンバに供給されると同時に、第2排気口を通して不活性ガスが反応チャンバから引き上げられることによって、第2排気口を通って反応チャンバから引き上げられる。
ある実施例では、第1反応物質が反応チャンバへ供給される間には、第1反応物質の第1排気口への流量の約50%未満の供給が含まれる。別の実施例では、第1反応物質の流量の約1%から約40%までが第1排気口に供給される。他の実施例では、第1反応物質の約10%から約25%までが第1排気口に供給される。
別の実施例では、基板処理用の反応チャンバが定められる反応炉が含まれる。該反応炉には第1反応物質が反応チャンバに提供されるための第1取込口、第2反応物質が反応チャンバに供給されるための第2取込口、ガスが反応チャンバから除去されるための第1排気口が含まれる。流れ制御装置は第1および第2排気口を通じて流れが交互に絞り込まれるよう構成される。該反応炉は、第1排気口が非絞り込み状態にあるとともに第2排気口が絞り込み状態にある場合に、第2取込口からの流れが基板を越えて第1排気口まで反応チャンバを通じて向けられると同時に、第1取込口と基板との間に拡散障壁が形成されるように構成される。
本発明のもうひとつの実施例には、基板が蒸気相反応物質の交互繰り返しの表面反応に曝されることによる基板面上の薄膜成長用の方法が含まれる。該方法には、反応チャンバ、第1取込口、第2取込口、第1排気口ならびに第2排気口がある反応炉が提供されることが含まれる。第1反応物質パルス中には、第1反応物質の流れの一部が第1排気口を経由して出ることによって反応チャンバ中で基板の迂回が可能である一方で、第1反応物質の流れが第1取込口を経由して反応チャンバまで供給される。不活性ガスは第2排気口を通って第2排気口に供給される。第1反応物質の流れは第2排気口を通って反応チャンバから引き上げられる。除去工程中には、不活性ガスは第2取込口を経由して反応炉に供給される一方で、不活性ガスが第1取込口を通って第1排気口に供給される。不活性ガスは第1取込口と反応チャンバ内に位置する基板との間に拡散障壁を形成するよう第1排気口を経由して反応炉から引き上げられる。
これらとその他の目的は、既知の方法と装置に関する利点とともに以降に説明され請求される通り、本発明によって実施される以下の仕様から明らかになろう。
図1は蒸気供給装置4および蒸気相反応炉12が含まれる処理装置6の実施例の概略図である。該蒸気供給装置4は1種以上の蒸気相反応物質およびパージガス(例えば、不活性あるいは不活性ガス)が選択されて反応炉12に供給されるよう構成される。図示された実施例では、蒸気供給装置4に、第1および第2蒸気相反応物質が反応炉12に選択されて供給されるための第1供給源装置8および第2供給源装置10が含まれる。ある実施例では、反応物質(図示されず)は標準(すなわち、室温および気圧)条件下の液体あるいは固体であって良い。このような反応物質は反応物質供給源の真空容器内で蒸気となることが可能で、この容器は反応物質供給源の空間内で蒸発温度以上の維持が可能である。これらのような実施例においては、蒸気となる反応物質はキャリアガス(例えば、不活性あるいは不活性ガス)と一緒に搬送されるとともに、その後、第1および第2導管14、16を通って反応炉12に供給可能である。別の実施例では、反応物質は標準条件下の蒸気であって良い。これらの具体例では反応物質は蒸気となる必要がないと同時に、キャリアガスも必要としなくて良い。例えば、ある実施例では、蒸気相反応物質はガスシリンダーに貯蔵可能である。
蒸気供給装置4には反応炉12へのパージガス(例えば、不活性あるいは不活性ガス)用のパージガス供給源11も含まれる。図示された実施例では、パージガス供給源11はそれぞれ第1および第2導管14、16に接続される第1および第2パージ導管15、17を通って反応炉12に接続される。
図示された蒸気供給装置4は蒸気相反応物質ならびにパージガスを反応炉12に放出するために構成される蒸気供給装置の単なるひとつの実施例にしかすぎないことが認められなくてはならない。当業者により、パージガスならびに蒸気相反応物質が反応炉12に選択されて供給される目的が達成されるために使用可能である数多くの様々な弁類、導管類、反応物質供給源類、パージガス供給源類、および/または、キャリアガス供給源類の構成があることが認識されるであろう。これらの構成は不活性ガス弁調節の位置あるいは、反応物質供給源装置8、10内部の、および/または、導管14、16(例えば、反応炉内部あるいは近傍の下記で説明される真空空間18)に沿った拡散障壁の配置に関連し得る。両方組合わせてあるいは交互に、反応物質供給源装置8、10に、あるいは、パージガスおよび/または蒸気相反応物質が反応炉12に選択されて差し向けられるための導管14、16に沿って隔離弁類が設けられて良い。
図示された図1の蒸気供給装置4は概略図であることもまた認められなくてはならない。従って、供給装置4の多くの構成部品類は単純化のため省略された。当業者により、該供給装置4には、様々な弁類、パージ装置類、加熱器類、容器類、および/または、図示されていない迂回路類が含まれると認識されよう。
典型的な処理装置6は特に蒸気相反応炉で使用される蒸気相反応物質の搬送用に適切である。好ましい実施例では、蒸気相反応物質は蒸着(例えば、CVD)用に、さらに好ましくは原子層蒸着、ALD(以前の原子層エピタキシャル成長法、省略してALE)用に使用される。上述のように、ALDでは、蒸気相反応物質は除去手段(例えば、パージ、汲み出し、および/またはその組合せ)によって分離される交互蒸気相パルスの形で反応炉12に供給されるのが好ましい。ALDは通常、自己飽和、つまり、蒸気相反応物質の凝縮温度を越えかつ反応物質の熱分解限界未満にある温度時間帯内に起こる吸着反応によって特徴づけられる。通常は、反応物質のほぼ1あるいは1未満の分子単一層がサイクルの度に蒸着される。ALDでは、一般的に反応物質類が反応炉の表面上で反応可能になるまでこれらの反応物質が相互に分離状態に保たれるのが有利である。反応面の上流の該処理装置における蒸気相反応物質の混合は蒸着および蒸気相の反応であって良い。
制御装置19は処理装置6が制御されるために構成される。例えば、該制御装置には、制御プログラムおよび電気的あるいは空気圧式で制御された反応物質ならびにパージガスの反応炉12への出入りの流れを制御する弁類が含まれることが可能である。特にALD反応炉用に適切である実施例では、該制御装置19により、反応チャンバのある面上に保護層が形成されることによるALD反応に対抗して表面が不活性にされる反応炉12への処理ガスの流れ制御も行われる。表面が不活性化された後、該制御装置により基板が空間12に装填されるとともに、反応物質および/またはパージガスは、基板(例えば、シリコンウェーハ)上にひとつの蒸着層を形成する空間12に流される。該制御装置には、ひとつのプログラムあるいはひとつのハード構成部品、例えばある一定の働きを行うFPGAあるいはASICのようなユニットが含まれて良い。ひとつのユニットは該制御装置のアドレス割当てが可能な記憶媒体上にあるよう構成されると同時に、ひとつ以上の処理が実行されるよう構成されるのが有利であり得る。
ある典型的実施例では、反応炉12は反応炉真空空間18内に配される。ある実施例では、反応炉真空空間18は排気および/または同様な方法で熱的に個別に制御可能であり、該反応物質供給源容器(図示されず)は個別の排気および/または制御も可能である得る供給源真空空間と一緒に配置可能である。該技術から知られるように、様々な輻射加熱器類が反応炉および/または供給源容器が加熱される真空空間に配置されて良い。該真空により真空空間内の部品が断熱される点が有利である。
図2〜図4には反応炉12の典型的実施例が図示されている。以下により詳しく説明される通り、拡散障壁は少なくとも部分的に反応物質ガスを分離する反応炉12内部に形成される。上に言及したように、該反応炉12により第1および第2導管14、16からあるパージガスならびに蒸気相反応物質類が選択して受入れられる。ある実施例では、パージガスならびに蒸気相反応物質類は、反応物質供給源装置8、12内かつ導管14、16に沿って位置する不活性ガス弁装置を通って選択されて反応炉12に搬送される。別の実施例では、パージガスおよび/または蒸気相反応物質は例えば反応炉12のごく近傍に隔離弁類が提供されることによって選択されて反応炉12に搬送される。
典型的な反応炉12は反応炉空間18内に(図1参照)位置する。第1導管14により反応炉12に第1反応物質が供給されるための第1取込ルート102が形成される。第2導管16により第2反応物質が反応炉12に供給されるための第2取込ルート104が形成される。修正実施例類では、反応炉12には2種以上の反応物質が反応炉および/または追加の導管に供給されるため2つ以上の取込ルートが設けられても良く、および/または注入多岐管類が取込ルート102、104に1種以上の反応物質が供給されるため設けられて良い。このような実施例類では、処理装置6(図1参照)には追加の反応物質供給源装置が設けられる。
図4に見られるように、反応チャンバ106は上面プレート108とベースプレート110との間に形成され、これらは相互に適切に組合わされるかあるいは一体化されて形成される。勿論、修正実施例類では、反応チャンバ106は2枚以上のプレートから形成されて良い。基板112は反応チャンバ106内部に位置する。基板112はサセプタプレート114上に置かれ、これはアクチュエーター118に組合せられて良く、サセプタプレート114はベースプレート110に関して移動可能である。図示された実施例では、サセプタプレート114は基板112を反応チャンバ106への出入り移動を容易にするため鉛直方向に移動可能である。勿論、修正実施例では、サセプタプレート114は定置式であって良いと同時に、反応炉の他の部分は反応チャンバ106への接近がもたらされるために移動可能である。
上面、ベースおよびサセプタプレート108、110、114には、基板112および/または反応チャンバ106を加熱する一連の様々な加熱部品(図示されず)がそれぞれ設けられて良い。反応チャンバ106の基板112の加熱は、反応容器18(図1参照)内の反応炉12の関する反射防止材(図示されず)および/または追加の加熱部品(図示されず)が設けられることによって高められる。例えば、この出願の教示するところと組合わせて使用可能であると同時に、この出願によってここに参照して組込まれている、ある炉の修正設計を公開する2003年6月17日に出された米国特許6,579,374号を参照のこと。
図2ならびに図4を特に参照すると、反応炉12には第1排気ダクト120および第2排気ダクト122が設けられる。各排気ダクト120、122は排気多岐管124、126を通じて反応チャンバ106と通じている。排気多岐管124、126は第1および第2排気口128、130で終わる。第1排気口128は基板112および/またはサセプタ114の第1側面に位置するとともに、第2排気口130は基板112および/またはサセプタ114の第2側面に位置する。ある実施例では、第1および第2側面は基板112および/またはサセプタ114の近い側および遠い側を示すとともに、もうひとつの実施例では、第1および第2側面は基板112および/またはサセプタ114に関してほぼ相互に向き合い、別の実施例では、第1および第2側面は相互に関して約45度から135度の角度の間に位置できる。さらに、下記により詳しく説明されるように、ある実施例類では、2ヶ所以上の排気口130が基板112および/またはサセプタ114の2面以上に位置しても良い。
各排気口は反応チャンバ106をほぼ横断して延びるのが好ましい。すなわち、第1および第2排気口128、130は第1地点ならびに第2地点から延びるのが好ましく、これらの地点は少なくとも基板112の幅の50%までの距離だけ、またしばしば基板112のおよそ幅と同じ距離まで離される。図示された構成では、第1および第2排気口128、130はそれぞれ単一の開口部から形成されるけれども、修正実施例ではこれらはそれぞれ複数の開口にさらに区分可能である。
図示された実施例では、排気ダクト120、122は反応炉12の下流のある地点で共通排気ダクト132と一体となるのが好ましい。図3を参照のこと。流れの方向転換装置134が排気ダクト120、122を通って共通排気ダクト132に流れを交互に絞り込むために排気ダクト120、122間の交差部に設けられて良い。図示された実施例では、流れ方向転換装置134には、閉じた位置で比較的小さな貫通流量が可能となるよう弁135に埋め込まれた小孔137のついた高速差動のちょう形弁135が含まれる。もうひとつの実施例では、当業者により電気伝導性の大きな3方弁あるいは絞り込まれた迂回路付きの2方弁の設置が排気ダクト120、122を通る流れを交互に絞り込むために使用されて良いことが認識されよう。勿論、当業者により排気ダクト120、122を通る流れの絞り込み用のその他の設置もまた認識されよう。例えば、各排気ダクト120、122は離れた排気供給源に接続されるとともに、分離絞り込み装置(例えば、ピンチ弁)が設けられて良い。このような実施例では、該絞り込み装置はここに説明される流れ方向転換装置134として機能するよう制御されて良い。
図4を参照すると、排気ダクト120、122には絞り込み部121(破線参照)が含まれて良い。該絞り込み部121により排気ダクト120、122を通るガスの流量が加速される。排気ダクト120、122を通る速度を増加させることによって、排気ダクト120、121に形成される拡散障壁の効率性が高まる。絞り込み部121により、また、ある処理段階中に、ガスが少量だけ排気ダクト120、122を通って失われることが許されても良い。さらに、絞り込み部121によりまた絞り込み部121が無い小さな直径の排気ダクトと比べて電気伝導性の増した排気ダクトが提供可能である。これにより所望の反応炉圧を達成するために必要なポンプの大きさが小さくなる。
図2から図4を引き続き参照すると、第1取込口102は第1入口多岐管140に接続されるとともに、第2取込口104は第2入口多岐管142に接続される。入口多岐管140、142によりガスは放電開口部144、146に向けられており、これらの開口部には、図示された具体例では、それぞれ上面プレート108に、あるいは、142と上面プレート108間に挟まれる孔明きガスケットによって、形成可能である複数の分離した排出孔が含まれる。その他の実施例では、放電開口部は1以上のスリットタイプの孔によって形成可能である。放電開口部144、146はそれぞれ上面およびベースプレート108、110間の反応炉12に定められる第1および第2副次空間150、152(図4)と通じる。排気多岐管124、126の第1および第2排気口128、130がまた第1および第2副次空間150、152と通じることも好ましい。
排気口128、130に関する如く、第1排出開口146は一般的に基板112のサセプタ114の第1側面に位置するとともに、第2放電開口部148は基板112および/またはサセプタ114の第2側面に位置する。ある実施例では、第1および第2側面は基板112および/またはサセプタ114の近い側面および遠い側面を示すとともに、別の実施例では第1および第2側面は基板112のサセプタ114に関して相互にほぼ向き合う。別の実施例では、相互に関して第1および第2側面が約45度から135度の間の角度に位置可能である。さらに、ある実施例で下記に詳細に説明されるように、2ヶ所の放電開口部146、148は基板112のサセプタ114の2つ以上の側面に位置して良い。
各放電開口部144、146はガスの流れが取込口102、104から基板112の幅を横断して拡がるよう構成されるのが好ましい。図示された実施例におけるように、放電開口部144、146はおよそ基板112の幅の約50%以上の、またしばしば基板の幅以上の幅を横断して配分される。すなわち、設定される外側の放電開口部144、146間の最大距離はおよそ基板112のほぼ幅以上に長いと同時に、しばしば基板のほぼ幅より長く、あるいは、単一放電開口部の場合には、開口部の長さは基板112の約50%の幅より長く、またしばしば基板112の幅より長いのがそれぞれ好ましい。排気口128、130はまたほぼ基板の幅以上の幅であることも好ましい。下記に詳細に説明される通り、ある状況では、この構成により放電開口部を通って流れるガスの少なくとも1部が反応チャンバ106に入らずに対応する排気口に直接入ることが可能な状況が促進される。さらに、反応チャンバ106の中の流れはその幅にわたって全体的に均一な速度分布になりやすい。しかしながら、修正実施例では放電開口部144、146の形状や方向は反応工程に合わせるために利用可能な非均一速度分布をなすよう操作可能である点が認められなくてはならない。
図4を参照すると、典型的反応炉12には第1副次空間150と反応チャンバ106間に第1絞り込み部160ならびに第2副次空間152と反応チャンバ106の間に第2絞り込み部162が含まれるのが好ましい。流れ制限部160、162の横断面積は与えられる長さXに関する反応チャンバ106の対応する横断面積以下である。このように、反応物質はある放電開口部から向かい側の排気口まで流れる時に流れ制限部まで流れるのが好ましい。
典型的実施例では、流れ制限部160、162は反応チャンバ106の上面および下面から相互に向かって延びる一組の突出部164によって形成される。勿論、流れ制限部160、162は与えられた長さXにわたり横断面積が減る一連の別の方法で形成されても良い(例えば、鉛直方向細管束類の設置)。
拡散障壁が流れ制限部160、162内に少なくとも部分的に形成されるのが好ましい。下記にさらに詳しく説明されるように、反応炉の運転中に、開口部144、146から反応チャンバ106までの流れの方向に関する対向方向のガス流の輻射障壁により反応チャンバ106への悪影響が防止され減少する。一般的に、拡散障壁の効果は長さ(X方向)、その横断面積(例えば、図示の実施例では高さZx幅W)および拡散障壁を通る流速の関数である。拡散障壁の長さが長いほど、悪影響をもつ分子が保護された領域(例えば、反応チャンバ)に到達するためにますます遠くに移動しなくてはならない。しかしながら、一般に、拡散障壁の長さXを増やすことにより化学パルスとパージ段階の時間が増えて不利であるとともに、下記に説明されるように流れが反転する場合のガス抜きのために利用可能な表面が増える。横断面積を減らすことによって、与えられた流速、流量に関して、絞り込み部160、162により、長さ(X方向)の最小化が可能となり拡散障壁のガス速度が増える。
さらに、特に、横断面積により、部分的に、拡散障壁におけるガスの面速度およびレイノルズ数が定まる。一般的に、面速度ならびにレイノルズ数を増やすことにより拡散障壁の効率性は増える。例えば、横断面積はその特徴的な寸法によって決定され、図示された実施例ではこの寸法は流れ制限部160、162の高さZである。従って、図示された実施例では、流れ制限部160、162の高さを減らすことにより流れ制限部のガス速度が増えると同時に境界層が薄くなる。速度が高速になると不純物が薄まりこれによって拡散を進める成分濃度が下がると同時に反応チャンバ106から離れた不純分子の輻射搬送が改善される。境界層を薄くすることにより、分子が容易に逆拡散する可能性のある自由流量に比較して、減速した領域が示されるため拡散障壁の効率性が改善される。
流れ制限部のレイノルズ数は、また、絞り込み部160、162を形成する突出部164の表面および材料、圧力そして絞り込み部160、162を通って流れるガスの温度の関数でもある。突出部164の表面を粗くすることにより乱流が生じることによって境界層が薄くなるとともに、これによって分子が表面に沿って移動しなくてはならない距離が長くなり得る。流れ制限部160、162における速度ならびにレイノルズ数は、また、反応チャンバ106を通る流速を増加させて増やすこともできる。ある実施例では、流れ制限部160、162は高さが約2ミリメーターから約15ミリメーターまで、幅が約10ミリメーターから約150ミリメーターまで、そして長さが約6ミリメーターから150ミリメーターまでである。該絞り込み部は反応炉12に位置する基板あるいはウェーハの直径より幅が広いのが好ましい。しかしながら、修正実施例では、該絞り込み部160、162は基板あるいはウェーハより幅を狭くできる。このような実施例では、拡張領域は基板あるいはウェーハを横断して反応物質あるいはパージガスが拡がるよう設けられるのが好ましい。これらの実施例では、絞り込み部160、162の幅を減少させることにより絞り込み部160、162内部でより速い速度が生じることが可能となる。上に説明されたように、これにより絞り込み部の長さあるいは高さが対応して増すことができる。
ある特定の実施例では、幅(あるいは流れ制限部160、162が下記に説明するように曲がっている場合には投影弧長)は、約350ミリメーター、突出部間の高さは約3ミリメーターそして突出部の長さは約10ミリメーターである。基板112上部の反応チャンバ106の高さは約4ミリメーターから約50ミリメーターで良いとともに、ある実施例では、約6ミリメーターである。ある実施例では流れ制限部は基板縁からおよそ10から150ミリメーターに位置可能である。
上述の絞り込み部160、162の物理的特性はいくつかの最適な構成に過ぎないことを示すものであることが認められなくてはならない。流速の相互作用の理由で、排気口の相対的制限状態、ガス成分構成、絞り込み高さ、幅、長さおよび表面粗さ、および恐らくは反応炉のその他の物理的特性、絞り込み部160、162内の拡散障壁の特性は変数の個々の組合せについて記述不可能である。逆に、任意の1変数における様々な変動値は、効果的な拡散障壁がつくれるよう別の変数の相応の変化による埋め合せが可能である。このような最適化は、この公開の見地からすれば、そしてここに教示される通りの効果的な拡散障壁を提供する目的の見地からして、当業者によって日常的な実験により成就可能である。さらに、絞り込み部160、162の物理特性は反応炉の受入れ可能な汚染物質濃度に依存する。受入れ可能な汚染物質濃度は反応炉12に関与した化学的性質を基本として変動しよう。
また当業者により、ここでの公開に照らして、一般的に、反応炉のガスの方向が排気弁134の開閉によって迅速に変更可能であるよう反応チャンバ106の容積が最小化されることは望ましいことが認識されよう。排気ルート120、122の容積が反応炉12のガスの方向の切換え時間を短縮して最小化されることも好ましい。
副次空間150、152により取込口および排気口144、146、128、130の形成が容易となるのが有利である。副次空間150、152の望ましい容積は、通常、反応炉12を通るガス流速、副次空間150、152間の差分圧、温度および許容されるガス切換え時間の関数である。一般的に、副次空間150、152の容積を減らすことによりガス切換え時間が減少する。ある典型的実施例では、反応炉では反応炉温度約375℃ならびに副次空間150、152間の圧力差約2トルの約500std cc/minの流速の窒素が利用される。このような実施例では、副次空間150、152の容積は約750cc未満および時々は500cc未満、そしてしばしば250cc未満であって良い。
図示された実施例では、反応チャンバ106には、また、流れ制限部160、162と基板112間の移行面170も含まれる。同様に、副次空間にもまた移行面172が含まれる。これらの移行面により、ガスの収縮と膨張時の円滑な移行のために、流れの再循環と停滞を避けることが可能となる。このように、表面170、172は、副次空間150、152から突出部164まで、ならびに、突出部164から反応炉106まで全般的に横断面積全般にわたる縮小ならびに拡張用に設けられるテーパーがついているかあるいは傾斜した面である。該典型的実施例によると、放電開口部144、146は排気口128、130と流れ制限部160、162間(横方向に)の副次空間150、152の移行面172に位置する。この構成はガスが大容量を越えて吸入される場合に直ちに生ずる恐れのある排気口128、130の乱流混合を減少させるのに有利である。この混合により排気口から微粒子がかき立てられるとともに反応チャンバ106にこれらが運ばれる恐れがある。さらに、放電開口部146を拡散障壁領域160、162に近づけて位置させることによって、さらに直接の経路が以下で説明するように反応チャンバ106に入るパージガス用にもたらされる。図の破線によって示されるように、副次空間150、152の表面は滑らかであるかあるいはパージガスが反応チャンバ106の中に促されるよう構成されるその他の形で良い。
修正実施例では、排気口128、130は放電開口部144、146と流れ制限部160間(横方向に)の移行領域172に位置可能であり、別の実施例では、放電開口部144、146と排気口128、130は全般に移行領域172の内部あるいは移行領域172の外部で相互に上部ならびに下部に位置可能である(すなわち、放電開口部144、146および排気口128、130は基板112から同一の横方向距離に配置可能である)。
図5A〜図5Dには上述の反応炉12について採用可能である運転の典型的実施例が図示されている。ここでの説明およびこれらの図5A〜図5Dでは第1反応物質と第2反応物質が「酸化剤」と「金属」との間で相互交換可能に便利に利用される一方で、ここでの説明は多くの様々な反応物質の組合せにあてはまると同時に、酸化剤パルスおよび/または金属パルスが利用される工程類に限定される意図はないことが認められなくてはならない。
図5Aでは第1反応物質(例えばHOのような酸化剤)のパルスが第1放電開口部144を通ってキャリアガスを伴うかあるいは伴わずに反応チャンバ106に吸入されるこの種のある実施例の第1段階が図示されている。流れ制御装置134は反応チャンバ106を通る排気の流れが第2排気ダクト122を通ってバイアスがかけられるように位置する。ある実施例では、これにより第1排気ダクト120のほぼ閉塞状態が伴い、小さなある開口部のみにより第1ダクト120が共通排気ダクト132に接続される。この段階の間に、パージガス(例えば、N)が第2放電開口部146を通じて吸入される。図5Aに示されるように、第1排気導管120はほぼ閉塞されるので、少量の第1反応物質が直接、第1排気ダクト120近くに流れる一方で、第1反応物質の大半は反応チャンバ106および基板112上へと向かう。逆に、多くのそして好ましくはほぼすべてのパージガスは、第2放電開口部146から直接、第2排気導管122に近いほうへと流れる。第2放電開口部146を通るパージガスの流れにより、真空ポンプに全体の流れがほぼ同じに有利に維持されて反応炉圧力がほぼ一定に維持される。さらに、第2放電開口部146を通るパージガスの流れにより、開口部146および副次空間152がパージされた状態でかつ拡散障壁がこれらの構成要素内部に保たれる。
ある典型的な実施例では、排気ダクト120、122および流れ制御弁134は、ほぼ800sccmの反応物質ならびにパージガスが第1および第2放電開口部を通って吸入される場合に、それぞれ、(i)約50%未満、より好ましくは約1%から40%、最も好ましくは約10%から約20%までの第1反応物質が、第1排気導管120に入るように構成されて、(ii)第1反応物質の残留差額分が反応チャンバ106に入ると同時にさらに第2排気導管122を通って排出されるとともに、(iii) 800sccmの第2放電開口部146からのパージガスは直接第2排気導管122に近い方に流れる。
図5Bにはパージガスが両方の放電開口部144、146を通る方向を向く第2段階が図示される。示される通り、流れ制御装置134は排気が第1排気ダクト120を通ってバイアスを受けるように、かつ第2排気ダクト122中に小さな開口部だけしかないように運転されるのが好ましい。上述のように、パージガスは両方の放電開口部144、146を通って吸入される。しかしながら、流れ制御装置134の位置が理由で、第2放電開口部146からのパージガスは基板112上に向けられるのが好ましい。この構成の利点は反応チャンバ106にかつ基板112上を流れるパージガスが第2放電開口部146の遠い側からである点である。このパージガスは第2放電開口部146が前段階中にパージされてしまっているので、第1放電開口部144からのパージガスに比べてより純度が高い(すなわち、反応物質の汚染度がより少ない)傾向にある。図に示されるように、拡散障壁は酸化剤の反応炉への戻り拡散ならびにCVD成長に関するウェーハの「左」縁の汚染を防止する絞り込み部160に形成される。関連するグラフに示されるように、この段階中には、反応炉106の酸化剤濃度は反応炉がパージされるにつれて減少する。
ある典型的実施例では、この段階中に、排気ダクト120、122および流れ制御弁134は、およそ800sccmのパージガスが第1および第2放電開口部のそれぞれを通じて吸入される場合に、(i)第2放電開口部146からのパージガスの約50%未満、より好ましくは約1%から約40%まで、最も好ましくは約10%から約25%までが第2排気導管122に入り、(ii)第2放電開口部146からのパージガスの残留差額が反応チャンバ106に入り、かつ、さらに第1排気導管120を通って排出されるとともに、(iii) およそ800sccmの第1放電開口部144からのパージガスが第1排気口120のより近くに直接、流れるように構成される。
図5Cには、第2反応物質(例えば、HfClのような金属前駆体)のパルスが、パージガスが第1放電開口部144を通って吸入される一方で、第2放電開口部146を通って反応炉106へ吸入される第3段階が図示される。この段階では、流れ制御装置134は前段階と同一位置あるいは類似の位置のままで良い。すなわち、反応チャンバ106を通る排気ガスには第1排気ダクト120を通じてバイアスがかけられる。図に示されるように、より少量の第2反応物質が第2排気導管122に直接、流れる一方で、第2反応物質の大部分は反応チャンバ106に向けられる。これに比べて、第1放電開口部144を通るパージガスの大部分、そして好ましくはほぼすべてが第1排気導管120に向けられる。この段階中の図に関連したグラフに示されるように、第1反応物質の濃度が減少したままである一方で、反応チャンバ106の第2反応物質濃度は上がる。第1放電開口部144を通るパージガスの流れにより真空ポンプへの全体流れがほぼ同じに維持されて、ほぼ一定の反応炉圧が保たれる。さらに、第1放電開口部144を通るパージガスの流れにより、また副次空間152および関連する導管がパージされた状態に維持されるとともに、放電開口部内には拡散障壁が形成される。
該典型的実施例では、この段階中に、およそ800sccmのパージガスが第1放電開口部144を通じて吸入されるとともに、およそ800sccmの反応物質が第2放電開口部146を通じて吸入される。このような実施例では、反応炉は、(i)第1放電開口部144からのおよそ800sccmのパージガスが第1排気導管120のより近くに入るように、(ii)第2放電開口部146からの反応物質の約50%未満、さらに好ましくは約1%から約40%まで、最も好ましくは約10%から25%までが第2排気導管122に入るように、(iii)第2反応物質の残留差額は反応チャンバ106に入ると同時に横断するとともに、さらに第1排気導管120を通って放出されるよう構成可能である。
図5Dには反応チャンバ106が両放電開口部144、146を通って不活性ガスでパージされる第4段階が図示される。示されるように、流れ制御装置134は排気ガスが第2排気導管122を通じてバイアスがかけられるように配されるのが好ましい。さらに、第1放電開口部144からのパージガスは反応チャンバ106に向けられる。この構成の利点のひとつは反応チャンバ106中および基板上を流れるパージガスが前段階中にパージされてしまっている第1放電開口部144からである点である。従って、反応チャンバ106に入る第1反応物質の濃度は図5Dに関するグラフに示されるように極めて低い。さらに、図に示されるように、拡散障壁は第2反応物質の第2放電開口部146から反応炉への逆拡散を防ぐように絞り込み部162内に形成される。
該典型的実施例では、およそ800sccmのパージガスが第1放電開口部144を通して吸入されるとともに、およそ800sccmのパージガスが第2放電開口部146を通って吸入される。このような実施例では、反応炉は、(i)第1放電開口部144からの約50%未満、さらに好ましくは約1%から約40%まで、最も好ましくは10%から25%までのパージガスが第1排気導管120に入るよう、(ii)第1入口開口部144からのパージガスの残留差額が反応チャンバ106に入ると同時に横断するよう、(iii)そして第2放電開口部146からのおよそ800sccmのパージガスがさらに第2排気導管122に入るように構成可能である。
上述の構成にはいくつか利点がある。例えば、反応物質パルス中に、拡散障壁は基板112と対向する反応物質用の放電開口部との間に位置する。つまり、対向する反応物資の放電開口部からの蒸気は反応チャンバに届くようガスの流れに対し上流に流れなくてはならない。このような蒸気は反応炉12の上流ルートのガス抜きによるか、あるいは前駆体弁あるいは供給源による漏出か、あるいは反応炉の上流の不活性ガス供給、あるいは単純な流れあるいは上流弁の切換え後のルートに残される残留反応物質による拡散を通じた「漏出」によって生じ得る。反応炉12内部の絞り込み部160、162に形成される拡散障壁により、迅速で、清浄な切換えのために流れあるいは反応炉が突然遮断される。さらに、隣接する絞り込み部により、これらの汚染する蒸気が中を移動しなければならないガス流速の上昇によって拡散障壁の効率性が上がるのが好ましい。この構成により、第1および第2放電開口部144、146からの反応物質が反応チャンバ106内部で混合される可能性が減るのが好ましい。さらに、どのような混合も一般的には内部空間150、152に起こり、これらの空間は排気口128、130と通ずる(図4参照)と同時に、流れ制限部160、162によって反応チャンバ106から分離される。このように、副次空間150、152(図4参照)の任意の反応剤は排気導管120、122まで向けられよう。
上述の実施例のもうひとつの利点は、第1および第2反応物質パルス中に、放電開口部144、146からの流れの十分な大きさの部分が、尚、排気ルート120、122まで向けられる点にある。これにより、排気面からガス抜きする前駆体および副成物が反応チャンバ106に拡散すると同時に「汲み込まれる」ことが有利に抑制される。対照的に、流れの一部が排気ルート120、122に向けられない場合には、反応炉に吸入されるガスは、排気ルート120、122上のコーティング類ならびに反応チャンバ120、122に向かう化学物質からの除去が可能であるベンチュリー微粒子類のように働き得る。この流れに乗った汚染物質により、都合の悪いことに反応チャンバ内にCVD成長が生ずることになろう。
上述のように、図類に関して説明された運転形態に関するもうひとつの利点は、対向する放電開口部144、146が反応チャンバ106がパージされるために利用される点である。対向する放電開口部144、146は対向する開口部の反応物質パルス中に清浄になってしまっているため、パージガスが清浄になってより長いので汚染が少ない。これにより都合良いことにガス搬送装置のCVDの寄与は基板の隅々まで減る。
典型的実施例類が2種類の反応物質に関連して説明されているけれども、当業者により、ここに説明された技術および/または装置は、2種類以上の多くの反応物質に拡張可能であることが認識されよう。さらに、修正実施例類でここに説明された工程類は、例えば、汲み出しあるいはパージと汲み出しの組合せのような他のタイプの除去工程類と置き換えられる点が認められなくてはならない。
図6Aから図6Dには上述の反応炉12について使用される可能性のある運転の典型的実施例が図示される。下記に説明されるように、この実施例は上述の使用実施例に類似している。しかしながら、この実施例では、反応炉106は前の化学パルスと同一の側面からパージ可能である。
具体的には、図6Aには第1反応物質(例えば、HOのような酸化剤)の1パルスが第1放電開口部144を通ってキャリアガスと一緒にあるいはキャリアガス無しで反応チャンバ106に吸入される第1段階が示される。流れ制御装置134は反応チャンバ106を通る排気の流れが第2排気ダクト122を通じてバイアスがかけられるように位置する。ある実施例では、これにより、小さな開口部だけにより第1ダクト120が共通排気ダクト132に接続するよう第1排気ダクト120がほぼ塞がれることとなる。この段階中には、パージガス(例えば、N)は第2放電開口部146を通じて吸入される。図6Aに示されるように、第1排気導管120はほぼ遮断されるので、第1反応物質の多くは、少量の第1反応物質が排気ダクト120のより近くに直接、流れる一方で、反応チャンバ106内にかつ横断する形で基板112上に向けられる。逆に、パージガスの多くそして好ましくはほぼすべては、第2放電開口部146から第2排気導管122のより近くに直接、流れる。
図6Bにはパージガスが両放電開口部144、146を通じて向けられる第2段階が図示される。示されるように、流れ制御装置134は、排気が第2排気ダクト122を通じてバイアスがかかったまま残るとともに、第1排気ダクト120の小さな開口部だけがあるように位置するのが好ましい。上述のように、パージガスは両方の放電開口部144、146を通じて吸入される。しかしながら、流れ制御装置134の位置が理由で、第1放電開口部144からのパージガスは基板112上に向けられるのが好ましい。この構成の利点は、第1放電開口部144からの前の反応物質パルスにより、飽和を確保する第1反応物質が最大限に利用されて流れが上述のように反転するまで基板112を横断し続けることが可能となる点である。オプションとして、パージ工程中には、流れ制御装置134は排気が第2排気ダクト120を通ってバイアスがかけられるようになるよう調整可能である。この方法では、反応チャンバ106を通るガス類の方向は、第2放電開口部146からのパージガスが基板112上に好ましく向けられる時に反転する可能性がある。このパージガスは第2放電開口部146が前段階中にパージされてしまっているので、第1放電開口部144からのパージガスに比べてより純度が高く(すなわち、反応物質により少なく汚染された)なる傾向がある。この構成によりほぼすべてでないにしても大半の第1放電開口部からの化学パルスが反応チャンバ106を通って流れることが可能となる。反応チャンバ106中の流れはその後、より少なく汚染されたガスで反転するとともにパージ可能であると同時に、拡散障壁は第1反応物質のいかなる流れをも遮断するよう絞り込み部160内に設定される。
図6Cには第2反応物質(例えば、HfClのようなある金属)のパルスが、パージガスが第1放電開口部144を通じて吸入される一方で、第2放電開口部146を通じて反応チャンバ106に吸入される第3段階が図示されている。この段階では、流れ制御装置134は前段階と同じかあるいは類似の位置のままであるかあるいは上述のように反転した反応炉を通る流れの方向に応じて移動可能である。この段階に関しては、反応チャンバ106を通る排気ガス類は第1排気ダクト120を通じてバイアスがかけられる。図6Cに示されるように、少量の第2流量が直接、第2排気導管122に流れる一方で、第2反応物質の多くは反応チャンバ106に向けられる。対照的に、第1放電開口部144を通る多くの、そして好ましくはほぼすべてのパージガスは第1排気導管120に向けられる。
図6Dには反応チャンバ106が両方の放電開口部144、146を通じた不活性ガスでパージされる第4段階が図示される。示されるように、流れ制御装置134は、排気が第1排気ダクト120を通じてバイアスがかけられたままであると同時に、第2排気ダクト122の小さな開口部だけがあるように位置するのが好ましい。上述のように、パージガスは両方の放電開口部144、146を通じて吸入される。しかしながら、流れ制御装置134の位置が理由で、第2放電開口部146からのパージガスは基板112上に向けられるのが好ましい。この構成の利点は、基板上の反応場所の飽和を確保するために第2反応物質パルスが最大限に利用されて、第2放電開口部146からの反応物質が、流れが上述のように反転するまで基板112を横断し続けることが許される点にある。オプションとして、パージ反応中に、流れ制御装置134は排気が第2排気ダクト122を通じてバイアスがかけられるようになるように調整可能である。このように、反応チャンバ106を通るガスの方向は、第1放電開口部144からのパージガスが次には好ましく基板上112上に向けられる時に反転可能である。このパージガスは、第1放電開口部144が前の段階中にパージされてしまっているので、第2放電開口部146からのパージガスに比べてさらに純度が高くなりやすい(すなわち、反応物質でより少なく汚染される)。この構成により、ほぼすべてではないにしても大半の第2放電開口146からの化学的パルスは反応チャンバ106を通って流れることが可能となる。反応チャンバ106の流れはその後、反転可能であると同時に、より汚染の少ないパージガスでパージされるとともに、拡散障壁は第2反応物質の反応チャンバ106へのいかなる流れも一切遮断するよう絞り込み部162内に設定される。
図7から図10には反応炉200のまた別の典型的実施例が示される。この実施例にはまた第1プレート204とベースプレート206間に定められる反応チャンバ202が含まれる。図10を参照のこと。基板はサセプタ210上に位置していて、このサセプタはベースプレート206に関して鉛直方向に移動可能である。一組の入口多岐管212、214が第1および第2反応物質の反応チャンバ202への供給用に設けられる。入口多岐管212、214は反応物質が反応チャンバ202を横断して分散するように入口導管(図示されず)に接続されるとともに、複数の開口部213、215で終わる。この実施例では、入口多岐管212、214は、全体的に基板の前面形状あるいは後縁に合うようその外形を描くとともに開口部213、215が割当てられる。このように、図示された構成では、多岐管212、214と開口部213、215は開口部213、215が円形基板の縁からおよそ等しい距離に位置するように、つまりは反応炉に入ってくるガスが先端部をより封じやすくなるように曲げられる。
前述の実施例については、反応チャンバ202は共通排気導管224と一緒になる一組の排気導管220、222と通じている。特に、図9を参照のこと。流れ制御装置226(例えば、高速差動ちょう形弁)は、各導管を通る流れを好ましく向けるために第1および第2排気導管220、222間の交差部に位置する。各排気導管220、222は排気多岐管240、242と連結され、この多岐管はベースプレート206中に形成可能である排気口244、246で終わる。
図10で分かるように、第1排気口244は第1放電開口部213と一組にされるとともに、第2排気口246は第2放電開口部215と一組にされる。各組の放電開口部と排気開口部は副次空間251、253で通じているが、これらの空間は流れ制限部250、252によって反応チャンバから分離される。図示された実施例では、これらの流れ制限部250、252は上面およびベースのプレート204、206中で突出部によって定められる。さらに、典型的反応炉200では、排気口244、246は、一般的に、対応する放電開口部213、215の下部に、つまり、基板の縁からおよそ等距離に位置する。前の実施例に関して、反応炉200には流れ制限部250、252の上流および下流に移行領域が設置可能である。この実施例における流れ制限部250、252は概して基板の縁からその幅方向に沿って等距離に位置する。このように、円形基板については、流れ制限部250、252は全体的に曲がった形状をしている。
上記の装置と方法にはエッチング法および化学気相成長法(CVD)が含まれるが、これらに限定はされないどのようなガス相作用にも採用可能であることが認められなくてはならない。しかしながら、これは、上で説明したようにALD反応炉での前駆体混合を防止することが特に重要であるので、特にALD反応炉での利用に適切である。
上述の組立品類は、金属混合物類、金属ハロゲン化合物類、金属対炭素結合を含む有機金属混合物類、金属対炭素結合を含まないが炭素(例えばthd混合物)を含む金属有機混合物類、ならびに要素金属類といった数多くの固定前駆体に関して利用可能である。また、例えば、オゾン、酸素、水、アンモニア、水素、TEB、アルコール等といった酸化および還元作用も利用可能である。
この発明はある好ましい具体例および典型的実施例に関連して公開されたけれども、本発明は、具体的に公開された実施例を越えて、別の代替実施例および/または本発明の利用および明らかな修正例ならびに追加の等価な例なものにまで拡張されることが当業者によって理解されよう。また、本発明の多くの変型例が示されかつ詳細に説明される一方で、本発明の範囲内に入る別の修正例類が、この公開をベースとした当業者にとっては容易で明らかであろう。様々な組合せあるいは個々の特徴類や実施例類の様々な様相類の組合せが構成可能であると同時に尚かつさらに本発明の範囲内に入り得ることもまた熟慮される。従って、公開された実施例類の様々な特徴および様相は、公開された本発明の多様な形態を形成するために相互に組合せられたりあるいは置き換えられたりすることが可能であることが理解されなくてはならない。このように、ここに公開された本発明の範囲は上述の特定の公開実施例類によって限定されてはならないものと意図される。
反応物質供給源の組立品と反応チャンバの組立品の実施例の概略図である。 反応炉の実施例の下部部分の概略上面図である。 図2の反応炉の側面透視図である。 図2の反応炉の横断面側面図である。 利用の一実施例の段階を示す図2の反応炉の横断面側面図である。 利用の一実施例の段階を示す図2の反応炉の横断面側面図である。 利用の一実施例の段階を示す図2の反応炉の横断面側面図である。 利用の一実施例の段階を示す図2の反応炉の横断面側面図である。 利用のもうひとつの実施例の様々な段階を示す図2の反応炉の横断面側面図である。 利用のもうひとつの実施例の様々な段階を示す図2の反応炉の横断面側面図である。 利用のもうひとつの実施例の様々な段階を示す図2の反応炉の横断面側面図である。 利用のもうひとつの実施例の様々な段階を示す図2の反応炉の横断面側面図である。 反応炉のもうひとつの実施例の上部透視図である。 図7の反応炉の下部透視図である。 図7の反応炉の側面図である。 図7の反応炉の横断面側面図である。 図7の反応炉を側面横断面図である。

Claims (23)

  1. 基板を処理するための反応チャンバを画定する反応炉であって、該反応炉は、
    第1反応物質を前記反応チャンバへ提供するための第1取込口と、
    第2反応物質のための前記反応チャンバへの第2取込口と、
    前記反応チャンバからガスを除去するための第1排気口と、
    前記反応チャンバからガスを除去するための第2排気口と、
    前記第1および第2排気口を通じて交互に流れを閉塞するように構成された流れ制御装置とを備え、
    第1流れ制限部が、前記第1取込口および前記第1排気口を前記反応チャンバから隔離し、第2流れ制限部が、前記第2取込口および前記第2排気口を前記反応チャンバから隔離し、前記反応炉は、前記第1排気口が非制限状態にありかつ前記第2排気口が制限状態にある場合に、前記第2取込口からの流れが前記反応チャンバを通って基板を越えて前記第1排気口まで向けられるとともに、前記第1取込口と基板と間の前記第1流れ制限部内に少なくとも部分的に拡散障壁が形成される、反応炉。
  2. 前記第1排気口が制限状態にあると共に前記第2排気口が非制限状態にある場合に、前記第1取込口からの流れが、前記反応チャンバを通り、基板を越え、前記第2排気口内に向けられると共に、前記第2取込口と基板との間に拡散障壁が形成されるように構成されている請求項1に記載の反応炉。
  3. 前記反応炉がALD反応炉である請求項1に記載の反応炉。
  4. 前記第1取込口および前記第1排気口が反応炉の側に位置し、前記第2取込口および前記第2排気口が反応炉のもう一方の側に位置する請求項1に記載の反応炉。
  5. 前記第1取込口および前記第1排気口が、前記第2取込口および前記第2排気口から、反応炉の対向する側にある請求項4に記載の反応炉。
  6. 前記第1取込口が、前記第1排気口のほぼ上部に位置する請求項5に記載の反応炉。
  7. 前記拡散障壁が、少なくとも部分的に前記第1流れ制限部内に位置する請求項6に記載の反応炉。
  8. 前記第1および第2排気口が、共通排気ルートと通じている請求項1に記載の反応炉。
  9. 第1および第2排気口から共通排気ルートまでの流れに選択してバイアスをかけるための弁が設けられる請求項8に記載の反応炉。
  10. 前記第1および前記第2排気口が、それぞれ、前記第1および前記第2排気口をそれぞれ通る流体の速度を上げるための流れ制限部を含む請求項9に記載の反応炉。
  11. 交互に繰り返される蒸気相反応物質の表面反応に基板を曝すことにより、基板面上に薄膜を成長させる方法であって、該方法は、
    反応チャンバ、第1取込口、第2取込口、第1排気口および第2排気口を有する反応炉を提供する工程と、
    第1反応物質が脈うつ間、(i)前記反応チャンバを通る前記第1反応物質の流れを前記第1取込口を経由して供給する一方、前記第1反応物質の流れの1部が、前記第1排気口を経由して排気されることによって前記反応チャンバ内の基板を迂回することが許され、(ii)不活性ガスを前記第2取込口を通して前記第2排気口に供給し、(iii)前記第1反応物質の流れを前記第2排気口を通して前記反応チャンバから引き上げる工程と、
    除去工程中に、(i)不活性ガスを前記第2取込口を経由して前記反応チャンバに供給する一方、不活性ガスが前記第1取込口を通って前記第1排気口に供給され、(ii)不活性ガスを、前記第1取込口と前記反応チャンバ内に位置する基板との間の拡散障壁を形成するために、前記第1排気口を経由して前記反応チャンバから引き上げる工程を含む方法。
  12. 第2反応物質が脈うつ間、(i)前記反応チャンバを通る第2反応物質の流れを前記第2取込口を経由して供給する一方、前記第2反応物質の流れの一部が、前記第2排気口を経由して排出されることによって、前記反応チャンバ内の基板を迂回することが許され、(ii)不活性ガスを前記第1取込口を通して前記第1排気口に供給し、(iii)前記第2反応物質の流れを前記第1排気口を通して前記反応チャンバから引き上げる工程を含む請求項11に記載の方法。
  13. 第2除去工程中に、(i)不活性ガスを前記第1取込口を経由して前記反応チャンバに供給する一方、不活性ガスが前記第2取込口を通して前記第2排気口に供給され、(ii)不活性ガスを、前記第2取込口と反応炉内に位置する基板との間に拡散障壁を形成するために、前記第2排気口を経由して前記反応チャンバから引き上げる請求項12に記載の方法。
  14. 前記反応チャンバを通る第1反応物質の流れを前記第1取込口を経由して供給する一方、前記第1反応物質の流れの1部が、前記第1排気口を経由して排出されることによって、前記反応チャンバ内にある基板を迂回することが許される前記工程が、前記第1反応物質の流れの約10%から約25%までを迂回する工程を含む請求項12に記載の方法。
  15. 前記除去工程が、(i)不活性ガスを前記第1取込口を経由して前記反応チャンバ内に供給する一方、不活性ガスが前記第2取込口を通って前記第2排気口に供給され、(ii)不活性ガスを前記第2排気口を経由して前記反応チャンバから引き上げる工程をさらに含む請求項12に記載の方法。
  16. (i) 不活性ガスが前記第2取込口を通って前記第2排気口に供給される間、不活性ガスを前記第1取込口を経由して前記反応チャンバに供給し、(ii)不活性ガスを前記第2排気口を経由して前記反応チャンバから引き上げる工程が、
    (i) 不活性ガスが前記第1取込口を通して前記第1排気口に供給される間、不活性ガスを前記第2取込口を経由して前記反応チャンバへ供給し、(ii)前記第1取込口と前記反応チャンバ内に位置する基板との間に拡散障壁を形成するために、前記第1取込口を経由して前記反応チャンバから不活性ガスを引き上げる工程の前に生じる請求項12に記載の方法。
  17. 交互に繰り返される蒸気相反応物質の表面反応に基板を曝すことにより、基板面上に薄膜を成長させる方法であって、該方法は、
    反応チャンバ、第1取込口、第2取込口、第1排気口および第2排気口を有する反応炉を提供する工程と、
    第1反応物質が脈うつ間、(i)前記反応チャンバを通る前記第1反応物質の流れを前記第1取込口を経由して供給する一方、前記第1反応物質の流れの1部が、前記第1排気口を経由して排気されることによって前記反応チャンバ内の基板を迂回することが許され、(ii)不活性ガスを前記第2取込口を通して前記第2排気口に供給し、(iii)前記第1反応物質の流れを前記第2排気口を通して前記反応チャンバから引き上げる工程と、
    除去工程中に、(i)不活性ガスを前記第1取込口を経由して前記反応チャンバに供給する一方、不活性ガスが前記第2取込口を通って前記第2排気口に供給され、(ii)不活性ガスを、前記第2取込口と前記反応チャンバ内に位置する基板との間の拡散障壁を形成するために、前記第2排気口を経由して前記反応チャンバから引き上げる工程を含む方法。
  18. 原子層デポジションを用いる基板を処理する方法であって、該方法は、
    第1反応物質入口ポート、第2反応物質入口ポート、第1排気口ポートおよび第2排気口ポートを含む反応チャンバを提供する工程と、
    基板を前記反応チャンバに装填する工程と、
    第1反応物質を、前記第1反応物質入口ポートを通すと共に第1方向における基板を越えて前記反応チャンバに吸入する工程と、
    第1反応物質を前記第2排気ポートを通して前記反応チャンバから引き上げる工程と、
    パージガスを、前記第2反応物質入口ポートを通すと共に第2方向における基板を越えて吸入する工程と、
    パージガスを前記第1排気ポートを通して前記反応チャンバから引き出す工程と、
    第2反応物質を、前記第2反応物質ポートを通すと共に第2方向における基板を越えて前記反応チャンバに吸入する工程と、
    第2反応物質を前記第1排気ポートを通して前記反応チャンバから引き上げる工程とを含む方法。
  19. 第1反応物質を前記反応チャンバに吸入する工程が、第1反応物質の前記第1排気ポートへの流れの約10%から約25%までを迂回する工程を含む請求項18に記載の方法。
  20. 基板処理システムであって、
    反応チャンバ、第1入口ポート、第2入口ポート、第1排気ポート、第2排気ポート、前記第1入口ポートならびに前記第1排気ポートから前記反応チャンバを区分する第1流れ制限部、および、前記第2入口ポートならびに前記第2排気ポートから前記反応チャンバを区分する第2流れ制限部を含む反応炉を備え、
    前記第1入口ポートおよび前記第2排気ポートが、前記反応チャンバ内において、前記第2入口ポートと前記反応チャンバとの間の前記第2流れ制限部に拡散障壁が形成される第1方向に、ガスの流れを提供するように協働し、
    前記第2入口ポートおよび前記第1排気ポートが、前記第1入口ポートと前記反応チャンバとの間の前記第1流れ制限部に拡散障壁が形成される第2方向に、ガスの流れを提供するように協働するシステム。
  21. 第1および第2方向が、ほぼ向き合う、即ち互いに反対である請求項20に記載の基板処理システム。
  22. 原子層蒸着法を利用して基板を処理する方法であって、前記方法は、
    第1反応物質パルス中に、第1反応物質の流れを、第1方向において、反応チャンバ内の基板上に向けるステップ、
    パージパルス中に、パージガスを、第2方向において、前記反応チャンバ内の基板上に向けるステップ、
    第2反応物質パルス中に、第2反応物質を、前記第2方向において、前記反応チャンバ内の基板上に向けるステップ、および、
    第2パージパルス中に、パージガスを、前記第1方向において、前記反応チャンバ内の基板上に向けるステップを含む方法。
  23. 基板を処理する方法であって、
    反応チャンバと、第1入口ポートと、第2入口ポートと、第1排気ポートと、第2排気ポートと、前記第1入口ポートおよび第1排気ポートから前記反応チャンバを隔離する第1流れ制限部と、前記第2入口ポートおよび第2排気ポートから前記反応チャンバを隔離する第2流れ制限部とを提供するステップと、
    前記第2入口ポートを介して前記反応チャンバに第2反応物質を導いて、前記第1流れ制限部において、前記第1入口ポートと反応チャンバとの間に拡散障壁を形成するステップと、
    前記第1入口ポートを介して前記反応チャンバに第1反応物質を導いて、前記第2流れ制限部において、前記第2入口ポートと反応チャンバとの間に拡散障壁を形成するステップとを備える方法。
JP2006538405A 2003-10-29 2004-10-29 薄膜成長用反応装置 Withdrawn JP2007511902A (ja)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US51523703P 2003-10-29 2003-10-29
US57371104P 2004-05-21 2004-05-21
US58049804P 2004-06-17 2004-06-17
PCT/US2004/036301 WO2005042160A2 (en) 2003-10-29 2004-10-29 Reaction system for growing a thin film

Publications (1)

Publication Number Publication Date
JP2007511902A true JP2007511902A (ja) 2007-05-10

Family

ID=34557363

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2006538405A Withdrawn JP2007511902A (ja) 2003-10-29 2004-10-29 薄膜成長用反応装置

Country Status (4)

Country Link
US (1) US7020981B2 (ja)
JP (1) JP2007511902A (ja)
KR (1) KR20060096445A (ja)
WO (1) WO2005042160A2 (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009516077A (ja) * 2005-11-17 2009-04-16 ベネク・オサケユキテュア Ald反応容器
JP5343162B1 (ja) * 2012-10-26 2013-11-13 エピクルー株式会社 エピタキシャル成長装置

Families Citing this family (384)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7153542B2 (en) * 2002-08-06 2006-12-26 Tegal Corporation Assembly line processing method
JP4180948B2 (ja) * 2003-03-24 2008-11-12 東京エレクトロン株式会社 基板処理装置および基板処理方法、ガスノズル
US7439338B2 (en) * 2005-06-28 2008-10-21 Micron Technology, Inc. Beta-diketiminate ligand sources and metal-containing compounds thereof, and systems and methods including same
US7572731B2 (en) * 2005-06-28 2009-08-11 Micron Technology, Inc. Unsymmetrical ligand sources, reduced symmetry metal-containing compounds, and systems and methods including same
US7416994B2 (en) * 2005-06-28 2008-08-26 Micron Technology, Inc. Atomic layer deposition systems and methods including metal beta-diketiminate compounds
CN101365400A (zh) * 2005-11-10 2009-02-11 森迪奈尔集团有限责任公司 胃内和经胃装置、可视化以及治疗干预方法
US7877895B2 (en) 2006-06-26 2011-02-01 Tokyo Electron Limited Substrate processing apparatus
GB0615722D0 (en) * 2006-08-08 2006-09-20 Boc Group Plc Apparatus for conveying a waste stream
US8986456B2 (en) 2006-10-10 2015-03-24 Asm America, Inc. Precursor delivery system
US20080241384A1 (en) * 2007-04-02 2008-10-02 Asm Genitech Korea Ltd. Lateral flow deposition apparatus and method of depositing film by using the apparatus
US7939447B2 (en) * 2007-10-26 2011-05-10 Asm America, Inc. Inhibitors for selective deposition of silicon containing films
KR101376336B1 (ko) 2007-11-27 2014-03-18 한국에이에스엠지니텍 주식회사 원자층 증착 장치
US7655543B2 (en) 2007-12-21 2010-02-02 Asm America, Inc. Separate injection of reactive species in selective formation of films
WO2009142905A1 (en) * 2008-05-20 2009-11-26 Sundew Technologies, Llc Deposition method and apparatus
US9175388B2 (en) * 2008-11-01 2015-11-03 Ultratech, Inc. Reaction chamber with removable liner
US9328417B2 (en) * 2008-11-01 2016-05-03 Ultratech, Inc. System and method for thin film deposition
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US8216380B2 (en) 2009-01-08 2012-07-10 Asm America, Inc. Gap maintenance for opening to process chamber
US8287648B2 (en) 2009-02-09 2012-10-16 Asm America, Inc. Method and apparatus for minimizing contamination in semiconductor processing chamber
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8486191B2 (en) * 2009-04-07 2013-07-16 Asm America, Inc. Substrate reactor with adjustable injectors for mixing gases within reaction chamber
US8877655B2 (en) 2010-05-07 2014-11-04 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8883270B2 (en) 2009-08-14 2014-11-11 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen—oxygen species
JP5257328B2 (ja) * 2009-11-04 2013-08-07 東京エレクトロン株式会社 基板処理装置、基板処理方法及び記憶媒体
TW201122148A (en) * 2009-12-24 2011-07-01 Hon Hai Prec Ind Co Ltd Chemical vapor deposition device
US20120000301A1 (en) * 2010-07-01 2012-01-05 Primestar Solar Apparatus and method for isolating a viewport
US9790594B2 (en) 2010-12-28 2017-10-17 Asm Ip Holding B.V. Combination CVD/ALD method, source and pulse profile modification
US8524322B2 (en) 2010-12-28 2013-09-03 Asm International N.V. Combination CVD/ALD method and source
KR101685629B1 (ko) * 2011-04-29 2016-12-12 한국에이에스엠지니텍 주식회사 수평 흐름 원자층 증착 장치
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9062375B2 (en) 2011-08-17 2015-06-23 Asm Genitech Korea Ltd. Lateral flow atomic layer deposition apparatus and atomic layer deposition method using the same
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
US9388492B2 (en) * 2011-12-27 2016-07-12 Asm America, Inc. Vapor flow control apparatus for atomic layer deposition
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
TWI622664B (zh) 2012-05-02 2018-05-01 Asm智慧財產控股公司 相穩定薄膜,包括該薄膜之結構及裝置,及其形成方法
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9117866B2 (en) 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20140116336A1 (en) * 2012-10-26 2014-05-01 Applied Materials, Inc. Substrate process chamber exhaust
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US20150155187A1 (en) * 2013-12-04 2015-06-04 Lam Research Corporation Annular baffle for pumping from above a plane of the semiconductor wafer support
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9920425B2 (en) * 2014-08-13 2018-03-20 Toshiba Memory Corporation Semiconductor manufacturing apparatus and manufacturing method of semiconductor device
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9963782B2 (en) * 2015-02-12 2018-05-08 Asm Ip Holding B.V. Semiconductor manufacturing apparatus
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
KR102477302B1 (ko) * 2015-10-05 2022-12-13 주성엔지니어링(주) 배기가스 분해기를 가지는 기판처리장치 및 그 배기가스 처리방법
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
DE102016101003A1 (de) 2016-01-21 2017-07-27 Aixtron Se CVD-Vorrichtung mit einem als Baugruppe aus dem Reaktorgehäuse entnehmbaren Prozesskammergehäuse
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10662527B2 (en) 2016-06-01 2020-05-26 Asm Ip Holding B.V. Manifolds for uniform vapor deposition
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US10879054B2 (en) * 2017-11-20 2020-12-29 Taiwan Semiconductor Manufacturing Co., Ltd. Pump assembly for creating vacuum in wafer processing chamber
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
NL2021137B1 (en) * 2018-06-15 2019-12-20 Boschman Tech Bv Sintering Process Product Carrier
US11121320B2 (en) * 2018-06-18 2021-09-14 Universal Display Corporation Organic vapor jet print head with redundant groups of depositors
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
JP7296699B2 (ja) * 2018-07-02 2023-06-23 東京エレクトロン株式会社 ガス供給システム、プラズマ処理装置およびガス供給システムの制御方法
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
US11078568B2 (en) * 2019-01-08 2021-08-03 Applied Materials, Inc. Pumping apparatus and method for substrate processing chambers
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11492701B2 (en) 2019-03-19 2022-11-08 Asm Ip Holding B.V. Reactor manifolds
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
US11032945B2 (en) * 2019-07-12 2021-06-08 Applied Materials, Inc. Heat shield assembly for an epitaxy chamber
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
FI128855B (en) * 2019-09-24 2021-01-29 Picosun Oy FLUID DISTRIBUTOR FOR THIN FILM GROWING EQUIPMENT, RELATED EQUIPMENT AND METHODS
JP7274387B2 (ja) * 2019-09-24 2023-05-16 東京エレクトロン株式会社 成膜装置及び成膜方法
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210048408A (ko) 2019-10-22 2021-05-03 에이에스엠 아이피 홀딩 비.브이. 반도체 증착 반응기 매니폴드
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
US20220084794A1 (en) * 2020-09-16 2022-03-17 Applied Materials, Inc. Plasma chamber with a multiphase rotating modulated cross-flow
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
US20230057145A1 (en) * 2021-08-23 2023-02-23 Applied Materials, Inc. Plasma chamber with a multiphase rotating cross-flow with uniformity tuning
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2712367B2 (ja) 1988-09-09 1998-02-10 富士通株式会社 薄膜の形成方法およびその装置
JP3338884B2 (ja) 1993-09-20 2002-10-28 株式会社日立製作所 半導体処理装置
FI97731C (fi) * 1994-11-28 1997-02-10 Mikrokemia Oy Menetelmä ja laite ohutkalvojen valmistamiseksi
KR100531629B1 (ko) * 2000-08-11 2005-11-29 동경 엘렉트론 주식회사 기판의 처리장치 및 처리방법
US6399510B1 (en) * 2000-09-12 2002-06-04 Applied Materials, Inc. Bi-directional processing chamber and method for bi-directional processing of semiconductor substrates
US6613695B2 (en) * 2000-11-24 2003-09-02 Asm America, Inc. Surface preparation prior to deposition
WO2003081659A1 (fr) 2002-03-26 2003-10-02 Tokyo Electron Limited Dispositif de traitement de substrat, procede correspondant, soupapes rotatives, et procede de nettoyage
EP1506570A1 (en) * 2002-05-21 2005-02-16 ASM America, Inc. Reduced cross-contamination between chambers in a semiconductor processing tool

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009516077A (ja) * 2005-11-17 2009-04-16 ベネク・オサケユキテュア Ald反応容器
JP5343162B1 (ja) * 2012-10-26 2013-11-13 エピクルー株式会社 エピタキシャル成長装置

Also Published As

Publication number Publication date
US7020981B2 (en) 2006-04-04
KR20060096445A (ko) 2006-09-11
WO2005042160A2 (en) 2005-05-12
US20050241176A1 (en) 2005-11-03
WO2005042160A3 (en) 2006-02-02

Similar Documents

Publication Publication Date Title
JP2007511902A (ja) 薄膜成長用反応装置
US11377732B2 (en) Reactant vaporizer and related systems and methods
US11208722B2 (en) Vapor flow control apparatus for atomic layer deposition
TWI760397B (zh) 連續滲入合成裝置
US7648578B1 (en) Substrate processing apparatus, and method for manufacturing semiconductor device
JP4727085B2 (ja) 基板処理装置および処理方法
KR101324367B1 (ko) 성막 장치, 성막 방법 및 컴퓨터 판독 가능 기억 매체
US20050229848A1 (en) Thin-film deposition apparatus
JP4836347B2 (ja) 基板上に薄膜を成長させる方法
US6849133B2 (en) CVD apparatuses and methods of forming a layer over a semiconductor substrate
US20100266765A1 (en) Method and apparatus for growing a thin film onto a substrate
TW202129064A (zh) 半導體處理裝置以及沉積方法
KR101662421B1 (ko) 트랩 장치 및 성막 장치
US10767260B2 (en) Substrate processing apparatus, vaporization system and mist filter
US20090241834A1 (en) Substrate processing apparatus
JP5004890B2 (ja) 気化器、基板処理装置及び半導体装置の製造方法
KR20220019244A (ko) 다공성 입구
US11970778B2 (en) Processing apparatus
US20220259736A1 (en) Processing apparatus
JP2006216597A (ja) 基板処理装置
KR20230032934A (ko) 반응기 시스템용 배플
JPH04163911A (ja) 光cvd装置
KR20130058627A (ko) 성막 장치

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20070910

RD02 Notification of acceptance of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7422

Effective date: 20090807

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20090807

A761 Written withdrawal of application

Free format text: JAPANESE INTERMEDIATE CODE: A761

Effective date: 20090915

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20091208

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20091208