TW200823317A - Gas distribution device for treating reactor by semiconductor technological element and reactor thereof - Google Patents

Gas distribution device for treating reactor by semiconductor technological element and reactor thereof Download PDF

Info

Publication number
TW200823317A
TW200823317A TW095143259A TW95143259A TW200823317A TW 200823317 A TW200823317 A TW 200823317A TW 095143259 A TW095143259 A TW 095143259A TW 95143259 A TW95143259 A TW 95143259A TW 200823317 A TW200823317 A TW 200823317A
Authority
TW
Taiwan
Prior art keywords
gas
reaction gas
reaction
gas distribution
plate
Prior art date
Application number
TW095143259A
Other languages
English (en)
Other versions
TWI325898B (zh
Inventor
Steve Chen
Shulin Wang
Henry Ho
Gerald Yin
Qing Lv
Li Fu
Original Assignee
Advanced Micro Fab Equip Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Advanced Micro Fab Equip Inc filed Critical Advanced Micro Fab Equip Inc
Publication of TW200823317A publication Critical patent/TW200823317A/zh
Application granted granted Critical
Publication of TWI325898B publication Critical patent/TWI325898B/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas

Landscapes

  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)

Description

200823317 九、發明說明: 【發明所屬之技術領域】 本發明係關於一種用於半導體工作件處理反應器之氣體 为佈裝置,尤其係關於一種安裝在半導體工作件處理反應 裔中之氣體分佈裝置,該氣體分佈裝置可用於向半導體工 作件傳送氣相化學物質,以便藉由化學氣相沈積、原子層 沈積或類似方法,在半導體工作件之表面上沈積均勻的薄 m或薄層。與此相關’本發明亦係關於一種使用該氣體分 佈裝置之半導體工作件處理反應器。 【先前技術】 化學氣相沈積及原子層沈積係半導體製造中關鍵的製程 步驟。尤其氧化物層之沈積係積體電路製造中之重要環 節更具體E s之,若需要填充積體電路結構中的空隙, 一般必須使用熱處理製程。通常使用正矽酸乙脂 (tetraethylorthosilicate ’ TE0S)及臭氧(〇z〇ne)來製造不摻 _ 狀熱處理氧化物薄膜。至今,傳統設計之化學氣祖沈積 腔室均包括-個化學物質分佈喷淋頭⑽㈣灿㈤化⑽㈤ distribution system)。先前技術中之分佈噴淋頭大體上可 以分為兩種形式,-種為預混合分佈噴淋頭(pre-mixing Sh〇Werheads),另一種為後混合分佈喷淋頭(p〇st_mixi叩 showerheads)。在預混合分佈喷淋頭中,所有參與反應之 化學物質均在分佈喷淋頭中預先混合好,隨後自分佈喷淋 頭中逸出進入反應區域,最終沈積在半導體工作件上。 先前技術之預混合分佈噴淋頭技術之策點為,所有化學 116300.doc 200823317 ==佈噴淋頭内部被基本上充分混合,最後得到的 在:等預以破均勻地沈積在半導體工作件表面上。然而, ==Γ喷淋頭中,分佈噴淋頭之溫度必須嚴格 減少在預混合分佈噴淋頭内部可能發生的化學反 應。在使用例如正石夕酸乙浐 > 臭虱等化學物質進行熱氧化 物沈積處理時,由於正石夕酸 + y敞乙月曰為液相化學物質,提高分 佈贺淋頭之溫度一般可 ΐ低正矽g文乙脂液體冷凝之可能
性,由此有利於正石夕酸乙 U一、 文乙月曰的傳运。^,分佈喷淋頭内 的較馬溫度一般合P备柄*与、曲— 曰p中低臭乳濃度’原因為臭氧之半衰期盘 溫度密切相關。 更進一步地’已經熟知,當切酸乙脂及臭氧在分伟喷 淋頭内預混合時’可能會發生聚合並生成顆粒。若該等聚 合物顆粒被沈積到丰莫,从4 _牛¥體工作件表面,會降低在積體電路 結構中最後得到的氧化物薄膜填補空隙之能力。 為解決現有預混合分佈喷淋頭之不I,由此提出了後混 合分佈喷淋頭。例如’先前技術中存在的後混合分佈喷淋 頭設計,如美國專利號5,624,498; 5,963,834; 6,086,677; 6,089,184; 6,245,192; 6,302,964; 6,415^736^ 6,435,428,以及美國專利申請公開號us2〇〇5/〇263248 US200副2! 703。雖然該等原有設備取得了不同程度的成 功,然而業界精英仍在搜尋一種可以更可靠地傳送多種反 應化學物質到半導體工作件之分佈噴淋頭,並根本避免先 珂技術之缺陷,包括形成可能沈積到半導體工作件上或分 佈噴淋頭内部之顆粒等。 H6300.doc 200823317 【發明内容】 本發明之發明目的在於提供—種用於半導體工作件處理 反應器之氣體分佈裝置’其克服了先前技術之不足,可以 使得反應氣體在進入反應區域之前被充分均勻地分佈擴 散,並同時克服在氣體分佈裝置内部產生顆粒之不足,= 而改良沈積於半導體工作件上之薄膜效能。 丈
本發明之又一發明目的在於提供一種使用該氣體分佈裝 置之半導體工作件處理反應器,其同樣能夠改良沈積於半 導體工作件上之薄膜效能。 本發明藉由以下技術方法實現: 依據本發明之一態樣,一種氣體分佈裝置,包括··反應 氣體供應板,其至少與第一種及第二種反應氣體相連通; 至少一反應氣體分佈板,其與該反應氣體供應板相連接, 並使該第一種及第二種反應氣體中之至少一種在該反應氣 體分佈板中獲得大體上均勻地分佈擴散;以及反應氣體傳 送面板,其與該反應氣體分佈板相連接,其中,在該第一 種及第二種反應氣體分別經過該反應氣體供應板、反應氣 體分佈板及反應氣體傳送面板的過程中,第一種及第二種 反應氣體一直保持隔離,最後以一種大體上均勻分佈方式 逸出该反應氣體傳送面板。 依據本發明之另一態樣,——種氣體分佈裝置,包括:反 應氣體供應板,其與至少第一及第二反應氣體源連通,其 中该反應氣體供應板包括頂面及底面,在其大體中心位置 處。又置有一貝穿頂面及底面之反應氣體第一通道,第一種 】】6300.doc 200823317 反應氣體與該反應氣體第 了苔二a 逍並自该底面逸出,該 頂面進一步包括多個貫 夕加c 貝牙至底面之反應氣體第二通道,該 夕反應氣體第二通道分佈在 诚卜· 面上弟一組預設的間隔區 成上,至少一個反應氣體分 應板之底面並置之^b /匕括個與反應氣體供 頁面及-個相對於頂面之底面,其中該 反應氣體分佈板之1¾ & ^ κ β … 頂面包括多個間隔開之氣體導向塊,排 若干個第一區域中,該若 _ T IU乐一 域與該反應氣體 i、應板上形成之若干個第一 ^ 弟 £域各自對齊,且在各個氣體 n形m申至該反應氣體分佈板底面之若干個反應 氣體第三通道’該若干個反應氣體第三通道分別與該反應 氣體供應板中形成之反應氣體第二通道連通,第二種反應 氣體流過該若干個反應氣體第三通道,在該反應氣體分佈 板之頂面與底面間設置有反應氣體第四通道,第一種反應 氣體/、之連通並自其中流過;以及反應氣體傳送面板,包 括個與反應氣體分佈板底面並置之頂面及一個相對於頂 面之底面,其中在該頂面上安裝有多個間隔開之氣體圍 邊對應之氣體圍邊中設置有貫穿至底面之反應氣體第五 通道,第一種反應氣體自其中流過;在該反應氣體傳送面 板之頂面上,多個氣體圍邊之間設置有貫穿至底面之反應 氣體第六通道,第二種反應氣體自其中流過;第一種及第 二種反應氣體以一種大致均勻分佈方式逸出該反應氣體傳 送面板的底面。 依據本發明之再一態樣,一種氣體分佈裝置,包括:連 接在一起的第一、第二及第三氣體分佈板,其中第二氣體 116300.doc 200823317 分佈板置於第一與第=洛M八放4c * ^ —轧體刀佈板之間,在第-氣體分佈 板與弟一軋體分佈板間形 ^ ^ 在弟一虱體分佈板 與第三氣體分佈板間形成第—空 7取乐一工脸,右干個與該第二 分佈板連接並與之大體垂直之第一氣體分隔裝置,相互: 間留有預設間距,且令繁一与挪八 且及弟一軋體分隔裝置相對於第— 分佈板並置,並處於第一空腔中;若干個反應氣體第一通 道,至少部分地,由該多個第一氣體分隔裝置形成,並且 貫穿弟二氣體分佈板,用於接收及傳送第一氣體;若干個 反應氣體第二通道’設置在該第二氣體分佈板上並貫穿直 中,並且均勾地分佈在該等第一氣體分隔裝置周圍,、用: 接收及傳送第H若干個與㈣三氣體分佈板連接並 與之大體垂直之第二氣體分隔裝置,用於接收及傳送該第 二氣體’該若干個第二氣體分隔裝置位於第二空腔中並相 對於第二氣體分佈板並置’第二氣體分隔裝置相互之間以 一預設間距的方式排列;若干個反應氣體第三通道,^少 部分地,由該多個第二氣體分隔裝置形成,並且貫穿第三 氣體分佈板’用於接收及傳送第二氣體;以及若干個反i 氣體第四通道’設置在該第三氣體分佈板上並貫"中’: =勾分佈在該等第二氣體分隔裳置周圍,用於接收及傳送 第一氣體’其中’第-種及第二種反應氣體之路徑由該反 應氣體第-、第二、第三及第四通道碟定,兩種反應氣體 分別沿第一種及第二種反應氣體路徑傳輸並保持相互隔 離’直至逸出第三氣體分佈板。 依據本發明之又一態#,—種半導體工作件處理反應 116300.doc -10 - 200823317 口口 ’包括·處理腔室’纟包括沈積區域;可移動基座,用 於在處理時支樓並水平地移動處於該處理腔室之沈積區域 中待處理的半‘體卫作件,·以及安裝在該處理腔室中並靠 、半’體工作件之氣體分佈裝置,其包括多個反應氣體分 佈板#反應氣體分佈板上設置_組以預設連接關係相 互連通之反應氣體通道,以提供至少兩種相互隔離之反應 氣體路徑’從而可將至少第_及第二反應氣體相互隔離地 並且以一種大致均勻分佈方式傳送至該可移動半導體工作 件上。 【實施方式】 凊參看圖1,圖1係運用本發明氣體分佈裝置之半導體工 作件處理反應器之簡化橫斷縱向剖視圖。本發明所述之氣 體分佈裝置可以被用於化學氣相沈積(chemicai V邛π deP〇Sltl〇n,CVD)或原子層沈積(atomic layer deposition, ald)。在如下說明中將以化學氣相沈積為例說明。如圖 所不之氣體分佈裝置10設置於處理反應器1}中。處理反應 器11包括環繞側壁12以及由其環繞側壁12形成之内部腔室 U,内部腔室13内可接收並處理半導體工作件 (sem1C〇ndUctor w〇rk piece)14。該半導體工作件可以包括 用於製造晶片之半導體基板(substrate)或晶圓(wafer),亦 可以包括用於製造平板顯示器之玻璃基板。如圖1所示, 處理反應器11亦包括一個頂面15,以及多個化學反應物質 (此處不例表示為第一反應物質21及第二反應物質22)與該 氣體分佈裝置10連通。在下文討論中,作為本發明之一態 116300.doc -11 - 200823317 樣,該第一種及第二種反應物質將被示例地描述為TEOS 及〇Ζ Ο N E ’應當瞭角举’反應物負不限於此。比如,本氣體 分佈裝置10亦可以用於金屬化合物之氣相沈積(metal deposition),用於此目的時,第一及第二反應物可以包括 TiCU及NH3來沈積TiN。當氣體分佈裝置10被用於原子層 沈積時,亦可以沈積高介電常數K(法拉每公分)的材料, 例如 ’ Al2〇3,HF02,HFSi02,HFxSiy〇2,及 TA2〇5。亦可 以用CVD或ALD方式來沈積WN膜,所用之第一及第二反 應物的來源包括WF0及NH3。再者,第一及第二反應物可 以被用來沈積TaN或TiN,包括Ta/Ti及H/N先驅氣體。同時 應田瞭解,一般會應用各種閥門及其它控制裝置(未圖示) 來測置及控制該等第一種及第二種反應物質(21及22)以不 同劑量輸入氣體分佈裝置10。自圖丨中亦可看到,在氣體 分佈裝置10及基座23之間亦設置有一個支撐元件或基座 用於在水平方向上可水平移動地支撐半導體工作件 14 °基座或支#元件23可以包含加熱元件,可選方案包括 電"生I熱兀件、電感發熱線圈元件、發熱燈泡元件或苴 它可以用來向半導體卫作件14提供熱量之加熱方案。另、 卜應田理解,在反應器處理過程中,基座或支樓元件23 置成能夠水平移動’以配合本發明之氣體分佈裝 作用在半導體工作件14沈積出均句薄膜。該基座 23之水平運動能夠 仏一 # 门牛V體工作件14上沈積的膜厚度之 =用=:=力、減少顆粒產生、同時減少反 。亥水千移動可以包括各種水平運動方式:旋 116300.doc 200823317 轉、抖動、一前一後運動、非線性運動或上述運動之組 θ °作為本發明之一種較佳實施方式,該可水平移動之基 座23可以被设計為能夠使半導體工作件!4以預設轉速水平 轉動。 半導體工作件14置於化學反應區24中,該化學反應區以 位於氣體分佈裝置10與放置半導體工作件14之基座23之 間。應當瞭解,本發明氣體分佈裝置1〇可如圖丨所示配置 於單個工作平$或基座23之處理腔室中,用於處理一片半 導體工作件Μ ’亦可配置於含多個工作平臺之處理腔室 中,在不同工作+臺上可同時處理幾片丨導體工作件Μ, 每一工作平臺對應一個氣體分佈裝置10。因此,廣義而 言,本發明所關於之半導體工作件14之處理反應器u,包 括設置於處理反應器u内部之沈積區域24、基座23以及氣 體分佈震置H)’該基座23用於支擇待處理之半導體工作件 並且在處理半導體卫作件14時可以水平方向地移動, 以提高半導體工作件14上所沈積之薄膜的均一性;氣體分 佈裝置10安裝在處理反應器u中靠近半導體工作件14之位 置處’用於向半導體工作件14傳 〜一 卞4得达至少弟一種反應氣體21 及第二種反應氣體22,在傳 ^ ^ 杜得达過私中,該等至少兩種反應 乳脰在穿過氣體分佈裝置 子保持刀離,不同反應氣體具 有不同氣體傳輸路和U工# : 而確保反應氣體在氣體分佈裝置 1 0不會混合反應而產生塑口 〜θ溥膜口口貝之顆粒的問題。應當 理解,本發明所述之第一 以㈣或弟二種反應氣體 于反應物(如TE0S或0Z0NE)之反應 Π 6300.doc 200823317 氣體,亦可為包含多種化學反應物之混合氣體,比如,反 應氣體中包含一種或多種摻雜氣體(d0pant gas)。 請參看圖2,圖2係用於半導體工作件處理之本發明氣體 分佈裝置之分解橫斷縱向剖視圖。氣體分佈裝置1〇包含多 個氣體刀佈板30,此處表示為一個第一反應氣體分佈板或 反應氣體供應板31、至少一個第二反應氣體分佈板32、一 個第三反應氣體分佈板或第三反應氣體傳送面板33。該多 φ 個氣體分佈板30可以藉由機械連接方式密封地連接在一 起’亦可以藉由真空釺焊(vacuuni braze welding)或真空熔 焊(vacuum fuse welding)而形成一個整體的密閉氣體分佈 I置。請蒼看圖3及圖4,圖3及圖4分別顯示了第一反應氣 體分佈板或反應氣體供應板3 1之頂視圖及底視圖。該第一 反應氟體分佈板31包括主體40,主體40包含頂面41、與頂 % 面4 1相對之底面42以及外邊沿43。主體40上設置有反應氣 體第一通道5 1,反應氣體第一通道5〗在主體4〇之大致中心 馨位置處設置或形成,並且貫穿頂面41及底面42。進一步 地在反應氣體供應板3 1内设置有一組或若干個反應氣體 第二通道52 ’反應氣體第二通道52貫穿其頂面及底面4 1及 42。在如圖所示結構中,第一種反應氣體2丨通入反應氣體 第一通道51,而第二種反應氣體22通入多個反應氣體第.二 通道52。在圖2所示情況下,第一、第二及第三反應氣體 分佈板3 1、32及33分別包含一組反應氣體通道,此點在後 文中將詳述,這些通道以預定連通方式分別連接,以提供 相互隔離之兩種氣體通道或路徑來傳送該第一種及該第二 116300.doc -14· 200823317 種反應氣體2 1及22,從而脾 送路彳<·ΜΑ、、,5 @ 將兩種反應氣體21及22以不同傳 达路徑傳迗至圖丨所示 l移動(旋轉)的半導體工作件14上。 如圖示意,第一種反靡备 C'乳體21傳送至反應氣體第一通道 51,而第二種反應氣體 傳迗至多個反應氣體第二通道 52。如圖3及4戶斤示,可以丢 J以看出多個反應氣體第二通道52分 佈在多個預先間隔之區域 —々加广丄 AW中,在各個區域53之間存在條 狀的、連續空隙54。圖4_ - 7斤 广十 口 不了第一反應氣體分佈板或反
應氣體供應板3 1之底满pi 之低現圖,可以看到一組或多個徑向延伸 之氣體導向槽5 5設晉力· JT /m 又置在右干個預設間隔開之第一區域53之 1反應氣體f if道5 1與此多個徑向延伸之氣體導向槽 55連通。各個氣體導向槽55自主體4〇之大體中心位置處向 其外邊沿43方向延伸。 第一、第二及第三氣體分佈板31、Μ及33之間緊密地被 密封連接在一起,如圖2及隨後之圖中所示,第二反應氣 體分佈板32位於第一及第三氣體分佈板3〗及33之間。此 處’第二反應氣體分佈板32包括一個大致呈圓形的主體 6 0 ’主脰6 0包含頂面61及底面62。更進一步地,主體6 〇包 含外邊沿63。觀察圖2及圖5可看出,在第一反應氣體分佈 板或反應氣體供應板3 1之底面42與第二反應氣體分佈板32 之頂面6 1之間形成一個空腔64。自圖2、5及6可最為清晰 地看到,在第二反應氣體分佈板32之頂面61上設置有一組 或多個第一氣體分隔裝置71,該等第一氣體分隔裝置71與 主體60連接為一體,且垂直其頂面61並向上延伸直至並置 (juxtaposed)於反應氣體供應板3 1之底面42上。該多個第一 116300.doc -15- 200823317 氣體分隔裝置7〗妁0 α ^ ^ 第一… 勺句地分佈在空腔64内’並且每兩個相鄰
/刀隔裝置71之間以-預設間距分隔開。此外,每 弟一軋體分隔裝置71上包括頂面72。組裝在—起後,頂 面72將並置在反應氣體供應板31之底面仏上。自圖$最為 易見’多個第-氣體分隔裝置71排列在若干個第二區域73 中,與反應氣體供應板31中設置之若干個第-區域53同向 排列此外在多個若干個第二區域73相鄰的地方設置有 多:徑向延伸之主反應氣體分佈通道74。除此之外,在各 個第氣體刀裝置7 i之間形成若干個從反應氣體分佈通 道75,每一從反應氣體分佈通道乃與徑向延伸之主反應氣 體分佈通道74相互i車^畜。φ、仓 jk ,, t 祁立運通更進一步地,尚有一環繞氣體分 佈通道76 ’環繞著由多個第一氣體分隔裝置71形成之多個 區域73,並與各個主或從反應氣體通道74及75連通。應當 理解,前述第一氣體分隔裝置71可以多種實施方式,圖中 所示為其中一種方式,即,多個線性延伸之氣體導向塊 71。為了方便理解,下面將以線性延伸之氣體導向塊71來 描述本發明。 在圖5所示之結構中,在各個線性延伸之氣體導向塊71 上《又置有右干個反應氣體弟二通道83,通道83貫穿氣體導 向塊71直達反應氣體分佈板32之底面62。若干個反應氣體 第三通道83分別與對應之反應氣體供應板3 j中之反應氣體 第二通道52相互流體連通。因此,第二種反應氣體22將流 過若干個反應氣體第三通道83。更進一步地,反應氣體第 四通道84被設置於反應氣體分佈板32上並貫穿反應氣體分 Π 6300.doc ► 16- 200823317 佈板32之丁員面61及底面62。反應氣體第四通道分別連通流 過其中之第一種反應氣體2 1。反應氣體供應板3〗中設置之 反應氣體第二通道52與對應之氣體導向塊71中之反應氣體 第三通道83大體上同心對齊。因此,第二種反應氣體以流 過一組同心對齊的第二及反應氣體第三通道52與83,並逸 出反應氣體分佈板32之底面62。自圖中可以看出,多個徑 向延伸之主反應氣體分佈通道74與反應氣體供應板31之底 φ 面42中設置的多個徑向延伸之氣體導向槽55同心對齊。逸 出反應氣體供應板3 1之第一種反應氣體2 1沿主、從及環繞 反應氣體分佈通道74、75及76傳輸,並且被充分均勻地分 佈擴散’隨後經過設於反應氣體分佈板32中並貫穿頂面61 及底面62之反應氣體第四通道84。第一種及第二種反應氣 體21及22在穿過該第二種反應氣體分佈板時,藉由不同路 徑保持相互分離。另外,作為本發明之另外一種實施方 式,前述設置於空腔64内之第一氣體分隔裝置或氣體導向 馨 塊7 1可以由若干個相互間隔排列之獨立氣體導引裝置或氣 體導引管(未圖示)取代。該等氣體導引裝置或氣體導引管 设置於弟一反應氣體分佈板32上並與之相連,氣體導引f 置或氣體導引管設置有中空氣體通道(相當於前述若干個 反應氣體第三通道83),多個氣體導引裝置或氣體導引管 可以實現與氣體導向塊71相同的功能,即,—方面使得_ 二種反應氣體22流過其上設置之中空氣體通道從而流出至 第二反應氣體分佈板之底面’另一方面,第一反應氣體可 以在相互間隔設置之均勻排列的氣體導引裝置或氣體導弓丨 116300.doc -17- 200823317 管之間被充分地擴散分佑,$ ^ b ,、月文刀佈,再流出至第二反應氣體分佈板 之底面。可以理解’本發明执 月所&置之弟二反應氣體分佈板 32上所設置之第一氣體公 ^衣置71或氣體導引裝置可以使 得至少兩種反應氣體被分卩 組攸刀I网開以不同傳送通道或路徑經過 第二反應氣體分佈板32,而H τ , ^ 而且旎夠使至少一種反應氣體在 該第二反應氣體分佈板32内被充分均句地分佈擴散。 本發明之氣體分佈裝置10亦包括一個第三反應氣體分佈 板或第三反應氣體傳送面板33,1分別參看圖7_1〇。該第 三反應氣體分佈板或第三反應氣體傳送面板33包括主體 90’主體9〇包含頂面91及底面%。更進一步地,主體9〇亦 包括-個外邊沿93 ’並在頂面91與第二反應氣體分佈板” 之底面62之間形成了一個空腔94。一組第二氣體分隔裝置 (如圖所示之實施方式為:大體呈直角形之氣體圍邊)1〇2與 主體90之頂面91連接成一體,並與之大體呈垂直並向上延 伸。该等大體呈直角形之氣體圍邊1〇2包括不同尺寸,並 以預設間距排列。每一直角形氣體圍邊〗〇2由連續連接之 側壁1 03構成,側壁103構成一個環繞的封閉空間。側壁 103亦包括一個頂部邊沿104。每一氣體圍邊1〇2之頂部外 邊沿104均與第二種反應氣體分佈板32之底面62並置。如 圖7所示’多個反應氣體第五通道1 〇5設置於各個氣體圍邊 102之中,並自其頂面91貫穿至底面。應當理解,第一種 反應氣體21先自第二種反應氣體分佈板32之底面62逸出, 再進入對應之氣體分佈圍邊102,隨後流過反應氣體第五 通道105,從而被傳遞到被處理之半導體工作件14上。更 116300.doc • 18 - 200823317 進一步地’反應氣體第六通道106設置在第三反應氣體傳 送面板33上’並且介於多個氣體分佈圍邊ι〇2之間。反應 氣體第六通道接收自反應氣體分佈板32逸出之第二種反應 氣體22。反應氣體第六通道1〇6貫穿頂面91及底面92。第 一種反應氣體22大體均勻分佈在反應氣體傳送面板33之頂 面92上,隨後流過反應氣體第六通道1〇6,再被傳遞到被 處理之半導體工作件14上。 現參看圖8、9及10,反應氣體第五通道1〇5及反
第六通道106以一種交替的、包括預設間距之方式貫穿反 應氣體傳送板33之底面92,以便將第—種及第二種反應氣 體21及22均勻地傳送到被處理之半導體工作件μ上。此種 方式下’各個反應氣體第五通道1G5及反應氣體第六通道 1〇6均連接到—組嵌於底面92内之圓錐形氣體分佈孔110 上。此組氣體分佈孔110包括一個中心氣體傳送孔u叫圖 ⑴’在本發明之-種形式中’中心氣體傳送孔η如與被處 理之半導體工作件14之中心間 J ^ 杈向位移。此橫向位 移的位置用數字U〇b表示。者虛 ^田慝理丰導體工作件時,該水 平移動(比如,旋轉)之半導俨 ^心千v體工作件的中心點11〇b可以因 為該橫向位移而不會在半導# 厚”#…-中心點11〇b處沈積 /子於具他位置之邊滕 溥膜,因而可以保證半導體工
點ll〇b處及其他位置處 r^T 罝處沈積的溥膜厚度基本相 積薄膜之均—。當‘然’在實際運用中,根據 == 及操作條件,作為本發明 Ό y Μ明之另一種實施方式,該 傳送孔110a與被處理之丰 肀矾體 之丰¥體工作件14之中心亦可以完全 116300.doc -19· 200823317 Z心對齊。自前面討論中應當瞭解到’本發明之氣體分佈 裝置^形成了第一反應氣體通路m,包括反應氣體第 一、弟四及第五通道51、84及105 ;及第二反應氣體通路 匕括反應氣體第二、第三及第六通道52、83及〗〇6。 在圖示^構中,第一種反應氣體織過第一種反應氣體通 路111,第二種反應氣體22流過第二種反應氣體通路112, 並以一種交替方式自底面92逸出,使得第一種及第二種氣 • 體均勻分佈在底面92上。在本發明之一種形式中,反應氣 體傳送面板33傳送近乎等劑量之第一種及第二種反應氣體 及22至置於其附近之轉動的半導體工作件14上。在本發 =之另—種形式中’反應氣體傳送面板33傳送不等劑量之 第一種及第二種反應氣體21及22至半導體工作件14上。在 被傳达至半導體工作件14上方之化學反應區Μ之前,第一 種及弟二種反應氣體在穿過第一、第二及第三反應氣體分 佈板3 1、3 2及3 3時保持分離,不互相混合。 p 操作方法 針對本發明之實施方式之操作方法在此再作簡要概述。 本發明之第-態樣為,—種用於半導體處理之氣體分佈 裝置H)’包括-個連接到第—種及第二種反應氣體η· H源的反應氣體供應㈣。此外’氣體分佈裝㈣包括 一個自反應氣體供應板31接收氣體之反應氣體分佈板32。 氣體分佈裝置包括一個自反應氣體分佈板32接收氣體之 反應氣體傳送面板33。士口前文所討冑,第一種及第二種反 應氣體21及22在穿過反應氣體供應㈣、反應氣體分佈板 116300.doc -20. 200823317 32及反應氣體傳送面板33時保持分離,隨後以大體上均勻 方式逸出反應氣體傳送面板33,以傳送至被處理之半導體 工作件14上。 本發明之另一態樣為,一種用於半導體處理之氣體分佈 裝置1〇’包括連接在一起的第一、第二及第三氣體分佈板 31、32及33,其中第二反應氣體分佈板32置於第一與第三 氣體分佈板31與33之間。在如圖所示結構中,在第一與第 二氣體分佈板31與32之間形成第一空腔64,在第二與第三 氣體分佈板32與33之間形成第二空腔94。一組第一氣體分 隔裝置71與第二反應氣體分佈板32連接成一體並向上延 伸,第一氣體分隔裝置71以預設間距排列。多個第一氣體 分隔裝置71與第一氣體分佈板31並置,置於第一空腔以 中。多個第一氣體分隔裝置7 i形成若干個反應氣體第一通 道,即丽文中所述反應氣體第三通道83。更進一步地,在 第二反應氣體分佈板32上設置有一組貫穿其中之反應氣體 第二通道,即前文所述反應氣體第四通道84,反應氣體第 二通道在第一氣體分隔裝置71四周均勻分佈。本發明之氣 體分佈裝置ίο進一步包括一組第二氣體分隔裝置1〇2,與 第三氣體分佈板33連接一體並與之垂直,向上延伸,並以 預設間距排列。在如圖所示結構中,第二氣體分隔裝置 1 02與第一反應氣體分佈板並置,亦置於第二空腔% 中。一組反應氣體第三通道,即前文中所述反應氣體第五 通道105,至少部分設置於多個第二氣體分隔裝置102中, 並貝牙第二氣體分佈板33。更進一步地,一組反應氣體第 1163 00.doc -21 - 200823317 四通道’即前文所述反應氣體第六通道106設置於第三氣 體分佈板33上並貫穿其中,並均勻地分佈於周圍多個第二 氣體分隔裝置102。如前所述,第一種及第二種反應氣體 通路111及112包含多個反應氣體通道,其中第一種及第二 種反應氣體2 1及22沿第一及第二反應氣體通路u丨及〗i 2傳 送並保持相互分離,直至逸出第三氣體分佈板33。
本文亦描述了一種半導體工作件處理反應器,包括一個 包含沈積區域24之處理反應器lux及基座23,該基座23用 於水平地支撐並移動放置於其上且位於沈積區域24中待處 理的半導體工作件14。更進一步地,本發明包括一種安裝 在處理反應器11中靠近半導體工作件14之氣體分佈裝置 1〇,其中氣體分佈裝置10包括第一、第二及第三反應氣體 分佈板31、32及33。各個反應氣體分佈板包含多個反應氣 體通道51、52、83、84、105及1〇6,以預設的連通關係連 接,以提供相互隔離之至少第一及第二反應氣體通路m 及112,以將該至少兩種反應氣體21及22傳送到水平移動 之半導體工作件14上。該氣體分佈裝置10可以使得反應氣 體21及22在進人反應區域24之前被充分均勾地分佈擴散, 並同時克服在S前技術中之氣體分佈裝置内部產生顆粒之 不足,從而改良沈積於半導體工作件上之薄膜效能。 以上介紹的僅為基於本發明之幾個較佳實施例,並不能 以此限定本發明之範脅。任何對本發明之裝置作此項技術 中熟知之部件的替換、組合、分立,以及對本發明實施步 驟作此項技術中熟知之等同改變或替換均不超出本發明之 H6300.doc -22- 200823317 揭示内容以及保護範疇。 【圖式簡單說明】 圖1係運用本發明氣體分佈裝置之半導體工作件處理反 應器之簡化橫斷縱向剖視圖。 圖2係用於半導體工作件處理之本發明氣體分佈裝置之 分解橫斷縱向剖視圖。 圖3係本發明氣體分佈裝置之反應氣體供應板之頂視圖。 φ 圖4係如圖3所不之本發明氣體分佈裝置之反應氣體供應 板之底視圖。 圖5係本發明氣體分佈裝置之反應氣體分佈板之頂視 圖。 圖6係本發明氣體分佈裝置之反應氣體分佈板之底視 圖。 圖7係本發明氣體分佈裝置之反應氣體傳送面板之頂視 圖。 • 圖8係如圖7所示之本發明氣體分佈裝置之反應氣體傳送 面板之底視圖。 圖9係圖7及圖8所示反應氣體傳送面板之橫斷縱向剖視 圖。 圖1 〇係圖9所示橫斷縱向剖視圖中之標示數字1 〇部分的 局部放大圖。 圖Π係圖7所示反應氣體傳送面板之局部放大頂視圖。 【主要元件符號說明】 10 氣體分佈裝置 116300.doc -23- 200823317
11 處理 12 環繞 13 内部 14 半導 15 頂面 21 第一 22 第二 23 • 基座 24 化學 3 0 氣體 31 第一 32 第二 33 第三 40 主體 41 頂面 42 底面 43 外邊 51 反應 52 反應 53 第一 54 空隙 55 氣體 60 主體 61 頂面 沿 氣體第一通道 氣體第二通道 區域 導向槽 反應器 側壁 腔室 體工作件 種反應氣體 種反應氣體 或支樓元件 反應區/沈積區域 分佈板 反應氣體分佈板 反應氣體分佈板 反應氣體分佈板 116300.doc -24- 200823317
62 底 面 63 外 邊 沿 64 第 —一 空 腔 71 第 一 氣 體 72 頂 面 73 第 域 74 主 反 應 氣 75 從 反 應 氣 76 環 繞 氣 體 83 反 應 氣 體 84 反 應 氣 體 90 主 體 91 頂 面 92 底 面 93 外 邊 沿 94 第 二 空 腔 102 第 -—~ 氣 體 103 側 壁 104 頂 部 邊 沿 105 反 應 氣 體 106 反 應 氣 體 110 氣 體 分佈 111 第 —一 反 應 112 第 二 反 應 分隔裝置 體分佈通道 體分佈通道 分佈通道 第三通道 第四通道 分隔裝置/氣體分佈圍邊 第五通道 第六通道 孔 氣體通路 氣體通路 116300.doc -25-

Claims (1)

  1. 200823317 十、申請專利範圍: 1· 一種氣體分佈裝置,包括: 反應氣體供應板,其至少與第一種及第二種反應氣體 相連通; 至少一反應氣體分佈板,其與該反應氣體供應板相連 接,並使該第一種及第二種反應氣體中之至少一種在該 反應氣體分佈板中獲得大體上均勻地分佈擴散;以及 • 反應氣體傳送面板,其與該反應氣體分佈板相連接, 其中,在該第一種及第二種反應氣體分別經過該反應氣 體供應板、反應氣體分佈板及反應氣體傳送面板的過程 中’第一種及第二種反應氣體一直保持隔離,最後以一 種大體上均勻分佈方式逸出該反應氣體傳送面板。 2·如請求項1之氣體分佈裝置,其特徵在於··該反應氣體 供應板包括頂面及底面,在其大體中心位置處設置有貫 穿頂面及底面之反應氣體第一通道,並且在反應氣體供 φ 應板中亦設置有多個貫穿頂面及底面之反應氣體第二通 道’其中,第一種反應氣體與該反應氣體第一通道連 通,第二種反應氣體與該多個反應氣體第二通道連通。 • 3 .如請求項2之氣體分佈裝置,其特徵在於:該反應氣體 -(、應板之底面設置有多個徑向延伸之氣體導向槽,該等 氣體導向槽中之每一者與該反應氣體第一通道相連通, 並且自°亥反應氣體供應板之底面逸出之第一種反應氣體 及第二種反應氣體為相互分離的。 4·如請求項3之氣體分佈裝置,其特徵在於:該反應氣體 116300.doc 200823317 供應板包括一個表面區域及一個外邊沿,其中該多個徑 向延伸之氣體導向槽徑向向外延伸至該反應氣體供應板 之外邊沿,且在反應氣體供應板表面區域上大體均勻地 分佈有該多個反應氣體第二通道。 5·如請求項2之氣體分佈裝置,其特徵在於:該反應氣體 分佈板設置於該反應氣體供應板與該氣體傳送面板之 間,其中反應氣體分佈板包括一個與反應氣體供應板之 _ 底面並置之頂面以及一個與反應氣體傳送板並置之底 面’在該反應氣體分佈板之頂面上以預設間距設置有多 個向上延伸並與該頂面大體垂直之氣體導向塊,其中每 一氣體導向塊上設置有多個反應氣體第三通道,該等反 應氣體第三通道貫穿各個氣體導向塊並通向該反應氣體 分佈板之底面,並且各個氣體導向塊上形成之若干個反 應氣體第二通道中之每一者與該反應氣體供應板中形成 之多個反應氣體第二通道分別同心對齊。 φ 6·如請求項5之氣體分佈裝置,其特徵在於:該多個氣體 導向塊之間以一預設間距相互間隔設置,該多個氣體導 向塊成組設置並於組與組之間形成多個大體徑向延伸之 主反應氣體分佈通道,氣體分佈裝置上亦設置有一環繞 該多個氣體導向塊之反應氣體環繞分佈通道,該反應氣 體環繞分佈通道與該主氣體分佈通道連通,並且環繞在 該多個氣體導向塊周圍,而該多個氣體導向塊之間進一 步分佈有若干個與主反應氣體分佈通道連通的次反應氣 體分佈通道’其中,在該反應氣體分佈板頂面上之主反 116300.doc 200823317 應氣體分佈通道、反應氣體環 衣、、>〇分佈通道及次反應氣體 分佈通道中亦包括以狀之大致均句方式分佈其間之反 應氣體第四通道’並進一步延妯尸 伸至反應氣體分佈板之底 面,且逸出該反應氣體供應板 _ c ^ ^' 一 。做之弟一種反應氣體可被該 主反應氣體分佈通道、反應氣體環繞分佈通道及次反應 氣體分佈通道大體W地分佈於該反應氣體分佈板的頂 面上,隨後進人該反應氣體第四通道,從而自反應氣體 分佈板之底面逸出’此外’在穿過反應氣體分佈板時, 第一種及第二種反應氣體保持分離。 如請求項2之氣體分佈裝置’其特徵在於:該反應氣體 傳送面板包括-個與反應氣體分佈板並置之頂面,用以 使穿過該反應氣體分佈板之第_種及第二種反應氣體穿 认匕頁Φ卩卩則目對於該頂面之與被處理之半導體 工作件之間保持-定距離的底面;其巾,在該反應氣體 傳送面板之頂面上設置有多個與之大體垂直並向上延伸 之氣體分佈圍邊’該多個氣體分佈圍邊以預定間距相互 間隔排列,在δ亥等氣體分佈圍邊所圍繞之内部區域内形 成有多個自頂面延伸至底面之反應氣體第五通道,該第 :種反應氣體自反應氣體分佈板底面逸出後進入對應之 氣體分佈圍邊内部並穿過該多個反應氣體第五通道,從 而通向被處理之半導體工作件;在該多個氣體分佈圍邊 2間形成有反應氣體第六通道,用以接收逸出該反應氣 版分佈板之第二種反應氣體,該反應氣體第六通道自頂 面延伸至底面’其中第二種‘反應氣體在該反應氣體傳送 116300.doc 200823317 面板之頂面上大致均勻分佈後,再經由反應氣體第六通 道被傳送至被處理之半導體工作件上。 8·如凊求項7之氣體分佈裝置,其特徵在於:反應氣體第 五通道及反應氣體第六通道以一種交替的、以預定間隔 相互間隔之方式排布於該反應氣體傳送面方反之底面,從 而使得第一種及第二種反應氣體被大體均勻地分佈於被 處理之半導體工作件上。
    10· 如請求項7之氣體分佈装置,其特徵在於:該反應氣體 傳运面板上形成有若干個氣體分佈孔,其中反應氣體第 五通道及反應氣體第六通道端接至氣體分佈孔上,該多 個氣體分佈孔包括一個中心氣體分佈孔,該中心氣體分 佈孔與被處理之半導體工作件之中心呈水平偏心關係。 如請求項1之氣體分佈裝置,其特徵在於:該反應氣體 供應板、氣體分佈板及氣體傳送面板係機械連接在一起 的。 11 ·如請求項1之氣體分佈裝置,其特徵在於:該反應氣體 供應板、氣體分佈板及氣體傳送面板藉由真空釺焊或真 空熔焊而形成一俩整體氣體分佈裝置。 12. —種氣體分佈裝置,包括: 反應氣體供應板,其與至少第一及第二反應氣體源連 通,其中該反應氣體供應板包括頂面及底面,在其大體 中心位置處設置有一貫穿頂面及底面之反應氣體第一通 道,第一種反應氣體與該反應氣體第一通道連通並自該 底面逸出’該頂面進一步包括多個貫穿至底面之反應氣 116300.doc 200823317 體第二通道,該多個反應氣體第二通道分佈在設置於頂 面上並且相互間隔的多個第一區域内; 至/反應氣體分佈板,包括—個與反應氣體供應板 之底面並置之頂面及一個相對於該頂面之底面,其中該 反應氣體分佈板之頂面設置有多個間隔開的氣體導向 塊,排列在設置於反應氣體分佈板上之若干個第二區域 中,該若干個第二區域與該反應氣體供應板上形成之若 干個第一區域各自對齊,且在各個氣體導向塊上形成延 伸至該反應氣體分佈板底面之若干個反應氣體第三通 道,该右干個反應氣體第三通道分別與該反應氣體供應 板中形成之反應氣體第二通道相連通,第二種反應氣體 流過該若干個反應氣體第三通道,在該反應氣體分佈板 之頂面及底面間設置有反應氣體第四通道,第一種反應 氣體與之連通並自其中流過;以及 反應氣體傳运面板,包括一個與反應氣體分佈板底面 並置之頂面及一個相對於頂面之底面,其中在該頂面上 安裝有多個間隔開的氣體圍邊,對應之氣體圍邊中設置 有貝牙至底面之反應氣體第五通道,第一種反應氣體自 其中流過’在該反應氣體傳送面板之頂面上,多個氣體 圍邊之間設置有貫穿至底面之反應氣體第六通道,第二 種反應氣體自其中流過;第一種及第二種反應氣體以一 種大致均勻分佈之方式逸出該反應氣體傳送面板之底 面0 1 3 .如請求項i2之氣體分佈裝置,其特徵在於:該第一種及 116300.doc 200823317 第二種反應氣體在穿過該反應氣體供應板、反應氣體分 佈板以及反應氣體傳送面板時保持分離。 14·如請求項12之氣體分佈裝置,其特徵在於〔在反應氣體 七、應板之底面上δ又置有多個處於第一區域中之徑向延伸 之氣體;向槽,其中,傳送第一種反應氣體之該反應氣 體第一通道與該多個徑向延伸之氣體導向槽連通。 1 5·如凊求項14之氣體分佈裝置,其特徵在於:在該反應氣 體分佈板頂面之多個第二區域之間,設置有一組徑向延 伸之主反應氣體分佈通道,且在各個氣體導向塊之間形 成一組從反應氣體分佈通道,在該反應氣體分佈板之頂 面上亦形成一個環繞反應氣體分佈通道,該通道環繞著 由多個氣體導向塊形成之若干個第二區域,並與徑向延 伸之主反應氣體分佈通道連通,其中,徑向延伸之主反 應氣體分佈通道與相應之反應氣體供應板之底面中形成 的各個徑向延伸氣體導向槽同心對齊,第一種反應氣體 逸出反應氣體供應板,並沿該主、從及環繞氣體分佈通 道分佈,反應氣體第四通道以預定間隔關係沿主、從及 環繞反應氣體分佈通道設置,第一種反應氣體沿反應氣 體第四通道逸出該反應氣體分佈板。 16·如請求項12之氣體分佈裝置,其特徵在於:該第一種及 第二種反應氣體在逸出反應氣體傳送板之底面後開始反 應,再被傳送至被處理之半導體工作件上。 17 · —種氣體分佈裝置,包括: 連接在一起的第一、第二及第三氣體分佈板,其中第 116300.doc 200823317 二氣體分佈板置於第一與第三氣體分佈板之間,在第一 氣體分佈板與第二氣體分佈板間形成第一空腔,在第二 氣體分佈板與第三氣體分佈板間形成第二空腔; 若干個與該第二氣體分佈板連接並與之大體垂直之第 一氣體分隔裝置,相互之間留有預設間距,且該等第一 氣體分隔裝置相對於第一氣體分佈板並置,並處於第一 空腔中; 若干個反應氣體第一通道,至少部分地,由該多個第 一氣體分隔裝置形成,並且貫穿第二氣體分佈板,用於 接收及傳送第一氣體; 若干個反應氣體第二通道,設置在該第二氣體分佈板 上並貫穿其中,並且均勻地分佈在該第一氣體分隔裝置 周圍,用於接收及傳送第二氣體; 若干個與該第三氣體分佈板連接並與之大體垂直之第 二氣體分隔裝置,用於接收及傳送該第二氣體,該若干 個第二氣體分隔裝置位於第二空腔中並相對於第二氣體 分佈板並置,第二氣體分隔裝置相互之間以一預設間距 的方式排列; 若干個反應氣體第三通道,至少部分地,由該多個第 二氣體分隔裝置形成,並且貫穿第三氣體分佈板,用於 接收及傳送第二氣體;以及 若干個反應氣體第四通道,設置在該第三氣體分佈板 上並貫穿其中,均勻分佈在該等第二氣體分隔裝置周 圍,用於接收及傳送第一氣體,其中,第一種及第二種 116300.doc 200823317 反應氣體之路徑由該反應氣體第一、第二、第三及第四 通道4定’兩種反應氣體分別沿第—種及第二種反應氣 體路徑傳輸亚保持相互隔離,直至逸出第三氣體分佈 板。 1 8· —種半導體工作件處理反應器,包括·· 處理腔室,其包括沈積區域; 可移動基座’用於在處理時支撐並水平地移動處於該 處理腔室之沈積區域中待處理的半導體工作件;以及 安裝在該處理腔室中並靠料導體i作件t氣體分佈 装置〃 ^括夕個反應氣體分佈板,每一反應氣體分佈 板上e又置一組以預設連接關係相互連通之反應氣體通 道,以提供至少兩種相互隔離之反應氣體路徑,從而可 將至少第一及第二反應氣體相互隔離地並且以一種大致 均勻分佈方式傳送到該可移動半導體工作件上。 19·如租求項18之半導體工作件處理反應器,其特徵在於: 名夕個反應氣體分佈板包括一個第一反應氣體供應板、 至/個第一反應氣體分佈板、以及一個第三反應氣體 傳送面板。 20·如明求項19之半導體工作件處理反應器,進一步包括: 與第一反應氣體分佈板連接成一體之第一反應氣體分 衣置’其中多個反應氣體通道包括了第一反應氣體分 隔凌置上设置的一組反應氣體第一通道,以及第二反應 氣體刀佈板上設置之均勻分佈在第一反應氣體分隔裝置 周圍之若干個反應氣體第二通道;以及 116300.doc 200823317 與第三反應氣體分佈板連接一體之第二反應氣體分隔 裝置,其中多個反應氣體通道包括設置於第二反應氣體 分隔裝置上之若干個反應氣體第三通道,以及設置於第 三反應氣體分佈板上並均勻分佈在第二反應氣體分隔裝 置周圍之一組反應氣體第四通道,其中,該反應氣體之 第一、第二、第三及第四通道,至少部分地,包含了第 一種及第二種氣體之流通路徑。
    116300.doc
TW095143259A 2006-10-18 2006-11-22 Gas distribution device for treating reactor by semiconductor technological element and reactor thereof TW200823317A (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CNB2006101172602A CN100451163C (zh) 2006-10-18 2006-10-18 用于半导体工艺件处理反应器的气体分布装置及其反应器

Publications (2)

Publication Number Publication Date
TW200823317A true TW200823317A (en) 2008-06-01
TWI325898B TWI325898B (zh) 2010-06-11

Family

ID=38865175

Family Applications (1)

Application Number Title Priority Date Filing Date
TW095143259A TW200823317A (en) 2006-10-18 2006-11-22 Gas distribution device for treating reactor by semiconductor technological element and reactor thereof

Country Status (5)

Country Link
US (1) US7658800B2 (zh)
JP (1) JP4773407B2 (zh)
KR (1) KR100955371B1 (zh)
CN (1) CN100451163C (zh)
TW (1) TW200823317A (zh)

Families Citing this family (363)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6502530B1 (en) * 2000-04-26 2003-01-07 Unaxis Balzers Aktiengesellschaft Design of gas injection for the electrode in a capacitively coupled RF plasma reactor
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
CN105420688B (zh) 2008-12-04 2019-01-22 威科仪器有限公司 用于化学气相沉积的进气口元件及其制造方法
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
KR101110080B1 (ko) * 2009-07-08 2012-03-13 주식회사 유진테크 확산판을 선택적으로 삽입설치하는 기판처리방법
TWI490366B (zh) * 2009-07-15 2015-07-01 Applied Materials Inc Cvd腔室之流體控制特徵結構
KR101141842B1 (ko) * 2009-07-20 2012-05-07 주식회사 엠티아이피 화학기상증착용 샤워 헤드 및 그 제조 방법
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
TWI557183B (zh) 2015-12-16 2016-11-11 財團法人工業技術研究院 矽氧烷組成物、以及包含其之光電裝置
US8746284B2 (en) * 2011-05-11 2014-06-10 Intermolecular, Inc. Apparatus and method for multiple symmetrical divisional gas distribution
US9175391B2 (en) * 2011-05-26 2015-11-03 Intermolecular, Inc. Apparatus and method for combinatorial gas distribution through a multi-zoned showerhead
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9315899B2 (en) 2012-06-15 2016-04-19 Novellus Systems, Inc. Contoured showerhead for improved plasma shaping and control
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
TWI654333B (zh) 2013-12-18 2019-03-21 美商蘭姆研究公司 具有均勻性折流板之半導體基板處理設備
KR101560623B1 (ko) * 2014-01-03 2015-10-15 주식회사 유진테크 기판처리장치 및 기판처리방법
USD725168S1 (en) * 2014-02-04 2015-03-24 Asm Ip Holding B.V. Heater block
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9905400B2 (en) * 2014-10-17 2018-02-27 Applied Materials, Inc. Plasma reactor with non-power-absorbing dielectric gas shower plate assembly
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US9570289B2 (en) 2015-03-06 2017-02-14 Lam Research Corporation Method and apparatus to minimize seam effect during TEOS oxide film deposition
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
KR102417934B1 (ko) * 2015-07-07 2022-07-07 에이에스엠 아이피 홀딩 비.브이. 박막 증착 장치
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
TWI570187B (zh) 2015-12-17 2017-02-11 財團法人工業技術研究院 光學固態預聚物與模塑組成物
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
USD793526S1 (en) * 2016-04-08 2017-08-01 Applied Materials, Inc. Showerhead for a semiconductor processing chamber
USD794753S1 (en) * 2016-04-08 2017-08-15 Applied Materials, Inc. Showerhead for a semiconductor processing chamber
USD790039S1 (en) * 2016-04-08 2017-06-20 Applied Materials, Inc. Showerhead for a semiconductor processing chamber
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
USD799646S1 (en) 2016-08-30 2017-10-10 Asm Ip Holding B.V. Heater block
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10943768B2 (en) * 2018-04-20 2021-03-09 Applied Materials, Inc. Modular high-frequency source with integrated gas distribution
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
WO2020002995A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
JP6575641B1 (ja) * 2018-06-28 2019-09-18 株式会社明電舎 シャワーヘッドおよび処理装置
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11834743B2 (en) 2018-09-14 2023-12-05 Applied Materials, Inc. Segmented showerhead for uniform delivery of multiple precursors
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
CN111101117B (zh) * 2018-10-29 2022-07-22 北京北方华创微电子装备有限公司 匀气装置和半导体处理设备
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP1648531S (zh) * 2019-01-28 2019-12-23
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
US11266005B2 (en) * 2019-02-07 2022-03-01 Fermi Research Alliance, Llc Methods for treating superconducting cavities
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
WO2020251696A1 (en) 2019-06-10 2020-12-17 Applied Materials, Inc. Processing system for forming layers
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
DE102019119019A1 (de) * 2019-07-12 2021-01-14 Aixtron Se Gaseinlassorgan für einen CVD-Reaktor
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
US20210032753A1 (en) * 2019-07-30 2021-02-04 Applied Materials, Inc. Methods and apparatus for dual channel showerheads
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
JP2022551152A (ja) * 2019-10-14 2022-12-07 ラム リサーチ コーポレーション 二重プレナムフラクタルシャワーヘッド
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
USD969980S1 (en) 2020-10-20 2022-11-15 Applied Materials, Inc. Deposition chamber showerhead
USD967351S1 (en) 2020-10-20 2022-10-18 Applied Materials, Inc. Showerhead reflector
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US1659308A (en) * 1927-04-30 1928-02-14 Abbott Britton Vaughan Squash racket
JP2969596B2 (ja) * 1989-10-06 1999-11-02 アネルバ株式会社 Cvd装置
KR950020993A (ko) 1993-12-22 1995-07-26 김광호 반도체 제조장치
US6022414A (en) * 1994-07-18 2000-02-08 Semiconductor Equipment Group, Llc Single body injector and method for delivering gases to a surface
JP3360098B2 (ja) * 1995-04-20 2002-12-24 東京エレクトロン株式会社 処理装置のシャワーヘッド構造
CN1186873A (zh) * 1996-11-26 1998-07-08 西门子公司 带多个气体入口和独立质流控制回路的反应室的分布板
JP3476638B2 (ja) 1996-12-20 2003-12-10 東京エレクトロン株式会社 Cvd成膜方法
JPH10298763A (ja) * 1997-04-25 1998-11-10 Ulvac Japan Ltd Cvd装置用ガス導入ノズル
US6089184A (en) 1997-06-11 2000-07-18 Tokyo Electron Limited CVD apparatus and CVD method
JPH11124676A (ja) * 1997-10-22 1999-05-11 Kokusai Electric Co Ltd プラズマcvd装置
JP3501930B2 (ja) * 1997-12-01 2004-03-02 株式会社ルネサステクノロジ プラズマ処理方法
US6302964B1 (en) 1998-06-16 2001-10-16 Applied Materials, Inc. One-piece dual gas faceplate for a showerhead in a semiconductor wafer processing system
US6148761A (en) 1998-06-16 2000-11-21 Applied Materials, Inc. Dual channel gas distribution plate
US6086677A (en) 1998-06-16 2000-07-11 Applied Materials, Inc. Dual gas faceplate for a showerhead in a semiconductor wafer processing system
JP2000306884A (ja) * 1999-04-22 2000-11-02 Mitsubishi Electric Corp プラズマ処理装置およびプラズマ処理方法
US6245192B1 (en) 1999-06-30 2001-06-12 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6415736B1 (en) 1999-06-30 2002-07-09 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6206972B1 (en) * 1999-07-08 2001-03-27 Genus, Inc. Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes
KR100378871B1 (ko) 2000-02-16 2003-04-07 주식회사 아펙스 라디칼 증착을 위한 샤워헤드장치
JP2002110567A (ja) * 2000-10-03 2002-04-12 Mitsubishi Electric Corp 化学気相成長装置および該装置による半導体ウエハの成膜方法
JP2002261036A (ja) * 2001-02-28 2002-09-13 Dainippon Screen Mfg Co Ltd 熱処理装置
US20030061991A1 (en) * 2001-08-24 2003-04-03 Asml Us, Inc. Protective shield and system for gas distribution
KR100525462B1 (ko) * 2002-11-05 2005-11-02 주식회사 에버테크 샤워헤드 및 이를 구비하는 반응챔버
KR100509231B1 (ko) * 2003-01-03 2005-08-22 주식회사 아이피에스 박막증착용 반응용기
KR100513920B1 (ko) * 2003-10-31 2005-09-08 주식회사 시스넥스 화학기상증착 반응기
US7572337B2 (en) 2004-05-26 2009-08-11 Applied Materials, Inc. Blocker plate bypass to distribute gases in a chemical vapor deposition system
JP4451221B2 (ja) * 2004-06-04 2010-04-14 東京エレクトロン株式会社 ガス処理装置および成膜装置
KR100589283B1 (ko) * 2004-06-23 2006-06-14 주식회사 아이피에스 박막증착장치용 샤워헤드
US20060021703A1 (en) 2004-07-29 2006-02-02 Applied Materials, Inc. Dual gas faceplate for a showerhead in a semiconductor wafer processing system

Also Published As

Publication number Publication date
KR100955371B1 (ko) 2010-04-29
CN101058872A (zh) 2007-10-24
CN100451163C (zh) 2009-01-14
TWI325898B (zh) 2010-06-11
JP4773407B2 (ja) 2011-09-14
US20080092815A1 (en) 2008-04-24
JP2008103679A (ja) 2008-05-01
US7658800B2 (en) 2010-02-09
KR20080035442A (ko) 2008-04-23

Similar Documents

Publication Publication Date Title
TW200823317A (en) Gas distribution device for treating reactor by semiconductor technological element and reactor thereof
KR101598332B1 (ko) Cvd 챔버의 유동 제어 피쳐
JP4564656B2 (ja) デュアルチャネル・ガス分配プレート
TWI683026B (zh) 氣體供應歧管及使用其供應氣體至室之方法
CN104517892B (zh) 使用复合peald 和pecvd 方法的可变深宽比特征的间隙填充
JP5519105B2 (ja) 化学気相成長の方法及び化学気相成長リアクタ用のガス供給システム
TWI391599B (zh) 複向氣體分配系統、複向氣體分配淋浴頭裝置、半導體製造複向氣體分配系統
TW201217062A (en) Multiple section showerhead assembly
JP2007525822A (ja) ガス分配システム
TWM290304U (en) Dual gas faceplate for a showerhead in a semiconductor wafer processing system
KR20140047558A (ko) 반도체 반응 챔버 샤워헤드
CN103370773A (zh) 使用氧化硅多层结构的减少的图案化负载
TW201030179A (en) Chemical vapor deposition flow inlet elements and methods
TW201120238A (en) CVD Reactor and method for depositing a coating
CN111465714B (zh) 成膜装置
JP2004214669A (ja) 薄膜蒸着用反応容器
TW201229300A (en) Apparatus and process for atomic layer deposition
TW202129715A (zh) 高溫雙通道噴頭
KR200480896Y1 (ko) 열전달 유체에 의한 teos 적용을 위한 정밀 온도 제어
JP2005243964A (ja) 化学気相成長装置および化学気相成長方法
JP2005243963A (ja) 気相成長装置