CN105420688B - 用于化学气相沉积的进气口元件及其制造方法 - Google Patents

用于化学气相沉积的进气口元件及其制造方法 Download PDF

Info

Publication number
CN105420688B
CN105420688B CN201510494081.XA CN201510494081A CN105420688B CN 105420688 B CN105420688 B CN 105420688B CN 201510494081 A CN201510494081 A CN 201510494081A CN 105420688 B CN105420688 B CN 105420688B
Authority
CN
China
Prior art keywords
gas
air inlet
downstream
carrier
elongated
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CN201510494081.XA
Other languages
English (en)
Other versions
CN105420688A (zh
Inventor
米哈伊尔·贝鲁索夫
博扬·米特洛维克
耿·莫伊
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Veeco Instruments Inc
Original Assignee
Veeco Instruments Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Veeco Instruments Inc filed Critical Veeco Instruments Inc
Publication of CN105420688A publication Critical patent/CN105420688A/zh
Application granted granted Critical
Publication of CN105420688B publication Critical patent/CN105420688B/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/14Feed and outlet means for the gases; Modifying the flow of the reactive gases
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45578Elongated nozzles, tubes with holes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4584Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally the substrate being rotated

Landscapes

  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Mechanical Engineering (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

用于化学气相沉积反应器(10)的进气口元件由多个长形的管状元件(64,65)构成,该多个长形的管状元件相互肩并肩地设置在一个垂直于反应器上下游方向的平面上。所述管状元件具有用于沿下游方向排放气体的进气口。晶片载体(14)绕着上游至下游的轴旋转。气体分布元件可以提供这样的气体分布模式:气体分布关于延伸穿过所述轴的中间平面(108)为非对称。

Description

用于化学气相沉积的进气口元件及其制造方法
相关申请的交叉引用
本发明要求了2008年12月4日提交的美国临时专利申请61/201,074作为优先权,该申请的全部内容在此引入参考。
技术领域
本发明涉及一种化学气相沉积的方法及设备。
背景技术
化学气相沉积包括导向含有化学物种的一种或多种气体至通常为平坦晶片的基片表面上,使得反应物种反应并在表面上形成沉积。例如,化合物半导体可以通过半导体材料在晶体晶片上的外延生长来形成。第III-V族的半导体一般使用第III族的金属源,如:镓,铟,铝以及它们的组合,与第V族元素源,如一种或多种氢化物或一种或多种第V族元素,如NH3、AsH3、或PH3,或锑有机金属化合物如三甲基锑来形成。
在该过程中,气体在晶片表面,例如蓝宝石晶片上相互反应,以形成第III-V族化合物,其通式为:InXGaYAlZNAAsBPCSbD,其中X+Y+Z=大约1,而A+B+C+D=大约1,而每个X,Y,Z,A,B,C,和D可以位于0~1。在某些实施例中,可以用铋来取代一些或所有其它第III族的金属。
在某种方法中,通常称为“卤化物”或“氯化物”法,第三族金属源为金属的挥发性卤化物,最普遍的氯化物为GaCl2。在另一个方法中,通常称为有机金属化学气相沉积或“MOCVD”,第III族金属源为第III族金属的有机化合物,如:金属烷基。
化学气相沉积中最广泛使用的一种设备包括圆盘状的晶片载体,安装在反应室内用于绕着垂直轴旋转。晶片固定在载体上,使得晶片表面在反应室内面朝上游方向。当载体绕着轴旋转时,导向位于载体上游的进气口元件处的反应气体至反应室内。气流朝着载体和晶片下游地流过,理想地以层状塞流的形式。随着气体接近旋转载体,粘性阻力促使它们绕着轴旋转,使得在接近载体表面的边界区域处,气流绕着轴并向外地流向载体的外围。
当气流位于载体外部边缘之上时,它们朝着位于载体之下的排气口向下地流动。该过程通常通过一系列不同的气体组分,以及某些情况下不同的晶片温度来实现,以沉积多层具有形成理想半导体装置所需的不同组分的半导体。仅以示例说明,在发光二极管("LEDs")以及二极管激光器的形成中,多量子阱("MQW")结构可以通过第III-V族半导体与不同比例的镓和铟的沉积层来形成。每层都可能有几十埃厚度,例如,几个原子层。
这种设备可以在载体表面以及晶片表面上提供稳定且有序的气流,使得载体上的所有晶片,以及每个晶片上的所有区域都受到大致均匀的条件。这样可以反过来促进材料在晶片上的均匀沉积。这种均匀性十分重要,因为沉积在晶片上材料层的成分以及厚度的微小差异都会影响所产生装置的性能。
迄今为止,在用于这类装置的进气口元件的研究领域上已投入了大量的努力。进气口元件通常具有进气口,用于分散在活动的,气体排放区域之上反应气体,该气体排放区域大致与晶片载体的大小几乎等同。某些进气口元件运送第一反应气体,例如第V族氢化物的混合物,而其它的进气口元件运送第二反应气体,例如金属烷基以及载气的混合物。这些进气口的形成如平行延伸至旋转轴的管,进气口分布在进气口元件的朝下表面或下游表面上。在对称设置进气口方面迄今为止已经投入了大量的努力。
第一气体进气口设置为关于晶片载体的旋转轴径向对称,或具有至少两个对称的垂直平面,在旋转轴处相互交错。第二气体进气口设置为相似的对称模式,与第一气体进气口穿插。进气口元件通常包括复杂的通道结构,用于驱赶气体至管道进气口处。此外,由于晶片通常保持在高温下,例如约500~1200℃,进气口元件必须设有冷却剂通道。
该冷却剂通道输送循环水流或其它液体,并保持进气口元件在相对较低的温度下,以便限制或阻止气体的过早反应。如公开号为20060021574A1的美国专利申请中所记载,该专利申请的内容在此引入参考:进气口元件可以设有额外的结构,用于排放不含反应物种的载气流。
当气体位于进气口元件附近时,载气流使反应气流相互分隔。直到气体远离进气口元件时才相互混合。此外,排放载气流可以限制或阻止反应气体在离开进气口元件时的再循环。因此,反应气体并不倾向于在进气口元件上形成不理想的沉积。例如,在转让的公开号为20080173735A1的美国申请中,该专利申请的内容在此引入参考:通过提供刀片状的扩散器来减少在进气口元件附近排放气体的再循环,该刀片状扩散器从进气口元件表面下游地突出,来引导气流。
通常,进气口设置为在进气口元件的整个活动区域上,例如,设置进气口的整个区域,提供远离进气口元件的均匀气流。在某些情况下,用于特定气体的进气口可以分隔成两个或更多区域,例如,接近旋转轴的第一区域,以及远离旋转轴的第二区域。这两个区域具有两个分开的气体通道,使得第一气体在两个区域内的流速可以得到单独控制。例如,在一个普遍的结构中,用于例如第V族氢化物的第一气体进气口,设置为覆盖大多数的进气口表面的排列,而用于例如第III族烷基的第二气体进气口,设置为一个或多个相对中心轴径向延伸的窄带。
在这种系统中,远离轴的带的一部分将第二气体提供至具有相对大面积的晶片载体的环状部分。而接近轴的同一条带的一部分将气体提供至具有较小面积的晶片载体的环状部分。为了在晶片载体的单元面积上提供等量的第二气体,一般对第二气体进气口进行划分区域,以沿着带的单元长度上提供不同的第二气体排放速率。例如,可以在接近轴的进气口处提供具有相对低浓度第二气体的气体混合物,而在远离轴的进气口处可以提供更浓的气体混合物。这种划分区域增加了系统的复杂性。
尽管有上述的改进,更进一步的改进则更为理想。
发明内容
本发明的一个方面提供了一种化学气相沉积的反应器。本发明的反应器理想地包括具有上游和下游方向的反应室,并理想地包括载体支撑件,用于在反应室内的载体位置上支撑晶片载体,以围绕沿着上游以及下游方向延伸的轴旋转。根据本发明该方面的反应器优选地具有安装在载体位置上游处反应室的进气口元件,进气口元件具有沿着X和Y水平方向延伸的气体分布表面,该X和Y水平方向相互垂直并垂直于下游方向。
进气口元件理想地具有多个长形的进气口,用于排放气体至反应室内,长形的进气口相互平行地延伸并在X水平方向上穿过气体分布表面。长形的进气口理想地在整个反应器中间平面的Y-方向上延伸,并可以在气体分布表面的主要部分上延伸。例如,长形的进气口可以大致覆盖整个气体分布表面,或可以覆盖接近等于晶片载体面积的面积。该长形的进气口优选地包括多个第一进气口,用于排放第一反应气体,并包括多个第二进气口,用于排放第二反应气体,第一进气口在Y水平方向上相互间隔设置,而第二气体进气口在Y水平方向上相互间隔并与第一气体进气口穿插。
进气口可以设置为并非相对于沿着X水平方向延伸的反应器中间平面成对称模式,该模式可以不相对于这种中间平面对称。也就是说,对于任何位于正Y距离至X方向中间平面的第一进气口,便设置有位于相应的负Y距离至X方向中间平面相反侧的第二进气口。
本发明的其它方面提供了气相沉积的方法以及在气相沉积反应器内使用的进气口元件。
附图说明
图1为本发明一个实施例中的沉积装置的剖视图;
图2为图1设备中使用部件的平面示意图;
图3为沿着图2线3-3方向的剖视图;
图4为图2和3中元件某种结构的部分剖切透视示意图;
图5为图4中结构的一部分的放大比例的部分剖切示意图;
图6与图5相似,但展示了图4结构中的另一部分;
图7,8和9展示了图1~6中设备所获得的晶片载体上的气体分布的示意图;
图10与图4相似,但展示了根据本发明进一步实施例的设备的部分;
图11与图4相似,但展示了根据本发明另一个实施例的设备的部分;
图12与图2相似,但展示了根据本发明另一个实施例的设备的部分;
图13为本发明进一步实施例中所使用的部件的剖视示意图;
图14,15和16为本发明进一步实施例所使用的部件的剖视示意图。
具体实施方式
根据本发明实施例的反应器(图1)包括反应室10,其具有包含内表面11的壁,该内表面大致以围绕中心轴16旋转的表面形式存在。反应器的壁可以包括临近反应器末端上游部分的锥形部分13,并可以包括可移动的环状部分17。主轴12安装在反应室内用于绕着轴16旋转。圆盘状的晶片载体14安装在主轴上。晶片载体14设置为支撑一个或多个基体,例如晶片18,使得晶片表面20沿着轴朝向上游方向U。在系统处于运作条件时,可移动壁部分17形成一个绕着晶片载体14延伸的遮板。该遮板可以轴向移动以打开用于负载或卸载系统的通道。晶片载体14通常可拆卸地安装在主轴上,使得系统可以通过卸下晶片载体而卸载,并通过插入一个新的晶片载体而重新负载。
加热器15,例如为反应器内的电阻加热器,用于加热晶片载体以及晶片。同样,排气系统19与反应室末端的下游连接。
该设备的上述特征与纽约威科仪器有限公司销售的商标为“TurboDisc”以及“Ganzilla”的反应器所使用的设备相似。
进气口元件22设置在反应室末端的上游部分。进气口元件的下游表面24朝着晶片载体以及晶片面向下游方向。进气口元件与第一反应气体源30连接,该第一反应气体源例如为第V族氢化物,并通常与如N2或H2的载体混合。进气口元件同样与第二反应气体源26连接,该第二反应气体源例如为金属烷基,并同样与载体混合。此外,进气口元件与如N2或H2的载体源32连接,该载体源不掺入任何反应气体,进气口元件并且与冷却剂循环装置33相连。
如在图2和3中所示,进气口元件22包括顶板40,该顶板包括面朝下的表面42以及从下游表面42下游突出的环形岐管44。岐管44被内部挡板46(图2)细分为第一气体部分48以及第二气体部分50。第一气体部分48以及第二气体部分50通常位于中间平面52的相对侧,该中间平面延伸穿过并包括反应器的轴16。第一气体部分48与第一反应气体源30相连,而第二气体部分50与第二反应气体源26相连(图1)。这些连接可以通过向下延伸穿过顶板40的钻孔实现。一个环形的冷却剂通道设置在气体岐管48的下游处。该冷却剂通道细分为位于中间平面52一侧的冷却剂入口部分54,以及位于中间平面52相反侧的冷却剂出口部分56.
冷却剂入口以及出口部分通过延伸穿过岐管部分48和50的导管(未图示)与冷却剂循环设备33相连(图1)。
气体分布板60设置在顶板40的下游处,使得板60和40相互配合地确定位于它们之间的气体分布室62。该气体分布室62与载气源32(图1)相连,但不与岐管的第一或第二气体部分相连。
如图4所示,板60由多个相互平行延伸的长形管状气体分布元件64和66形成。该长形元件64和66的伸长方向可以随意称为"+X"方向。这个方向与上游和下游方向垂直,并与反应室的轴16(图1)垂直。该长形元件在"+Y"方向相互抵消,该"+Y"方向同样与轴16以及+X方向垂直。
与轴16垂直的方向包括X和Y方向,称为“水平方向”,因为轴16通常(虽然并非绝对)在正常重力的参考平面垂直延伸。同样,与轴垂直的平面也在此称为水平平面。因此,顶板40以及分布板60沿着水平平面延伸。同样,在普通的直角坐标系当中,在水平方向上与+X方向相反的水平方向在此称为–X方向,而与+Y方向相反的方向在此称为–Y方向。与轴16平行的上游以及下游方向U和D,构成直角坐标系中的第三或Z方向。
管状元件64在此称为第一气体分布元件。如在图5中所示,每个第一气体分布元件包括一个大致矩形的管状主体。该管状主体具有固体上游壁68,固体侧壁70以及下游壁72。壁68,70和72相互配合地确定内部钻孔74。下游壁72具有以延伸穿过壁的长形狭槽76形式存在的开口。槽76沿着第一气体元件64纵向地(沿着X方向)延伸。
一个长形的扩散器78安装在下游壁72上,并沿着第一气体分布元件64纵向延伸。扩散器78大致以三角柱的形式存在。扩散器由两个部分80形成,每个部分包括在扩散器内纵向,例如,在X方向上延伸的通道82。部分80背对背地安装在管状元件的下游壁72上。扩散器80作为整体来说以长形三角柱的形式存在。扩散器的宽度以及大小随着下游方向D的距离远离管状元件而减小。
一个通道或额外的进气口84从管状元件处延伸穿过扩散器78至远离管状元件的扩散器的边缘,例如,扩散器下游边缘处。通道或进气口84以扩散器的两个背对背的三角部分80所确定的长形狭槽的形式存在。通道84与狭槽76相通,并因此沿着第一气体分布元件64的长度与管状元件的内部钻孔74相通。
元件66,在此称为第二气体分布元件,每个第二气体分布元件(图6)除了在其下游壁86上具有沿着元件长度延伸的一系列孔88,而并非第一气体分布元件的狭槽76之外,与第一气体元件64一样。同样,每个第二气体分布元件的扩散器90具有一系列较小的管状气体进气道92,在图6中,每个管状进气道都可见地延伸穿过扩散器并与孔88相通。每个通道或进气道92在扩散器90的下游边缘处都打开。再次说明,每个管状元件具有上游壁96以及侧壁94,使得下游壁86与其它壁94和96相互配合来确定在元件内纵向延伸的内部钻孔98。
再次说明,每个扩散器具有同样纵向延伸的冷却剂通道100,多个独立的进气口92沿着元件66的长度设置,相互配合地确定长形的进气口。因此,如在本发明中所使用的,对长形进气口的指代应该理解为包括长形的单一狭槽,例如元件64的狭槽76,以及包括由设置成排的多个单独进气口形成的长形进气口。
如图4所示,第一和第二气体分布元件64和66肩并肩地设置,并通过焊接102相互机械地连接,该焊接102在相互临近元件的侧壁94和70之间延伸。元件的上游壁94和68相互配合地确定板60的上游表面,而下游壁72和86相互配合地确定板的下游表面。焊接102只有设置在沿着元件长度的间距位置。因此,狭槽状进气口开口104,在此称为“底部”进气口,从其上游表面延伸穿过板至其下游表面,位于临近的气体分布元件64和66之间。气体分布板60的上游表面面对着板60与顶板40之间的间隙62。
如图2和3所示,复合板60安装在岐管44处,并在整个被岐管所包围的圆形区域上延伸。因此板60整完全地占据了圆形区域,该圆形区域在此称为活动的,或进气口元件的气体排放区域。该圆形区域与轴16同轴。第一气体分布元件64以及第二气体分布元件66在X水平方向,例如平行于同样沿X轴方向延伸的中间平面108的方向延伸。第一和第二气体分布元件64和66在第一气体部分48和第二气体部分50之间自然地延伸,并机械地例如通过焊接与相互连接。然而,第一气体分布元件64的内部钻孔只与第一气体部分48连通,而第二气体分布元件66的内部钻孔只与第二气体部分50连通。
包括在扩散器78,90内的冷却剂通道82,100(图5和6)在两个端部处都打开,并与冷却剂入口部分54和冷却剂出口部分56相连(图3)。
如图2所示,每个独立的气体分布元件64和66在垂直于X方向中间平面52上沿X方向延伸。由独立的气体分布元件确定的长形进气口同样在中间平面52上延伸。在这个实施例中,每个气体分布元件,以及由每个气体分布元件确定的长形进气口在大致地整个进气口元件的活动气体分布区域的跨度上延伸。第一和第二气体分布元件64和66并非相对于沿着X方向延伸的中间平面108对称设置。此外,第一和第二气体分布元件64,66相对于中间平面108设置为反对称或负对称。
也就是说,对于每个相对中间平面108处设置为正向或+Y距离的第一气体分布元件64,都具有设置在相对中间平面108处的相应-Y距离的第二气体分布元件66。例如,第一气体分布元件64a位于离中间平面108的+Ya距离。第二气体分布元件66a位于相对中间平面相同幅度的相应负距离-Ya。每个气体分布元件的距离可以测量至由该元件确定的进气口的纵向中心线,例如,狭槽状进气口84的纵向中心线(图6),或排孔92(图6)的纵向中心线。在图2中,为了清楚地说明,省略了在气体分布元件之间的间隙或底部进气口104。
在运作中,第一反应气体例如氨气或其它第V族氢化物与一种或多种载气,例如H2,N2或两者的混合气体通过歧管的第一气体部分48提供,并穿过第一气体分布元件64的纵向钻孔74(图5)。第一反应气体如一系列长形,窗帘状的气流111(图4)从由第一气体分布元件64以及与其相连的扩散器78确定的进气口84处流出。相似地,第二反应气体,例如金属烷基与载气混合,通过歧管的第二气体部分50(图2)提供,并穿过第二气体分布元件66的内部钻孔98(图6)。第二气体因此如排状气流113(图4),从由第二气体分布元件以及与其相连的扩散器确定的进气口92处流出。排状气流113在第一气体气流111之间穿插。
载气,例如H2,N2或它们的混合物,被导向至载气间隙62,并穿过由构成板的气体分布元件64和66之间确定的间隙或底部进气口104。载气如窗帘状气流115地流出,穿插在每个第一反应气体气流111以及邻近的第二反应气体的排气流113之间。气流下游地穿过晶片载体14以及晶片18的附近,通过晶片载体以及晶片的旋转运动卷入旋转气流。第一和第二反应气体在晶片表面处相互反应,以形成如第III-V族半导体的沉积。
第一和第二反应气体在处于进气口元件附近时保持大致的相互分离,并以大致层状,有序的气流形式从进气口元件处下游地流动。这种行为由几方面原因引起。扩散器90和76确定了它们之间的大致V形通道,这种通道设置在底部进气口104的下游位置。该通道随着离底部进气口104的下游距离增加而在Y水平方向上逐渐拓宽。这样有利于载气流115以有序的方式扩散,使得大致层状载气气流在扩散器76和90的下游边缘处占主导地位。第一和第二反应气流111和113在扩散器的边缘下游处引导至流动体系中,并倾向于以相似的有序层流形式流动。
此外,载气流115在第一反应气流111以及第二反应气流113之间提供大致完全的阻隔。换种方式说,一个处于水平平面,与上游至下游轴16垂直的路径,从其中一道第二反应气流113延伸至邻近的第一反应气流111的将拦截其中一道载气流115。这对处于水平平面上的任何曲线都是如此,该水平平面限制在进气口元件的活动区域内,例如,存在进气口的区域。这种在第一和第二反应气流之间的大致完全阻隔使气体之间的过早反应最小化。
第一和第二气流并非相对在X方向延伸的中间平面108对称设置。如果晶片载体以及晶片为静止的,这样会导致晶片载体以及晶片不均匀地暴露在第一和第二反应气体中,例如,如图7所示,在晶片载体14上标有记号120,用于指向X方向,在图7中为向右。如果晶片载体保持在这个方向上,以暗条纹展示的区域将严重地受到第一反应气体影响,而以亮条纹展示的区域将会严重地受到第二反应气体影响。相同的影响模式在图8中展示,但晶片载体14绕着中心轴16转了180°,使得标记120指向相反方向或-X方向。
在图8中的亮和暗条纹的模式与图7中的模式相反。因此,随着晶片载体旋转,在晶片载体一个方向上严重暴露于第一气体的区域将在晶片载体的相反方向上严重暴露于第二气体。随着晶片载体的继续转动,这种暴露模式变得均匀,如图9所示。
在这种设置中,每单元长度的长形第一气体分布元件64(图2)提供第一气体至晶片载体上相同大小的区域。同样地,每单元长度的长形第二气体分布元件66(图2)提供第二气体至晶片载体上相同大小的区域。因此,如果所有第一气体分布元件64沿其整个长度的每个单元长度设置为提供相同流速的第一气体,而所有第二气体分布元件66沿其整个长度的每个单元长度设置为提供相同流速的第二气体,这样,在晶片载体上便可以提供均匀流量的第一和第二气体。在每个单元长度上的第一气体流量理想地在每个长形狭槽84(图5)的整个长度上为均匀的。
同样,在每个由成排离散进气道92(图6)确定的长形进气口的整个长度上,第二气体的流速理想地为均匀的。这样就不需要在第一进气口的多个区域或第二进气口的多个区域中提供每个长度的不同流速气流,或不同浓度的第一或第二气体。这样大大地简化了系统的结构以及运作。此外,这种简化不需要一般用于提供整齐排列进气口的复杂结构。为了确保沿着每个进气口元件64或66长度的均匀气流,与穿过进气口84和92的钻孔的流动阻力相比,沿着元件长度穿过钻孔74或98的流体阻力理想地应该比较小。
在图7和8中的影响模式应理解为为了说明的原理图模式。在实际应用中,下游流动的气体本身卷入绕轴的旋转运动中。气体的旋转运动倾向于在晶片载体的任何给定旋转位置上使气体的暴露模式比图7和8中展示的更为均匀。
上述的结构以及运作方式可以在任何需要尺寸的反应室内应用。这种结构可以按比例扩大为相对较大的尺寸,例如,反应室具有大约600mm或更大的晶片载体,以及具有几乎相等或更大直径的活动,气体排放区域的进气口元件。此外,进气口元件可以很快地进行装配。
上述结构的不同变化以及不同组合都可以进行应用。在上述结构的一个变化中,第一气体分布元件64可以用于提供载气流,而底部进气口104可以用于提供第一反应气流,而第二气体分布元件可以用于提供第二反应气体。在另一个变化中,可以使用多于两种反应气体。例如,气体分布元件可以包括大致相互平行的第一,第二以及第三气体分布元件。
在图10中的另一个变化中,气体分布板260以及进气口元件的顶板240之间的气体间隙262与第一反应气体源相连,例如,氨气,使得从板的气体分布元件之间的底部进气口204流出的气流为第一反应气流111。在这个实施例中,所有构成板260的气体分布元件266如上述第二气体分布元件66的一样地进行设置。因此,第二反应气流113从位于所有扩散器边缘的额外进气口274处流出。
在其它的变化实施例中,所有的进气口元件设置为狭缝状进气口,例如在上述第一气体分布元件64中所使用的。即使在第一和第二气体之间没有使用载气来进行分离,在扩散器的末端、在由扩散器推动的第一反应气体111的流畅流层之内,注入第二反应气体113,很好地防止了气体的再循环和进气口元件上不受欢迎的副产物的沉积。
在其它实施例中(图11),每个气体分布元件包括管状主体286,该管状主体286确定了在其下游表面以打开的成排孔287形式存在的长形进气口。每个长形的气体分布元件具有两个扩散器288,安装在管状主体的下游表面处,使得两个扩散器位于长形进气口的相对侧。再次说明,气体分布元件相互连接但又相互间隔,以致可以确定底部进气口290。在该实施例中,由气体分布元件中成排的孔287确定的进气口,以及底部进气口290朝着管状主体286下游表面的反应器打开,使得所有进气口的开口位于同一平面上。
在这种结构中,扩散器288位于由气体分布元件确定的每个进气口287以及邻近的底部进气口290之间。再次说明,在顶板240以及由气体分布元件形成的复合板之间的气体间隙292与第一气体源连接。然而,气体分布元件与第二气体源相连,使得第一气流111从底部进气口290流出,第二气流113从由气体分布元件确定的进气口287流出。同样在这个实施例中,扩散器提供的流畅层流防止了再循环以及沉积的形成。同样在该实施例中,扩散器理想地设有冷却剂通道289。在另一个变化中,由气体分布元件确定的某些或所有长形进气口可以为狭槽而不是成排的孔。再次说明,除了第一和第二气体,气体还可以包括载气。
在另一个实施例中,可以忽略安装在气体分布板下游表面上的扩散器。且在另一个实施例中,除了在进气口处之外,在复合板下游表面上可以提供一个多孔筛网。在另一个是实施例中(图12),管状气体分布元件360肩并肩地以相互邻接的方式进行安装,并通过例如焊接固定在一起。
在这种结构中,没有底部进气口延伸穿过由气体分布元件366形成的气体分布板。一个多孔的筛网300从板360处朝下游方向安装,而不同的气体分布进气口的进气口364具有下游延伸穿过筛网的短管。导入载气至复合板360以及筛网300之间的间隙363,使得载气流动穿过筛网并围绕着从进气口362流出的每股反应气流。冷却剂通道367可以安装在每个气体分布元件的底部表面上。
在上述讨论的实施例中,气体分布板由分开的相互连接的长形气体分布元件形成。然而,该气体分布板同样可以如以上所述的由一个或多个确定长形进气口的单个板形成。
在上述的实施例中,该长形的进气口为伸直的。然而,这并非必要的。例如在图13的实施例中,由实线代表的每个长形的第一进气口464,以锯齿模式延伸。因此,每个这种进气口大致在X方向延伸,而在Y方向上具有轻微的偏离。由虚线代表的长形的第二进气口466,以相似的锯齿模式延伸。底部进气口(未图示)可以同样具有相似的锯齿结构。同样在这种结构中,第一和第二进气口相互大致平行地延伸。
然而,每个长形的进气口仍然大致在X方向延伸。换句话说,进气口在X方向上任何实质的范围Ex,该进气口在Y方向上的实质范围Ey与Ex相比较小。在另一个变化中(图14),长形的进气口564以及566以弧形而非直线形式存在。再次说明,进气口大致在X方向上延伸。
在上述的实施例中,每个长形的进气口在沿其整个长度的每个单元长度上提供相同流速的反应气体。在一个变化中,每单元长度上气体沿着长形进气口的长度渐进变化。这在当特定的长形气体分布元件只在一个末端接受到气体混合物,并沿着其长度流动具有明显阻力时,便会发生这种情况。图15大概地展示了从这种长形进气口流出的第一反应气体的影响模式601a。在这种情况下,从特定进气口而来的反应气体的大量流速在沿着进气口长度的X方向上逐渐减少。因此,受这种气体影响的晶片载体的面积宽度如图示地在X方向上减少。
在图15的结构中,第二反应气体进气口606具有在-X方向上减少的大量流速。晶片载体的绕轴旋转将会抵消影响模式的差异。例如,在晶片载体旋转一个半圈的时候,与影响模式601a中的部分603相一致的晶片部分将与部分605相一致。而在另一个结构中,第一气体进气口的交替可以具有大量流速,因此而来的影响模式在X的相反方向减少。第二气体进气口可以具有相似的设置。
在上述的实施例中,第一和第二进气口设置为相同数量并在Y方向上设置为1:1的交替顺序。然而,这并非必要的。例如,在每对第二进气口之间可以提供2,3个或更多长形的第一进气口。
同样,没有必要将长形的进气口设置成相对X方向延伸的中间平面的非对称结构。与这种结构相偏离,可以使用包括一种对称设置。同样,在上述的实施例中,确定长形进气口的板包括长形的管状气体分布元件。然而,长形的进气口可以通过其它结构提供,例如,具有与进气口相连的合适气体分布通道或腔的一个或多个单一的板。
根据本发明另一个实施例(16)的一种化学气相沉积的设备包括反应室710,该反应室大致以绕着中心轴716旋转的空心主体的形式存在。如上述的图1的实施例中,该设备包括一个例如主轴(未图示)的支撑,适应于支撑晶片载体(未图示),用于绕着中心轴716旋转。在该实施例中,进气口元件722确定了由图16中实线表示的第一气体进气口,以及由虚线表示的第二进气口766。第一进气口与例如包括第III族元素的气体混合物的第一反应气体源相连,而第二进气口与和第一气体反应,例如为包括第V族元素气体混合物的第二气体源相连。
进气口同样包括第三气体进气口768,由图16中的虚线表示。第三进气口与载体源连接,该载体源在反应室内主导的条件下大致不与第一和第二气体反应。
只在气体分布表面区域内延伸的第一进气口具有离中心轴716的第一半径R1。换句话说,第一气体出口从中心轴延伸至第一半径R1,第二气体出口从中心轴处延伸至第二半径R2处,第三进气口延伸至半径R3,半径R3比第一和第二半径要大,并因此大于R1和R2。在特定的实施例中,半径R3等于或稍微小于处于气体分布表面的反应室的内径。第一和第二半径R1和R2可以将近等于晶片载体的半径。
在运作中,从第一和第二进气口流出的气体下游地(在图16中为沿着轴716朝着观众的方向)流向晶片载体,并参与化学气相沉积反应或在晶片上的其它处理。在第一和第二半径R1和R2的区域内,从第三进气口处流出的载气下游地穿过第一和第二气流之间,并如上所述地从进气口元件至晶片的距离的至少一部分保持这些气流之间的分离。
在被第一和第二进气口所覆盖的区域之外的间隔区域G中,从第三进气口流出的载气形成一个窗帘,使第一和第二反应气体与反应室壁710保持隔离。这样使在反应室壁上反应产物的沉积达到最小化。特别地,气体的再循环可以发生在反应室的上游末端,此处进气口元件722与反应器壁结合。在图16的设置中,任何再循环气体可以基本由载气组成,因此不会在反应器壁以及进气口元件上形成沉积。
此外,在间隔区域G中省略第一和第二进气口减少了第一和第二反应气体的总流量以保持定量的反应物流向晶片载体。换句话说,如果在间隔区域G处具有第一和第二反应气体,它们会绕着晶片载体的外部穿过,而不会撞进到晶片上。避免这种浪费将减少在该方法中使用的反应气体的成本,并减少废弃反应气体的排放。
图16中的设置可以进行变化,例如,第一和第二半径R1和R2可以相互不同。这些半径可以相当于或大于第三半径。在这种构造中,邻近反应器壁的窗帘状气体包括载气以及仅仅其中一种反应气体。这种窗帘状气体可以有效地抑制反应室壁上的沉积。没有必要在第一和第二进气口之间提供第三进气口。例如,第三进气口可以只设置在间隔区域G中。同样,图16中展示的进气口设置为平行排状,但也可以使用其它构造。例如,第一进气口可以以“场”或连续区域的形式存在,而第二进气口可以以一个或多个径向排的形式存在。
在不偏离本发明的情况下可以使用上述特征的变化以及组合,上述的优选实施例应理解为用于说明,而并非对本发明的限制。
工业实用性
本申请可以应用于,例如半导体装置的制造。

Claims (3)

1.一种用于化学气相沉积反应器的进气口元件,其特征在于,包括:
多个长形元件,这些长形元件相互平行延伸并相互机械连接,使得所述长形元件合作界定了具有上游侧和下游侧的歧管板,所述歧管板具有位于相邻长形元件之间的、从上游侧延伸至下游侧的底部进气开口;
一结构,该结构界定了位于所述歧管板的上游处的一个或多个气体间隙,并与所述底部进气开口相通,所述一个或多个气体间隙由所述歧管板和所述进气口元件的顶板形成;
与气体分布通道相通的多个额外进气口,这些额外进气口开向所述歧管板的下游侧;以及
沿着至少其中一些长形元件延伸、并从这些长形元件处向下游突出的长形的扩散器,至少其中一些额外进气口从所述气体分布通道延伸穿过所述扩散器,到达远离所述气体分布通道的所述扩散器的下游边缘。
2.如权利要求1中所述的进气口元件,其特征在于,至少其中一些长形元件为管状。
3.如权利要求2中所述的进气口元件,其特征在于,还包括一个或多个在管状元件末端处与管状元件连接、并与气体分布通道相通的岐管。
CN201510494081.XA 2008-12-04 2009-12-03 用于化学气相沉积的进气口元件及其制造方法 Expired - Fee Related CN105420688B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US20107408P 2008-12-04 2008-12-04
US61/201,074 2008-12-04
CN200980156161.7A CN102308368B (zh) 2008-12-04 2009-12-03 用于化学气相沉积的进气口元件及其制造方法

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
CN200980156161.7A Division CN102308368B (zh) 2008-12-04 2009-12-03 用于化学气相沉积的进气口元件及其制造方法

Publications (2)

Publication Number Publication Date
CN105420688A CN105420688A (zh) 2016-03-23
CN105420688B true CN105420688B (zh) 2019-01-22

Family

ID=42231384

Family Applications (4)

Application Number Title Priority Date Filing Date
CN200980156161.7A Expired - Fee Related CN102308368B (zh) 2008-12-04 2009-12-03 用于化学气相沉积的进气口元件及其制造方法
CN201510494081.XA Expired - Fee Related CN105420688B (zh) 2008-12-04 2009-12-03 用于化学气相沉积的进气口元件及其制造方法
CN201310276212.8A Expired - Fee Related CN103352206B (zh) 2008-12-04 2009-12-03 用于化学气相沉积的进气口元件及其制造方法
CN201811626515.7A Pending CN110079789A (zh) 2008-12-04 2009-12-03 用于化学气相沉积的进气口元件及其制造方法

Family Applications Before (1)

Application Number Title Priority Date Filing Date
CN200980156161.7A Expired - Fee Related CN102308368B (zh) 2008-12-04 2009-12-03 用于化学气相沉积的进气口元件及其制造方法

Family Applications After (2)

Application Number Title Priority Date Filing Date
CN201310276212.8A Expired - Fee Related CN103352206B (zh) 2008-12-04 2009-12-03 用于化学气相沉积的进气口元件及其制造方法
CN201811626515.7A Pending CN110079789A (zh) 2008-12-04 2009-12-03 用于化学气相沉积的进气口元件及其制造方法

Country Status (7)

Country Link
US (4) US8303713B2 (zh)
EP (3) EP3483919A1 (zh)
JP (1) JP5662334B2 (zh)
KR (1) KR101639230B1 (zh)
CN (4) CN102308368B (zh)
TW (2) TWI417415B (zh)
WO (1) WO2010065695A2 (zh)

Families Citing this family (50)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101464228B1 (ko) * 2007-01-12 2014-11-21 비코 인스트루먼츠 인코포레이티드 가스 처리 시스템
US7976631B2 (en) * 2007-10-16 2011-07-12 Applied Materials, Inc. Multi-gas straight channel showerhead
EP2159304A1 (en) * 2008-08-27 2010-03-03 Nederlandse Organisatie voor toegepast- natuurwetenschappelijk onderzoek TNO Apparatus and method for atomic layer deposition
CN102308368B (zh) * 2008-12-04 2014-02-12 威科仪器有限公司 用于化学气相沉积的进气口元件及其制造方法
KR101064210B1 (ko) * 2009-06-01 2011-09-14 한국생산기술연구원 막증착 진공장비용 샤워헤드
DE102009043840A1 (de) * 2009-08-24 2011-03-03 Aixtron Ag CVD-Reaktor mit streifenförmig verlaufenden Gaseintrittszonen sowie Verfahren zum Abscheiden einer Schicht auf einem Substrat in einem derartigen CVD-Reaktor
EP2360293A1 (en) 2010-02-11 2011-08-24 Nederlandse Organisatie voor toegepast -natuurwetenschappelijk onderzoek TNO Method and apparatus for depositing atomic layers on a substrate
EP2362411A1 (en) 2010-02-26 2011-08-31 Nederlandse Organisatie voor toegepast -natuurwetenschappelijk onderzoek TNO Apparatus and method for reactive ion etching
US9169562B2 (en) 2010-05-25 2015-10-27 Singulus Mocvd Gmbh I. Gr. Parallel batch chemical vapor deposition system
US8986451B2 (en) 2010-05-25 2015-03-24 Singulus Mocvd Gmbh I. Gr. Linear batch chemical vapor deposition system
US9869021B2 (en) 2010-05-25 2018-01-16 Aventa Technologies, Inc. Showerhead apparatus for a linear batch chemical vapor deposition system
US8906160B2 (en) * 2010-12-23 2014-12-09 Intermolecular, Inc. Vapor based processing system with purge mode
WO2012092064A1 (en) 2010-12-30 2012-07-05 Veeco Instruments Inc. Wafer processing with carrier extension
JP2014513209A (ja) * 2011-03-23 2014-05-29 ピルキントン グループ リミテッド 化学気相蒸着法による酸化亜鉛被膜を堆積させる方法
US20130145989A1 (en) * 2011-12-12 2013-06-13 Intermolecular, Inc. Substrate processing tool showerhead
JP6038618B2 (ja) * 2011-12-15 2016-12-07 株式会社ニューフレアテクノロジー 成膜装置および成膜方法
KR101881894B1 (ko) * 2012-04-06 2018-07-26 삼성디스플레이 주식회사 박막 증착 장치 및 그것을 이용한 박막 증착 방법
CN102618921B (zh) * 2012-04-11 2015-06-03 浙江金瑞泓科技股份有限公司 一种双排气平板式外延炉
CN103388132B (zh) * 2012-05-11 2015-11-25 中微半导体设备(上海)有限公司 气体喷淋头、其制造方法及薄膜生长反应器
WO2014103728A1 (ja) * 2012-12-27 2014-07-03 昭和電工株式会社 成膜装置
US9388493B2 (en) 2013-01-08 2016-07-12 Veeco Instruments Inc. Self-cleaning shutter for CVD reactor
TWI683382B (zh) * 2013-03-15 2020-01-21 應用材料股份有限公司 具有光學測量的旋轉氣體分配組件
WO2014189650A1 (en) * 2013-05-22 2014-11-27 Singulus Technologies Mocvd, Inc. Showerhead apparatus for a linear batch chemical vapor deposition system
JP6199619B2 (ja) * 2013-06-13 2017-09-20 株式会社ニューフレアテクノロジー 気相成長装置
JP6153401B2 (ja) * 2013-07-02 2017-06-28 株式会社ニューフレアテクノロジー 気相成長装置および気相成長方法
EP2871038A1 (en) * 2013-11-07 2015-05-13 Maan Research & Development B.V. Device for treating a surface
US9514933B2 (en) 2014-01-05 2016-12-06 Applied Materials, Inc. Film deposition using spatial atomic layer deposition or pulsed chemical vapor deposition
TWI545224B (zh) * 2014-02-26 2016-08-11 國立中央大學 用於有機金屬化學氣相沉積設備之進氣系統
US20150361582A1 (en) * 2014-06-17 2015-12-17 Veeco Instruments, Inc. Gas Flow Flange For A Rotating Disk Reactor For Chemical Vapor Deposition
CN105200395B (zh) * 2014-06-18 2017-11-03 中微半导体设备(上海)有限公司 用于mocvd设备的进气及冷却装置
CN104264128B (zh) * 2014-09-11 2017-06-16 中国电子科技集团公司第四十八研究所 一种用于mocvd反应器的格栅式气体分布装置
JP2016081945A (ja) * 2014-10-09 2016-05-16 株式会社ニューフレアテクノロジー 気相成長装置および気相成長方法
CN105624645B (zh) * 2014-11-06 2018-04-24 中微半导体设备(上海)有限公司 反应气体输送装置及化学气相沉积或外延层生长反应器
JP6404111B2 (ja) * 2014-12-18 2018-10-10 東京エレクトロン株式会社 プラズマ処理装置
CN106011789B (zh) * 2015-03-26 2018-08-10 中晟光电设备(上海)股份有限公司 Mocvd系统及其反应气体输送装置
US9748113B2 (en) 2015-07-30 2017-08-29 Veeco Intruments Inc. Method and apparatus for controlled dopant incorporation and activation in a chemical vapor deposition system
DE102017203255A1 (de) * 2016-03-02 2017-09-07 Veeco Instruments Inc. Peripheriespülverschluss -und flusssteuerungssysteme und -verfahren
TWI677593B (zh) * 2016-04-01 2019-11-21 美商應用材料股份有限公司 用於提供均勻流動的氣體的設備及方法
JP6495875B2 (ja) * 2016-09-12 2019-04-03 株式会社東芝 流路構造及び処理装置
KR102096700B1 (ko) * 2017-03-29 2020-04-02 도쿄엘렉트론가부시키가이샤 기판 처리 장치 및 기판 처리 방법
US11139149B2 (en) * 2017-11-29 2021-10-05 Taiwan Semiconductor Manufacturing Co., Ltd. Gas injector
JP7180984B2 (ja) 2018-03-01 2022-11-30 株式会社ニューフレアテクノロジー 気相成長方法
DE112019001953T5 (de) * 2018-04-13 2021-01-21 Veeco Instruments Inc. Vorrichtung zur chemischen gasphasenabscheidung mit mehrzonen-injektorblock
CN112368802A (zh) * 2018-07-31 2021-02-12 应用材料公司 用于ald工艺的方法和设备
CN214848503U (zh) * 2018-08-29 2021-11-23 应用材料公司 注入器设备、基板处理设备及在机器可读介质中实现的结构
US11306396B2 (en) * 2018-11-30 2022-04-19 Meidensha Corporation Oxide film forming device
US11225715B2 (en) * 2019-04-11 2022-01-18 Samsung Electronics Co., Ltd. Showerhead, semiconductor manufacturing apparatus including the same, and semiconductor manufacturing method
CN112090602B (zh) * 2020-09-24 2021-11-16 北京北方华创微电子装备有限公司 半导体工艺设备及其进气结构
CN114768578B (zh) * 2022-05-20 2023-08-18 北京北方华创微电子装备有限公司 混气装置及半导体工艺设备
CN114855267B (zh) * 2022-07-05 2022-10-11 苏州长光华芯光电技术股份有限公司 一种晶圆外延生长系统及晶圆外延生长方法

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2008088743A1 (en) * 2007-01-12 2008-07-24 Veeco Instruments Inc. Gas treatment systems

Family Cites Families (63)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CH643469A5 (fr) * 1981-12-22 1984-06-15 Siv Soc Italiana Vetro Installation pour deposer en continu, sur la surface d'un substrat porte a haute temperature, une couche d'une matiere solide.
US4993358A (en) * 1989-07-28 1991-02-19 Watkins-Johnson Company Chemical vapor deposition reactor and method of operation
US5248253A (en) * 1992-01-28 1993-09-28 Digital Equipment Corporation Thermal processing furnace with improved plug flow
JPH07142394A (ja) * 1993-11-12 1995-06-02 Sony Corp Cvd方法及びcvd装置
US6200389B1 (en) * 1994-07-18 2001-03-13 Silicon Valley Group Thermal Systems Llc Single body injector and deposition chamber
JP3360098B2 (ja) * 1995-04-20 2002-12-24 東京エレクトロン株式会社 処理装置のシャワーヘッド構造
US6090210A (en) * 1996-07-24 2000-07-18 Applied Materials, Inc. Multi-zone gas flow control in a process chamber
WO1998023788A1 (en) * 1996-11-27 1998-06-04 Emcore Corporation Chemical vapor deposition apparatus
EP0854210B1 (en) * 1996-12-19 2002-03-27 Toshiba Ceramics Co., Ltd. Vapor deposition apparatus for forming thin film
GB9712400D0 (en) * 1997-06-16 1997-08-13 Trikon Equip Ltd Shower head
US6537418B1 (en) * 1997-09-19 2003-03-25 Siemens Aktiengesellschaft Spatially uniform gas supply and pump configuration for large wafer diameters
US6161500A (en) * 1997-09-30 2000-12-19 Tokyo Electron Limited Apparatus and method for preventing the premature mixture of reactant gases in CVD and PECVD reactions
US6302964B1 (en) * 1998-06-16 2001-10-16 Applied Materials, Inc. One-piece dual gas faceplate for a showerhead in a semiconductor wafer processing system
US6289842B1 (en) * 1998-06-22 2001-09-18 Structured Materials Industries Inc. Plasma enhanced chemical vapor deposition system
KR100331544B1 (ko) * 1999-01-18 2002-04-06 윤종용 반응챔버에 가스를 유입하는 방법 및 이에 사용되는 샤워헤드
US6772827B2 (en) * 2000-01-20 2004-08-10 Applied Materials, Inc. Suspended gas distribution manifold for plasma chamber
JP2002261021A (ja) * 2001-02-28 2002-09-13 Japan Pionics Co Ltd 気相成長装置及び気相成長方法
TW544775B (en) * 2001-02-28 2003-08-01 Japan Pionics Chemical vapor deposition apparatus and chemical vapor deposition method
KR100423953B1 (ko) * 2001-03-19 2004-03-24 디지웨이브 테크놀러지스 주식회사 화학기상증착장치
US20040060514A1 (en) * 2002-01-25 2004-04-01 Applied Materials, Inc. A Delaware Corporation Gas distribution showerhead
US6793733B2 (en) * 2002-01-25 2004-09-21 Applied Materials Inc. Gas distribution showerhead
JP2003253431A (ja) * 2002-02-28 2003-09-10 Ulvac Japan Ltd 薄膜形成装置
US20040129212A1 (en) * 2002-05-20 2004-07-08 Gadgil Pradad N. Apparatus and method for delivery of reactive chemical precursors to the surface to be treated
US7153542B2 (en) * 2002-08-06 2006-12-26 Tegal Corporation Assembly line processing method
US6821563B2 (en) * 2002-10-02 2004-11-23 Applied Materials, Inc. Gas distribution system for cyclical layer deposition
JP2004165445A (ja) * 2002-11-13 2004-06-10 Furukawa Co Ltd 半導体製造装置
KR100862658B1 (ko) * 2002-11-15 2008-10-10 삼성전자주식회사 반도체 처리 시스템의 가스 주입 장치
US20060096604A1 (en) * 2002-11-21 2006-05-11 Cousins Distributing, Inc. Device for filling a cigarette tube with a metered amount of tobacco
US7601223B2 (en) * 2003-04-29 2009-10-13 Asm International N.V. Showerhead assembly and ALD methods
JP4571787B2 (ja) * 2003-07-08 2010-10-27 東ソー・ファインケム株式会社 固体有機金属化合物用充填容器およびその充填方法
US20050011459A1 (en) * 2003-07-15 2005-01-20 Heng Liu Chemical vapor deposition reactor
US20050178336A1 (en) * 2003-07-15 2005-08-18 Heng Liu Chemical vapor deposition reactor having multiple inlets
TW200508413A (en) * 2003-08-06 2005-03-01 Ulvac Inc Device and method for manufacturing thin films
US20070248515A1 (en) * 2003-12-01 2007-10-25 Tompa Gary S System and Method for Forming Multi-Component Films
JP4231417B2 (ja) * 2004-01-07 2009-02-25 パナソニック株式会社 基板処理装置及びそのクリーニング方法
US20060054280A1 (en) * 2004-02-23 2006-03-16 Jang Geun-Ha Apparatus of manufacturing display substrate and showerhead assembly equipped therein
US20060021703A1 (en) * 2004-07-29 2006-02-02 Applied Materials, Inc. Dual gas faceplate for a showerhead in a semiconductor wafer processing system
JP5519105B2 (ja) * 2004-08-02 2014-06-11 ビーコ・インストゥルメンツ・インコーポレイテッド 化学気相成長の方法及び化学気相成長リアクタ用のガス供給システム
US7416635B2 (en) * 2005-03-02 2008-08-26 Tokyo Electron Limited Gas supply member and plasma processing apparatus
US7413982B2 (en) * 2006-03-29 2008-08-19 Eastman Kodak Company Process for atomic layer deposition
US7456429B2 (en) * 2006-03-29 2008-11-25 Eastman Kodak Company Apparatus for atomic layer deposition
US20070234956A1 (en) * 2006-04-05 2007-10-11 Dalton Jeremie J Method and apparatus for providing uniform gas delivery to a reactor
KR100849929B1 (ko) * 2006-09-16 2008-08-26 주식회사 피에조닉스 반응 기체의 분사 속도를 적극적으로 조절하는 샤워헤드를구비한 화학기상 증착 방법 및 장치
KR100825970B1 (ko) * 2006-09-28 2008-04-29 (주)리드 기판 처리 장치 및 방법
CN100451163C (zh) * 2006-10-18 2009-01-14 中微半导体设备(上海)有限公司 用于半导体工艺件处理反应器的气体分布装置及其反应器
US20080099147A1 (en) * 2006-10-26 2008-05-01 Nyi Oo Myo Temperature controlled multi-gas distribution assembly
US9580836B2 (en) * 2006-11-22 2017-02-28 Soitec Equipment for high volume manufacture of group III-V semiconductor materials
KR20080048243A (ko) * 2006-11-28 2008-06-02 엘지디스플레이 주식회사 플라즈마 화학기상 증착장치
US11136667B2 (en) * 2007-01-08 2021-10-05 Eastman Kodak Company Deposition system and method using a delivery head separated from a substrate by gas pressure
US20080166880A1 (en) * 2007-01-08 2008-07-10 Levy David H Delivery device for deposition
US7789961B2 (en) * 2007-01-08 2010-09-07 Eastman Kodak Company Delivery device comprising gas diffuser for thin film deposition
US8207063B2 (en) * 2007-01-26 2012-06-26 Eastman Kodak Company Process for atomic layer deposition
US7858144B2 (en) * 2007-09-26 2010-12-28 Eastman Kodak Company Process for depositing organic materials
US8211231B2 (en) * 2007-09-26 2012-07-03 Eastman Kodak Company Delivery device for deposition
US8182608B2 (en) * 2007-09-26 2012-05-22 Eastman Kodak Company Deposition system for thin film formation
US8398770B2 (en) * 2007-09-26 2013-03-19 Eastman Kodak Company Deposition system for thin film formation
US7976631B2 (en) * 2007-10-16 2011-07-12 Applied Materials, Inc. Multi-gas straight channel showerhead
EP2053663A1 (en) * 2007-10-25 2009-04-29 Applied Materials, Inc. Hover cushion transport for webs in a web coating process
EP2159304A1 (en) * 2008-08-27 2010-03-03 Nederlandse Organisatie voor toegepast- natuurwetenschappelijk onderzoek TNO Apparatus and method for atomic layer deposition
CN102308368B (zh) * 2008-12-04 2014-02-12 威科仪器有限公司 用于化学气相沉积的进气口元件及其制造方法
US8888919B2 (en) * 2010-03-03 2014-11-18 Veeco Instruments Inc. Wafer carrier with sloped edge
US8460466B2 (en) * 2010-08-02 2013-06-11 Veeco Instruments Inc. Exhaust for CVD reactor
KR101881894B1 (ko) * 2012-04-06 2018-07-26 삼성디스플레이 주식회사 박막 증착 장치 및 그것을 이용한 박막 증착 방법

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2008088743A1 (en) * 2007-01-12 2008-07-24 Veeco Instruments Inc. Gas treatment systems

Also Published As

Publication number Publication date
JP5662334B2 (ja) 2015-01-28
WO2010065695A2 (en) 2010-06-10
US10017876B2 (en) 2018-07-10
EP3471130A1 (en) 2019-04-17
KR20110091584A (ko) 2011-08-11
TWI484063B (zh) 2015-05-11
CN103352206B (zh) 2015-09-16
CN102308368A (zh) 2012-01-04
TW201030179A (en) 2010-08-16
CN102308368B (zh) 2014-02-12
WO2010065695A3 (en) 2010-09-10
CN103352206A (zh) 2013-10-16
US8303713B2 (en) 2012-11-06
EP3483919A1 (en) 2019-05-15
US20180320289A1 (en) 2018-11-08
TWI417415B (zh) 2013-12-01
EP2356672A4 (en) 2017-01-18
TW201337033A (zh) 2013-09-16
US20100143588A1 (en) 2010-06-10
US20120325151A1 (en) 2012-12-27
US20140116330A1 (en) 2014-05-01
US8636847B2 (en) 2014-01-28
EP2356672B1 (en) 2018-09-12
CN110079789A (zh) 2019-08-02
CN105420688A (zh) 2016-03-23
KR101639230B1 (ko) 2016-07-13
EP2356672A2 (en) 2011-08-17
JP2012511259A (ja) 2012-05-17

Similar Documents

Publication Publication Date Title
CN105420688B (zh) 用于化学气相沉积的进气口元件及其制造方法
KR101354106B1 (ko) 가스 입구 부재를 구비한 cvd 반응기
CN101665926B (zh) 将多种反应气体依次向基板供给的成膜装置
KR20050030963A (ko) 캐리어 가스를 이용하는 승화 시스템
JP2007521633A (ja) 垂直流型回転ディスク式反応器用のアルキルプッシュ気流
CN106030761A (zh) 用于化学气相沉积系统的具有复合半径的晶片保持凹穴的晶片载体
KR102225261B1 (ko) 박막 증착 장치를 위한 유체 분배 디바이스, 관련 장치 및 방법
TWI809088B (zh) 具有多區域噴射器塊的化學氣相沉積設備
JPH09293681A (ja) 気相成長装置
JP7495882B2 (ja) マルチゾーンインジェクターブロックを備える化学蒸着装置
TWI822023B (zh) 氣體噴淋頭及化學氣相沉積設備
US10801110B2 (en) Gas injector for semiconductor processes and film deposition apparatus
JP2003303772A (ja) 固体有機金属化合物用充填容器およびその充填方法
CN110344028A (zh) 具有流扩展器的晶片处理系统
JP2023540932A (ja) 2つの供給箇所を有するcvdリアクタのガス入口部材
JP2010267982A (ja) 基板の表面に均一なエピタキシャル層を成長させる方法および回転ディスク式反応器

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20190122

Termination date: 20211203