JP2006322074A - シャワーヘッドを用いた化学気相蒸着方法及びその装置 - Google Patents

シャワーヘッドを用いた化学気相蒸着方法及びその装置 Download PDF

Info

Publication number
JP2006322074A
JP2006322074A JP2006139817A JP2006139817A JP2006322074A JP 2006322074 A JP2006322074 A JP 2006322074A JP 2006139817 A JP2006139817 A JP 2006139817A JP 2006139817 A JP2006139817 A JP 2006139817A JP 2006322074 A JP2006322074 A JP 2006322074A
Authority
JP
Japan
Prior art keywords
gas
reaction gas
reaction
shower head
vapor deposition
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2006139817A
Other languages
English (en)
Other versions
JP4630226B2 (ja
Inventor
Soo Byun Chul
スー ビュン チュル
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Piezonics Co Ltd
Original Assignee
Piezonics Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Piezonics Co Ltd filed Critical Piezonics Co Ltd
Publication of JP2006322074A publication Critical patent/JP2006322074A/ja
Application granted granted Critical
Publication of JP4630226B2 publication Critical patent/JP4630226B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/4481Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by evaporation using carrier gas in contact with the source material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/4485Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by evaporation without using carrier gas in contact with the source material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45519Inert gas curtains
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/14Feed and outlet means for the gases; Modifying the flow of the reactive gases

Landscapes

  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Mechanical Engineering (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

【課題】種々の反応ガスがシャワーヘッドを互いに独立的に通過するようにすることでシャワーヘッド内部で反応ガスが互いに化学反応を起こして粒子が生成されることを防止するための技術を提供する。
【解決手段】本発明は、膜を蒸着させるために多数の原料ガスをシャワーヘッドを介して基板上に供給する化学気相蒸着方法において、シャワーヘッドの底面を基板とを所定の距離だけ離隔して配置する離隔段階;原料ガスのうち反応ガスをシャワーヘッド内に注入するとき、シャワーヘッド内の各々の隔室に互いに異なる種類の反応ガスを注入し、原料ガスの中でパージガスはシャワーヘッド内の他の隔室に充填されるように注入する注入段階;及び原料ガスをシャワーヘッドの底面に形成された多数の反応ガス噴射口及び反応ガス噴射口の個数より多いパージガス噴射口を介して排出させる排出段階;を含むことを特徴とする。
【選択図】図9

Description

本発明は、半導体集積回路、微細な機械構造物、表面処理を要する工具などにおいて成膜の重要な手段の一つである化学気相蒸着(CVD)装置に関し、より詳しくは、膜が形成される基板上に反応ガスを一様に供給して均一な膜厚及び組成を実行できるようにするため、シャワーヘッドへの所望しない粒子沈着を防止する化学気相蒸着方法及びその装置に関する。参考までに、本発明は下記の特許文献1と連関しており、その内容を引用している。
一般に、化学気相装置で反応ガスは、真空の反応室に入ってシャワーヘッドを経て基板が載置されているサセプター(susceptor)或いは基板固定部に到達することになる。
従って、反応ガスは基板上で化学反応を起こして所望の膜を形成するが、化学反応を誘導するエネルギーを供給するためには基板を加熱するか、基板上にプラズマ状態を作ることで反応ガスを原子的に励磁(exciting)させる方法が広く用いられる。反応が終わると、副産ガスは反応室の出口及び真空ポンプを含む排気系及び適宜な浄化装置を次第に経て外部へ排出される。
ところが、蒸着工程中に反応室の壁やシャワーヘッドなどに所望しない粒子が沈着されないようにすることは極めて重要なので、反応ガスは気相で互いに反応を起こさない性質を有することが望ましい。しかし、金属有機化合物のように大抵200℃以下の分解温度の低い反応ガスが反応室内で混ぜ合わせられると、気相で均一系反応(Homogeneous reaction)を引き起こして汚染粒子(Particle)を生成し、自らもシャワーヘッドや反応室の壁のような固相の表面で不均一系反応(Heterogeneous reaction)を起こして所望しない粒子沈着を生じる恐れもある。特に、反応ガスが特定の物質に敏感な場合、例えばジルコニウム4ブトキシド(Zirconium tert-butoxide、Zr(OC))は水分に極めて敏感に反応して水酸化ジルコニウム(Zirconium Hydroxide、Zr(OH))を形成し易いが、水分は反応室内の一側に不純物として物理的に吸着(adsorption)されるか、或いは基板上で化学反応の副産物として水蒸気の形態で生成されるかもしれない。
このような水分は反応室の内壁やシャワーヘッドの表面でジルコニウム4ブトキシドと反応して白い粉末状の水酸化物を形成し、脱着が容易な粉末状か、比較的に強い付着力を有する膜の形態かを問わず、反応室の内壁やシャワーヘッド表面に粒子沈着が起こると反復的な熱膨張、収縮、そして内壁などとの格子構造上の差のため沈着した粒子は小さな粒子に脱離(flake-off)されて基板上の膜を汚染させることももちろん、かかる沈着粒子を取り除くために工程を中断すべき周期が頻繁となり、生産性の低下を招くことができる。
高集積半導体を製造する場合、汚染粒子は主に配線間の短絡や断線に因るパターン不良を生じるが、その歩留まりに影響を与える汚染粒子の大きさは、パターン寸法と比例関係にある。よって、パターン寸法が小さくなることにつれ、つまり高集積化の傾向が高くなることにつれ、歩留まりに影響を与える粒子の大きさも次第に小さくなって、反応室内で除去しなければならない汚染粒子の数もその分だけ増加する。
図1は従来の技術による単純な形態の多孔性シャワーヘッドを用いるフラット形プラズマCVD装置の反応室を概略的に示す断面図である(例えば、下記の特許文献2を参照)。同図において、真空ポンプ(図示せず)によって反応室内に真空が形成されると、原料供給手段6からマスクフローコントローラー8の制御のもとに適切な流量の原料ガスが供給配管10に沿って反応室1内へ流入してシャワーヘッド20に伝達され、シャワーヘッド20内に伝達された原料ガスは十分に混合された後、シャワーヘッドの底面の小さな穴を介して基板上に供給される。原料ガスの流れが安定した後、ラジオ周波数(radio-frequency、RF)の電場がRF発生源4と連結されたシャワーヘッド20と接地部13に連結されたサセプターとの間に働くと、原料ガスはイオン化されてプラズマ状態が維持される。プラズマ状態によって原子的に励磁した原料ガスは、内蔵された発熱体14によって周囲より温度が高く維持されるサセプター30に載置された基板9上で集中的に化学反応を起こすことにより、所望の膜を基板9上に形成するようになる。反応が終わって原料ガス及び副産物は、真空ポンプと連結された排気口5を介して外部へ排出される。原料ガスとしてはSiH、DM−DMOS[(CH)2Si(OCH]、TEOSのようなシリコン原料、Cのようなフッ素原料、酸素のような酸化性ガス、或いはAr、Heのような不活性ガスが用いられる。
しかし、前述した原料物質を用いると深刻な問題は生じないかもしれないが、特定な物質、例えば分解温度の低い金属有機化合物を原料ガスとして用いる場合は、シャワーヘッド内で原料ガス同士が互いに化学反応を起こすか、自ら分解して汚染粒子を生成し、その結果反応室内部の汚染及び基板の汚染といった問題をもたらす。
図2は従来の技術による反応室に流入する反応ガスを混ぜ合わせず複数の出口を介して基板上に一様に噴射する機能のみを有するシャワーヘッドを概略的に示す断面図である(例えば、下記の特許文献3を参照)。いろんな反応ガスが複数の流入ポート(zone)17を介して環状の第1流路(channel)23に供給されると、第一流路23で拡散過程を経た後、夫々の流路の底に形成されている幾つかの第1通孔(passage)25を介して、やはり環状の第2流路27に伝達される。第2流路27でも同様に拡散過程を経た後、第2流路の底に形成されている、シャワーヘッドの出口に該当する多数の第2通孔31を介して基板上に供給される。反応ガスは周囲より温度が高く保たれるサセプターに載置された基板(図示省略)上で集中的に化学反応を起こして所望の膜を基板上に形成するようになる。
しかし、金属有機化合物のように大抵200℃以下の分解温度の低い反応ガスは、シャワーヘッドの底面で熱分解のような不均一系表面反応(heterogeneous surface reaction)を起こし、特に反応ガスが水分のような特定の物質に敏感な場合、不純物として存在するか、副産物として生成された水蒸気と反応してしまう。
このような反応が起こるための物質伝達経路に関わり、図3は別の抑制策を用いない場合に反応ガス、若しくは副産ガスがシャワーヘッド側へ逆拡散できることを示している。図3において、細い矢印は反応ガスの集団的な流動、太い矢印は反応ガス、或いは副産物のシャワーヘッドへの逆拡散方向を示す。基板で生成された副産物はシャワーヘッドと基板との間に存在するガス8の領域に逆拡散され、シャワーヘッドと基板との間に存在するガス8はシャワーヘッド側への逆拡散が発生してしまう。このため、図2に示すような従来のシャワーヘッド装置では、たとえいろんな反応ガスがシャワーヘッドの内部で混ぜられて粒子が生成されることを防止することは可能であるが、別の抑制策を講じなければ熱分解、又はその他の化学反応によってシャワーヘッドの出口の周りに所望しない粒子が沈着することが起こり得る。
化学気相蒸着方法によって種々の膜を多様な反応ガスを用いて蒸着しなければならない必要性が増加しているが、従来のシャワーヘッド装置を使用すれば、多様な反応ガスが予期せぬ色々な特性によってシャワーヘッドの内部に所望しない粒子が生成されるか、シャワーヘッドの表面に所望しない粒子が沈着するという問題点がある。
米国公開特許公報第2003−0077388号(“Method and Apparatus for chemical Vapor Deposition Capable of Preventing Contamination and Enhancing Film Growth Rate”、2002年10月9日出願)。 米国特許第6,631,692号 米国特許第6,626,998号
従って、前述した従来の問題点を克服するための本発明の目的は、種々の反応ガスがシャワーヘッドを互いに独立的に通過するようにすることで、シャワーヘッド内部で反応ガスが互いに化学反応を起こして、粒子が生成されることを防止するための技術を提供することである。
本発明の他の目的は、シャワーヘッドの底面から噴射されるパージガスが、シャワーヘッドの底面でパージガスと同時に噴射される反応ガスを取り囲んで同心円状に流れるようにすることにより、パージガスの流動速度のため反応ガスが進行方向の後ろに拡散することを抑制して、シャワーヘッドの出口及びシャワーヘッドの底面に粒子が沈着することを防止するための技術を提供することである。
本発明のさらに他の目的は、基板を取り囲みながら反応室の底に当接している反応ガス封入装置に本発明によるシャワーヘッドを適用することで、反応室の内壁における所望しない粒子の沈着を防止するとともに、反応ガスを基板の周りに閉じ込んで高い蒸着速度を得る技術を提供することである。
前記目的を達成するために、本発明は、膜を蒸着させるために多数の原料ガスをシャワーヘッドを介して基板上に供給する化学気相蒸着方法において、前記シャワーヘッドの底面を前記基板と対向するように所定の距離だけ離隔して配置する離隔段階;前記原料ガスのうち反応ガスを前記シャワーヘッド内に注入するとき、前記シャワーヘッド内の各々の隔室に前記反応ガスのうち一種だけが充填されるように前記シャワーヘッド内の各々の隔室に互いに異なる種類の前記反応ガスを注入し、前記原料ガスの中でパージガスは前記シャワーヘッド内の他の隔室に充填されるように注入する注入段階;及び前記反応ガス及び前記パージガスを前記シャワーヘッドの底面に形成された多数の反応ガス噴射口及び前記反応ガス噴射口の個数より多いパージガス噴射口を介して排出させる排出段階;を含むことを特徴とするシャワーヘッドを用いた化学気相蒸着方法に関する。
また、本発明は、膜を蒸着させるための原料ガスをシャワーヘッドを介して基板上に供給する化学気相蒸着装置において、前記シャワーヘッドは、前記原料ガスのうち反応ガスと同数であり、互いに隔離される内部空間を有し、前記夫々の反応ガスが反応ガス流入ポートを介して内部に流入して前記基板へ供給するための多数の反応ガス流路を底面に備えた多数の反応ガスシャワーヘッドモジュール;及び前記反応ガスシャワーヘッドモジュールの下方に設けられ、前記原料ガスのうちパージガスがパージガス流入ポートを介して内部に流入して前記パージガスのみが充填され、前記反応ガスとは隔離された内部空間を有し、上面にはシール部材を用いて前記反応ガス流路を取り囲んで貫通させる多数の流入口が具備され、下面には多数の反応ガス流路の出口と前記反応ガス流路の出口より小さな直径を有する多数のパージガス出口とが更に形成されるパージガスシャワーヘッドモジュール;を含み、前記各々の反応ガスシャワーヘッドモジュールに具備された各々の反応ガス流路は前記反応ガスシャワーヘッドモジュールより下方にある反応ガスシャワーヘッドモジュールの内部及び前記パージガスシャワーヘッドの内部を貫通することを特徴とするシャワーヘッドを用いた化学気相蒸着装置に関する。
そして、本発明は、膜を蒸着させるための原料ガスをシャワーヘッドを介して基板上に供給する化学気相蒸着装置において、前記シャワーヘッドは、内部空間が多数個の隔室に互いに隔離されて多数の反応ガスが個別的に夫々の隔室ごとに備えられた反応ガス流入ポートを介して流入し、前記夫々の隔室の底面に多数個の反応ガス出口が形成され、前記反応ガス出口に連結されて前記反応ガスを前記基板上に供給するための反応ガス流路を備えた1つの反応ガスシャワーヘッドモジュール;及び前記反応ガスシャワーヘッドモジュールの下方に設けられ、前記原料ガスのうちパージガスがパージガス流入ポートを介して内部に流入して前記パージガスだけが充填され、前記反応ガスとは隔離された内部空間を有し、上面には前記反応ガス流路を密封しながら貫通させる多数の流入口が具備され、下面には多数の反応ガス流路の出口と前記反応ガス流路の出口より小さな直径を有する多数のパージガス出口とが更に形成されるパージガスシャワーヘッドモジュール;を含み、前記反応ガスシャワーヘッドモジュールに具備された夫々の反応ガス流路は前記反応ガスシャワーヘッドモジュールより下方にある前記パージガスシャワーヘッドモジュールの内部を貫通することを特徴とするシャワーヘッドを用いた化学気相蒸着装置に関する。
前述したように、本発明では、種々の反応ガスがシャワーヘッドを互いに独立的に通過するようにすることで互いに混ぜ合わせられることを防止し、シャワーヘッド出口及びシャワーヘッドの底面に粒子沈着を起こすことを防止し、シャワーヘッドのみならず反応室の内壁での所望しない粒子沈着をも防止する技術を提示する。
本発明は種々の反応ガスがシャワーヘッドを互いに独立的に通過するようにすることで、シャワーヘッド内部で反応ガスが互いに混じることを防止する効果がある。
また、本発明はパージガスシャワーヘッドモジュールの底面の出口を介してパージガスが反応ガスを囲んで同心円に流れるようにして反応ガスが進行方向の後ろに拡散されることを抑制し、シャワーヘッドの出口及びシャワーヘッドの底面における粒子沈着を防止する効果がある。
また、本発明は冷却ジャケットを備えたシャワーヘッドを反応ガス封入装置に適用させると、シャワーヘッド内部において粒子沈着を防止する効果がある。
また、本発明はCVD装置で反応室の内壁及びシャワーヘッドの汚染、これによる基板上の蒸着膜の汚染、更に汚染源を取り除くために頻繁に発生する工程中断などの問題を解決する効果がある。
また、本発明は反応ガスを基板上に閉じ込めて、即ち基板の辺りで反応ガスの濃度を高くして基板上における膜蒸着速度が向上する効果がある。
以下、添付図面を参照して本発明による実施形態をより詳細に説明する。
まず、本発明で言及しているパージガス(purge gas)とは、自ら分解されたり、副産物を生成し難い気相の物質であり、例えばAr、N、Hなどと反応には参加するものの自ら分解されたり副産物を生成したりするのは難しいO又はHなどをいう。これらのパージガスは分子量が小さいので、容易に拡散され、真空ポンプの作用による強制循環影響を比較的受け難く、反応室の内部構造物に蒸着、吸着、その他表面反応を起こさない特性を有する。
これに対し、反応ガスというのは、成膜に直接に関与する主原料物だけからなるガス、あるいは主原料物の気化や希釈に使用される運搬ガスと主原料物とが混ぜられている混合ガス、または運搬ガスを用いることなく運搬可能な純粋な蒸気状態の主原料ガスなどをいい、サセプター及び蒸着対象物を含む反応室の内部構造物に蒸着、吸着その他表面反応を起こす特性を有する。主原料ガスには、例えばPZT(Lead Zirconium-Titanate)膜の蒸着に使用される各成分の金属有機化合物であって、Pbの原料物であるPb(C、Zrの原料物であるZr(OC及びTiの原料物であるTi(OCなどが挙げられ、そして運搬ガスにはAr、N、He、Hなどが挙げられる。
図4A乃至図4Eは、本発明による多数の反応ガスシャワーヘッドモジュールと1つのパージガスシャワーヘッドモジュールとからなる第1実施形態のシャワーヘッドを示すもので、図4Aは組立前状態の分解斜視図、図4Bは、組立状態の断面図、図4Cはシャワーヘッドを底面側から見た底面図である。シャワーヘッド100は、反応ガスの個数だけの反応ガスシャワーヘッドモジュール140、240と、1つのパージガスシャワーヘッドモジュール440とから構成される。本発明で反応ガスシャワーヘッドモジュールとは、多数の反応ガスのうちいずれか1つを注入して拡散させるために少なくとも1つ以上のモジュールが組み合わせられた組立体を意味し、本発明の第1実施形態では2つの反応ガスを利用する場合を例えて説明する。
反応ガスは、反応ガスシャワーヘッドモジュール140の入口153を介して反応ガスシャワーヘッドモジュール140の内部に入って、多数の微細な孔を有する多孔性の拡散板157を通過する間、反応ガスシャワーヘッドモジュール140の内部で十分に拡散され、多数の出口143に沿ってそれぞれ均等した流量で反応ガスシャワーヘッドモジュール140を抜ける。そして円形チューブ形態の反応ガス流路144が該出口143に連結されて延び出して、下部の反応ガスシャワーヘッドモジュール240を貫通することになる。このようにそれぞれの反応ガスシャワーヘッドモジュール140、240から延びた円形チューブ形態の多数の反応ガス流路144、244は最後にパージガスシャワーヘッドモジュール440の上面447及び内部を貫通することになる。パージガスは、パージガスシャワーヘッドモジュール440のパージガス入口453を介してパージガスシャワーヘッドモジュール440の内部に入って多孔性の拡散板457を通過する間パージガスシャワーヘッドモジュール440の内部で十分に拡散され、パージガスシャワーヘッドモジュールの底面442に到達するようになる。
一方、パージガスシャワーヘッドモジュールの底面442にはそれぞれ比較的大きな孔を有する反応ガス流路の出口445及び比較的小さな孔を有する出口446が多数個備えられるが、パージガスシャワーヘッドモジュールの上面447及び内部を貫通したチューブ形態の多数のガス流路144、244は、大きな孔を有する反応ガス流路の出口445の内部を通過して反応ガス流路の出口445の開口端領域の一端で終わる。
従って、大きな孔を有する反応ガス流路の出口445の中心領域へは、反応ガスが反応ガス流路144、244の端部から対向する基板に向かって噴射され、反応ガス流路の出口445の周縁部領域、即ち大きな孔を有する反応ガス流路の出口445の内壁と反応ガス流路144、244の外壁との間の隙間にパージガスが噴射されてパージガスは反応ガスを取り囲んで同心円状に流れる。ここで、反応ガス流路144、244の端部が反応ガス流路の出口445の下端部に対して、−3mm〜+3mmの位置に凹設又は凸設された方が反応ガス流路と反応ガス流路の出口の両者とも粒子沈着に因る汚染防止に一層効果的である。
尚、小さな孔を有する出口446からは、パージガスのみが基板側に向かって噴射される。かかるパージガスの作用によって、反応ガスが噴射方向の後ろに拡散されることが抑制され、パージガスシャワーヘッドの底面に所望しない粒子が沈着することが防止される。
図4Dではパージガスシャワーヘッドの底面の辺りでの反応ガス及びパージガスの流れを示し、大きな孔の出口を介して噴射されるパージガスの各流量は小さな孔を有する出口を介して噴射されるパージガスの各流量よりも多かった方がもっと効果的である。パージガスシャワーヘッドモジュール440において、比較的大きな孔を有する反応ガス流路の出口445の配列間隔は横縦10mm程度ならば適当であり、反応ガス流路の出口445の個数は、200mmウェハー用シャワーヘッドには約250個、300mmウェハー用シャワーヘッドでは約500個であれば十分であり、そしてその直径は3.5mm〜5mmが好ましい。
そして、小さな孔を有する出口446は、反応ガス流路の出口445の間に適当な間隔で設置され、その直径は0.8mm〜1.4mmが好ましい。しかし、出口の配列間隔及び孔の数は前記した値に局限されず加工上の難易度、或いは蒸着均一性のような性能仕様によって決められる。
一方、図4Eに示すように、反応ガス流路の出口445にはチューブ形態の出口延長部444を連結して反応ガス流路の出口を基板9側へ適当な距離だけ延長することがより望ましい。具体的に、出口延長部444の端部をパージガスシャワーヘッドモジュールの底面から0〜10mmだけ延長すれば、パージガスシャワーヘッドの底面における粒子沈着に因る汚染を防止するに一層効果的になる。
このようなプロセスにおいて反応ガス流路144が当該反応ガスシャワーヘッドモジュール140の底面142の出口143とシール状態で連結されるためにはレーザー溶接を行うことが望ましく、反応ガス流路144がその下方にあるもう1つの反応ガスシャワーモジュール240の上面247及び底面242、そしてパージガスシャワーヘッドモジュール440の上面447を密封しながら貫通するためには、Oリング276を用いた漏れ防止を施した方が好ましい。ここで、Oリング座275はフライス加工のような機械加工法によって外径はOリング276の外径より略0.2mm〜0.3mm程度大きく、深さはOリングの厚さより0.6mm〜0.9mm程度浅く設けるのが適宜である。
本発明で提示している方法によれば、ボルト501でシャワーヘッドモジュール140、240、440を互いに締める過程において、Oリング276、反応ガス流路144、上部シャワーヘッドモジュール140の底面142のOリング座275及び下部シャワーヘッドモジュール240の上面247とが組み合わせられて漏れ防止の役割を果たし、これによってCVD装置の反応室1の内部及び各シャワーヘッドモジュール140、240、440の内部が互いに隔離できる。
反応ガス流路144に沿って供給される反応ガスは、他の反応ガスシャワーヘッドモジュール240を経て究極的にパージガスシャワーヘッドモジュール440を通過するまでは他の反応ガスやパージガスとは互いに混合されず、パージガスシャワーヘッドモジュール440の底面442と基板9との間で初めて各反応ガスとパージガスとの混合が起こる。かかる過程で夫々の反応ガスシャワーヘッドモジュール内には純粋に当該反応ガスだけが存在し、他の反応ガスはもちろん、パージガスや水分などの不純物は存在しないようになる。更に、パージガスシャワーヘッドモジュール内には純粋にパージガスだけが存在し、他の如何なる反応ガスはもちろん水分などの不純物は存在しないようになる。
ところが、パージガスシャワーヘッドモジュール440の底面442において、出口445の間に連結されて該出口445を基板側に延長させる出口延長部444の形状が前述したような形状、例えば円形の断面を有する形状を少し変更して断面の形状を多角形に形成しても基本的にその構造がパージガスが反応ガスを取り囲みながら流れるようにすれば、その効果はほとんど等しいことと予想されるので、本発明が効力を及ぼす領域は図示した構造のみに局限されるわけではない。
一方、蒸着均一性を最上に達成するためには前記パージガスシャワーヘッドモジュール440の底面442と基板間の距離は所定の距離だけ離れなければならないが、その離隔距離は70mm〜120mmが望ましい。
図5A乃至図5Fは、本発明の第2実施形態を示す。本発明の第2実施形態によるシャワーヘッドは、2つのシャワーヘッドモジュール、つまり一つの反応ガスシャワーヘッドモジュール640と、一つのパージガスシャワーヘッドモジュール740とからなる。夫々の反応ガスはそれぞれの分配ヘッド(図示せず)を介してチューブ形態の多数個の反応ガス供給管(図示せず)に分配され、それぞれのチューブ形態の反応ガス供給管は反応ガスシャワーヘッドモジュール640の上面に具備された多数の流入ポート655に適宜な配列順番で連結される。
各流入ポート655は円筒を放射状の種々の片に仕切ったときに得られる形状の反応ガスシャワーヘッドモジュール内部の隔室656と連結されている。シール材658によってそれぞれ密封、分離される各隔室656内に入った反応ガスは、各隔室656内部の拡散板657を経て隔室656の底面、即ち反応ガスシャワーヘッドモジュールの底面642に至る。反応ガスシャワーヘッドモジュールの底面642には多数の出口643があり、円形チューブ形態の反応ガス流路644が反応ガスシャワーヘッドモジュール640の出口643に連結されて延び出してパージガスシャワーヘッドモジュール740の上面747及び内部を貫通する。パージガスはパージガスシャワーヘッドモジュール740のパージガス入口753を介してパージガスシャワーヘッドモジュール740の内部に入って多孔性の拡散板757を通過する間、パージガスシャワーヘッドモジュール740の内部で十分に拡散され、パージガスシャワーヘッドモジュールの底面742に到達する。パージガスシャワーヘッドモジュールの底面742の構造、反応ガス流路644のパージガスシャワーヘッドモジュールの底面742に連結される方式及び構造、並びにシャワーヘッドの効果については前述した第1実施形態の当該部分に十分に説明されているので、その詳細な説明を省略する。
図5Aに示すように、反応ガスシャワーヘッドモジュール640に具備される隔室656の個数は4つである。そして、隔室656の個数は必要によっていくらでも増加させることができるが、ほぼ24個、つまり15度間隔で配列されていると結構であろう。ここで、反応ガスの数が2つであれば、各反応ガスはそれぞれ12個の隔室656に交互に入り、反応ガスの数が3つであれば、各反応ガスはそれぞれ8個の隔室656に交互に入ることになる。
図5D及び図5Eは、それぞれ扇状の隔室656及び円周方向に次第にシフトされた変形断面(配列の中心に行くほど円周方向に所定の長さだけ段差状に歪曲された断面)を有する他の扇状の隔室656を示しており、シャワーヘッドモジュール640の内部にA、B、C3つの反応ガスが交互に反応ガス流路644を介して流入されることを概念的に示す。
図5Dと図5Eとの相違点について察してみれば、図5Dでは各隔室656が標準扇状の形態に配列、即ち単純に配列の中心方向(半径方向)に配列される。しかし、隔室の底642と通じている反応ガス供給通路644の位置が配列の中心方向を一斉に向かうよりも、図5Eに示すように夫々の隔室656の形状を配列の中心方向に行くほど次第に円周方向にシフトさせて形成した方が、隔室の底642から反応ガス供給通路644の位置を円周方向に少しずつ移動(offset)させて配置することが円周方向への蒸着均一性をより高めることができる。
前述と同様に、図5Dに示すように、隔室656が円周方向に繰り返されることにつれ、(同図において、AとA´、BとB´、CとC´)、隔室の底から、反応ガス供給通路644の位置を配列の中心方向に所定の距離だけ移動(図5FのΔr)して配置することで、反応ガス供給通路644の配列の中心方向への蒸着均一性をより高めることができる。
図6は本発明によって形成されたシャワーヘッド100を反応ガス封入装置900に適用して一緒に使用する第1実施形態を示す。ここで、反応ガス封入装置900とは、反応室1の内壁7と天井から十分な距離だけ離れており、基板9を屋根のあるドームの形態で取り囲みながら先端が反応室の底961と当接しており、その表面に多数の微細孔が穿孔されており、上部の中心部の一部を開放して、本発明によるシャワーヘッド100の周縁部が開放部分に沿って亘るようにして、シャワーヘッド100の底面と基板とが平行に向かい合うようにする装置をいう。
図6に示すように、第1実施形態は反応ガスが反応ガス供給ポート954、分配ヘッド958、反応ガス供給管959を介してシャワーヘッド100に流入し、パージガスはパージガス供給管964を介してシャワーヘッド100に流入する。そして、パージガスが反応室1に連結されているパージガス流入ポート962を介して反応ガス封入装置900の外側に流入して、更に反応ガス封入装置900の表面に穿孔されている通孔901を介して反応ガス封入装置900の内側へ流入する構造を有することにより、反応室1の内壁7はもちろん、反応ガス封入装置900の表面でも所望しない粒子沈着を防止することができるようになる。このため、本発明の第1実施形態による効果として前述したシャワーヘッド100における粒子沈着防止とともに反応室1の内壁7における粒子沈着を防止できるようになる。
また、第1実施形態では反応ガスを基板上に閉じ込めて(confining)、即ち基板の近くで反応ガスの濃度を高くして基板上で膜蒸着の速度を向上させることができる。従って、従来の化学気相蒸着装置で運搬ガスの利用を必要とするバブラー、或いは液体供給システム(Liquid Delivery System)で蒸発器を使用する場合とは異なり、原料物を運搬ガスの助け無しに純粋な蒸気で利用すると、例えば金属有機化合物気相蒸着(MOCVD、Metal Organic Chemical Vapor Deposition)において、原料物である液相の金属有機化合物をほぼ60〜100℃の温度に加熱して純粋な蒸気に切り換えて反応ガスとして用いると、本発明によるシャワーヘッドと従来の反応ガス封入装置(例えば、特許文献1を参照)の作用により基板上で反応ガスを閉じ込めて反応ガスの濃度をより増加させることができるので、膜蒸着速度が増加する効果は一層著しい。
図7は本発明の技術が適用されない従来のCVD装置を示した図であり、従来の反応ガス封入装置(例えば、特許文献1を参照)900の内側に単純に多数の原料物質供給管907の噴射口を設置した構成を示している。
ところが、従来は原料物質供給管907の噴射口部分における汚染防止方法を提示できない(例えば、特許文献1を参照)ことに対し、本発明によるシャワーヘッド技術をCVD装置に用いると、シャワーヘッドはもちろん、反応室内での汚染源発生、これによる基板上の蒸着膜の汚染、及び汚染源を取り除くための頻繁な工程中断などの問題点を解決することができるようになる。
図8は本発明によるシャワーヘッド100を反応ガス封入装置900に適用して一緒に使用する第2実施形態を示す。ここで、反応ガス封入装置900というのは、反応室1の内壁7と天井から所定の距離だけ離れており、基板9を屋根のない円筒965の形態で取り囲みながら一端が反応室の底961と当接しており、その表面に多数の微細孔が穿孔されており、上部には中央部の開放された円板968を載置して円板968の周縁部が反応室の内壁7と当接しており、円板968の中心部に本発明によるシャワーヘッド100の周縁が亘るように、前記シャワーヘッド100の底面と基板9とが平行に向かい合うようにする反応ガス封入装置をいう。
図8に示すように、第2実施形態はパージガスが反応室1に連結されているパージガス流入ポート962と円板968を介して反応ガス封入装置900の外に流入し、反応ガス封入装置900の表面に穿孔されている通路901を介して反応ガス封入装置900の内側に流入する構造によって、反応室の内壁7はもちろん反応ガス封入装置900の表面でも所望しない粒子沈着を防止することになる。これによって、前述したようなシャワーヘッド100での粒子沈着防止とともに反応室1の内壁7での粒子沈着防止を一緒に具現化できるようになる。また、反応ガスを基板上に閉じ込めて、即ち基板の近くにおける反応ガスの濃度を高めて基板上での膜蒸着速度が向上できる。
図9に示すように、本発明による第3実施形態は前記反応ガスシャワーヘッドモジュールと前記パージガスシャワーヘッドモジュールに対して垂直な外壁を円筒形態で密封して囲むようにして、冷却ジャケット3050を設置することができるが、この冷却ジャケット3050はシャワーヘッドの温度を例えば150℃〜200℃に一定に維持するために具備される。
反応室の壁の冷却剤入口ポート(図示せず)及び冷却ジャケット3050の冷却剤入口ポート3054を介して冷却ジャケット3050内に注入された冷却剤は、冷却剤出口ポート3053を介して冷却ジャケット3050を抜け出し、最終的に反応室の壁の冷却剤出口ポート(図示せず)を介して反応室を抜ける。ここで、冷却剤の種類には圧縮空気、冷水など如何なるものを用いても構わないが、冷却ジャケットから漏れないようにすることは絶対的に重要である。シャワーヘッド表面の適当な箇所に熱電対(図示せず)を設置することは温度調節のための通常の方法であるので、これに関連した詳しい説明は省略する。冷却ジャケットを設置する効果は、膜蒸着反復性の増進を図ることに限定されず、シャワーヘッドの内部温度があまり上がり、このため反応ガスが熱分解によりシャワーヘッドの内部で所望しない蒸着を招くことを防止することにも優れている。
以上、本発明を実施形態及び図面に基づいて説明したが、本発明はこれに限定されるわけではなく、本発明の属する技術分野における通常の知識を有する者によって本発明の技術思想及び請求範囲のカテゴリ内で多様な修正及び変形が可能であるのは明らかである。
従来の技術による多孔性の単純な形態野シャワーヘッドを用いるフラット型プラズマCVD装置の反応室を概略的に示す断面図である。 従来の技術によるもので、反応室に流入する反応ガスをその内部で互いに混合せずいろんな出口を介して基板上に一様に噴射する機能のみを備えたシャワーヘッドを概略的に示す断面図である。 別の抑制策を講じなかった場合、反応ガス、或いは副産ガスが従来のシャワーヘッドで逆拡散できることを示す図である。 本発明の第1実施形態であって、複数の反応ガスシャワーヘッドモジュールが垂直方向に積層されて構成される反応ガスシャワーヘッドモジュールとパージガスシャワーヘッドモジュールとからなるシャワーヘッドの組立前の状態を示す分解斜視図である。 本発明の第1実施形態であって、複数の反応ガスシャワーヘッドモジュールが垂直方向に積層されて構成される反応ガスシャワーヘッドモジュールとパージガスシャワーヘッドモジュールとからなるシャワーヘッドの組立状態を示す分解斜視図である。 本発明の第1実施形態であって、複数の反応ガスシャワーヘッドモジュールが垂直方向に積層されて構成される反応ガスシャワーヘッドモジュールとパージガスシャワーヘッドモジュールとからなるシャワーヘッドの組立後、底面側から見た底面図である。 本発明の第1実施形態であって、複数の反応ガスシャワーヘッドモジュールが垂直方向に積層されて構成される反応ガスシャワーヘッドモジュールとパージガスシャワーヘッドモジュールとからなるシャワーヘッドにおいて、反応ガス及びパージガスの流れ方向を示す図である。 本発明の第1実施形態であって、複数の反応ガスシャワーヘッドモジュールが垂直方向に積層されて構成される反応ガスシャワーヘッドモジュールとパージガスシャワーヘッドモジュールとからなるシャワーヘッドにおいて、反応ガス流路の出口を延長したとき、外形及びガス流れ方向を示す図である。 本発明の第2実施形態であって、内部空間が垂直な隔壁で分けられた反応ガスシャワーヘッドモジュールとパージガスシャワーヘッドモジュールとからなるシャワーヘッドの組立前の状態を示す分解斜視図である。 本発明の第2実施形態であって、内部空間が垂直な隔壁で分けられた反応ガスシャワーヘッドモジュールとパージガスシャワーヘッドモジュールとからなるシャワーヘッドの組立状態を示す分解斜視図である。 本発明の第2実施形態であって、内部空間が垂直な隔壁で分けられた反応ガスシャワーヘッドモジュールとパージガスシャワーヘッドモジュールとからなるシャワーヘッドの組立後、底面側から見た底面図である。 本発明の第2実施形態による扇状の隔室を示す図である。 本発明の第2実施形態による自由形状の隔室を示す図である。 本発明の第2実施形態による反応ガス供給通路が半径方向に所定距離だけずれるように配置された自由形状の隔室を示す図である。 本発明の第1実施形態による反応ガス封入装置にシャワーヘッドを適用した構造を示す図である。 本発明の技術が適用されていない従来のCVD装置を示す図である。 本発明の第2実施形態による反応ガス封入装置にシャワーヘッドを適用した構造を示す図である。 本発明の他の実施形態によるシャワーヘッドを取り囲む円筒形の冷却ジャケットを備えた構造を示す図である。
符号の説明
1 反応室
5 排気口
7 反応室の内壁
9 基板
20 従来のシャワーヘッド
30 サセプター
100 シャワーヘッド
140 上部反応ガスシャワーヘッドモジュール
142 上部反応ガスシャワーヘッドモジュールの底面
143 上部反応ガスシャワーヘッドモジュールの底面における出口
144 反応ガス流路
147 上部反応ガスシャワーヘッドモジュールの上面
153 上部反応ガスシャワーヘッドモジュールにおける反応ガス流入ポート
157 流入ポートに入った反応ガスを拡散させるための拡散板
240 反応ガスシャワーヘッドモジュール
253 下部反応ガスシャワーヘッドモジュールにおける反応ガス流入ポート
275 Oリング座
276 Oリング
445 パージガスシャワーヘッド底面に形成された大きなサイズを有する反応ガス流路の出口
446 パージガスシャワーヘッド底面に形成された小さなサイズを有する出口
453 パージガスシャワーヘッドモジュールにおけるパージガス流入ポート
644 反応ガス供給通路
655 反応ガスシャワーヘッドモジュールの上面における反応ガス流入ポート
656 反応ガスシャワーヘッドモジュールを構成する個々の隔室
657 反応ガスシャワーヘッドモジュール内部の拡散板
900 反応ガス封入装置
901 反応ガス封入装置の表面に穿孔されている多数の通孔

Claims (23)

  1. 膜を蒸着させるために多数の原料ガスをシャワーヘッドを介して基板上に供給する化学気相蒸着方法において、
    前記シャワーヘッドの底面を前記基板と対向するように所定の距離だけ離隔して配置する離隔段階;
    前記原料ガスのうち反応ガスを前記シャワーヘッド内に注入するとき、前記シャワーヘッド内の各々の隔室に前記反応ガスのうち一種だけが充填されるように前記シャワーヘッド内の各々の隔室に互いに異なる種類の前記反応ガスを注入し、前記原料ガスの中でパージガスは前記シャワーヘッド内の他の隔室に充填されるように注入する注入段階;及び
    前記反応ガス及び前記パージガスを前記シャワーヘッドの底面に形成された多数の反応ガス噴射口及び前記反応ガス噴射口の個数より多いパージガス噴射口を介して排出させる排出段階;を含むことを特徴とする
    シャワーヘッドを用いた化学気相蒸着方法。
  2. 前記反応ガスは、相異なる前記反応ガス噴射口を介して抜け、前記反応ガス噴射口はそれぞれ前記パージガス噴射口の一部に含まれて前記反応ガスを排出することを特徴とする
    請求項1に記載の化学気相蒸着方法。
  3. 前記排出段階において、前記反応ガス噴射口から噴射される前記反応ガスの流れが前記パージガス噴射口から噴射される前記パージガスの流れによって取り囲まれた状態で噴出され、前記反応ガス噴射口を含まない前記パージガス噴射口を介しては前記パージガスだけを排出することを特徴とする
    請求項1又は2に記載の化学気相蒸着方法。
  4. 前記パージガスは、Ar、N、He、H及びOからなる群より選択されるいずれか1つ以上のガスであることを特徴とする
    請求項1から3のいずれか一項に記載の化学気相蒸着方法。
  5. 前記反応ガスは、液相の金属有機化合物を加熱して純粋な蒸気に変換されたガスであることを特徴とする
    請求項1から4のいずれか一項に記載の化学気相蒸着方法。
  6. 前記反応ガスは、運搬ガスを用いて液相の原料物を蒸発器から気化させて前記運搬ガスと混合されたガスであることを特徴とする
    請求項1から4のいずれか一項に記載の化学気相蒸着方法。
  7. 前記シャワーヘッドを取り囲む冷却ジャケットに冷却剤を注入して前記シャワーヘッドを冷却する冷却段階を更に含むことを特徴とする
    請求項1から6のいずれか一項に記載の化学気相蒸着方法。
  8. 膜を蒸着させるための原料ガスをシャワーヘッドを介して基板上に供給する化学気相蒸着装置において、
    前記シャワーヘッドは、前記原料ガスのうち反応ガスと同数であり、互いに隔離される内部空間を有し、前記夫々の反応ガスが反応ガス流入ポートを介して内部に流入して前記基板へ供給するための多数の反応ガス流路を底面に備えた多数の反応ガスシャワーヘッドモジュール;及び
    前記反応ガスシャワーヘッドモジュールの下方に設けられ、前記原料ガスのうちパージガスがパージガス流入ポートを介して内部に流入して前記パージガスのみが充填され、前記反応ガスとは隔離された内部空間を有し、上面にはシール部材を用いて前記反応ガス流路を取り囲んで貫通させる多数の流入口が具備され、下面には多数の反応ガス流路の出口と前記反応ガス流路の出口より小さな直径を有する多数のパージガス出口とが更に形成されるパージガスシャワーヘッドモジュール;を含み、
    前記各々の反応ガスシャワーヘッドモジュールに具備された各々の反応ガス流路は前記反応ガスシャワーヘッドモジュールより下方にある反応ガスシャワーヘッドモジュールの内部及び前記パージガスシャワーヘッドの内部を貫通することを特徴とする
    シャワーヘッドを用いた化学気相蒸着装置。
  9. 前記反応ガスシャワーヘッドモジュールの各々の内部には多孔性の拡散板を更に備えることを特徴とする
    請求項8に記載の化学気相蒸着装置。
  10. 膜を蒸着させるための原料ガスをシャワーヘッドを介して基板上に供給する化学気相蒸着装置において、
    前記シャワーヘッドは、内部空間が多数個の隔室に互いに隔離されて多数の反応ガスが個別的に夫々の隔室ごとに備えられた反応ガス流入ポートを介して流入し、前記夫々の隔室の底面に多数個の反応ガス出口が形成され、前記反応ガス出口に連結されて前記反応ガスを前記基板上に供給するための反応ガス流路を備えた1つの反応ガスシャワーヘッドモジュール;及び
    前記反応ガスシャワーヘッドモジュールの下方に設けられ、前記原料ガスのうちパージガスがパージガス流入ポートを介して内部に流入して前記パージガスだけが充填され、前記反応ガスとは隔離された内部空間を有し、上面には前記反応ガス流路を密封しながら貫通させる多数の流入口が具備され、下面には多数の反応ガス流路の出口と前記反応ガス流路の出口より小さな直径を有する多数のパージガス出口とが更に形成されるパージガスシャワーヘッドモジュール;を含み、
    前記反応ガスシャワーヘッドモジュールに具備された夫々の反応ガス流路は前記反応ガスシャワーヘッドモジュールより下方にある前記パージガスシャワーヘッドモジュールの内部を貫通することを特徴とする
    シャワーヘッドを備えた化学気相蒸着装置。
  11. 前記隔室の内部には多孔性の拡散板を更に備えることを特徴とする
    請求項10に記載の化学気相蒸着装置。
  12. 前記隔室は、前記反応気体シャワーヘッドモジュールの内部において円周方向に多数配列され、前記それぞれの隔室は、扇状、すなわち配列の中心に行くほどその幅が狭まる形状を呈し、前記反応ガス供給通路は、前記隔室の半径方向に所定距離毎、ずれるように備えられる(offset)ことを特徴とする
    請求項10又は11に記載の化学気相蒸着装置。
  13. 前記隔室は、前記反応気体シャワーヘッドモジュールの内部において円周方向に多数配列され、前記それぞれの隔室は、扇状、すなわち配列の中心に行くほど円周方向に所定の長さだけ段差状に歪曲された断面を有し、前記反応ガス供給通路は、前記隔室が円周方向に繰り返されることにつれ、配列の中心方向に不規則的に備えられる(offset)ことを特徴とする
    請求項10又は11に記載の化学気相蒸着装置。
  14. 前記パージガス出口は、直径が0.8mm〜1.4mmであり、前記反応ガス流路の出口は直径が3.5mm〜5mmであり、前記パージガス出口からはパージガスだけが噴射され、前記反応ガス流路の出口からは前記反応ガス流路の下端部を取り囲みながらパージガスが噴射されることを特徴とする
    請求項8から13のいずれか一項に記載の化学気相蒸着装置。
  15. 前記パージガスは、Ar、N、He、H及びOからなる群より選択されるいずれか1つ以上のガスであることを特徴とする
    請求項8から14のいずれか一項に記載の化学気相蒸着装置。
  16. 前記反応ガスは、液相の金属有機化合物を加熱して純粋な蒸気に変換されたガスであることを特徴とする
    請求項8から15のいずれか一項に記載の化学気相蒸着装置。
  17. 前記反応ガスは、運搬ガスを用いて液相の原料物を蒸発器から気化させて前記運搬ガスと混合されたガスであることを特徴とする
    請求項8から15のいずれか一項に記載の化学気相蒸着装置。
  18. 前記基板と前記パージガスシャワーヘッドモジュールとの間の離隔距離は70〜120mmであることを特徴とする
    請求項8から17のいずれか一項に記載の化学気相蒸着装置。
  19. 前記シャワーヘッドを取り囲みながら前記シャワーヘッドを冷却剤を用いて冷却する冷却ジャケットを更に含むことを特徴とする
    請求項8から18のいずれか一項に記載の化学気相蒸着装置。
  20. 前記パージガスシャワーヘッドモジュールの下面において、前記反応ガス流路の出口が前記下面から10mm以内に前記基板側に延設されていることを特徴とする
    請求項8から19のいずれか一項に記載の化学気相蒸着装置。
  21. 前記パージガスシャワーヘッドモジュールの下面において、前記反応ガス流路は前記反応ガス流路の出口の下端部に対して−3mm乃至+3mmの位置に凹設又は凸設されていることを特徴とする
    請求項8から19のいずれか一項に記載の化学気相蒸着装置。
  22. 反応室の内壁と天井から十分な距離だけ離れて、前記反応室の内部に位置しており、前記基板をドームの形態で取り囲みながら下端部が反応室の底と当接しており、その表面に多数の微細孔が穿孔されている反応ガス封入装置;
    前記パージガスが、外部から前記反応室と前記反応ガス封入装置との間の空間へ供給できるように、前記反応室に形成されるパージガス流入ポート;及び
    副産物を排出するために、前記反応ガス封入装置の内部に設けられる排気口;を更に含み、
    前記反応ガス封入装置に前記シャワーヘッドが設けられるが、
    前記シャワーヘッドの周縁部が、前記反応ガス封入装置の上面の中心部に形成された開放領域に沿って亘るようにして、前記シャワーヘッドの底面と基板とが向かい合うように設けられることを特徴とする
    請求項8〜21のいずれか一項に記載の化学気相蒸着装置。
  23. 反応室の内壁と天井から十分な距離だけ離れて、前記反応室の内部に位置しており、前記基板をドームの形態で取り囲みながら下端部が反応室の底と当接しており、その表面に多数の微細孔が穿孔されている円筒形ボディと、前記円筒形ボディの上端部に設けられ、周縁部が前記反応室の内壁と当接しており、中央部の開放された円板とを含んでなる反応ガス封入装置;
    前記パージガスが、外部から前記反応室と前記反応ガス封入装置との間の空間へ供給できるように、前記反応室に形成されるパージガス流入ポート;及び
    副産物を排出するために、前記反応ガス封入装置の内部に設けられる排気口;を更に含み、
    前記反応ガス封入装置に前記シャワーヘッドが設けられるが、
    前記シャワーヘッドの周縁部が、前記反応ガス封入装置の上面の中心部に形成された開放領域に沿って亘るようにして、前記シャワーヘッドの底面と基板とが向かい合うように設けられることを特徴とする
    請求項8〜21のいずれか一項に記載の化学気相蒸着装置。
JP2006139817A 2005-05-19 2006-05-19 シャワーヘッドを用いた化学気相蒸着方法及びその装置 Active JP4630226B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
KR20050041910 2005-05-19
KR1020060027444A KR100731164B1 (ko) 2005-05-19 2006-03-27 샤워헤드를 구비한 화학기상 증착 방법 및 장치

Publications (2)

Publication Number Publication Date
JP2006322074A true JP2006322074A (ja) 2006-11-30
JP4630226B2 JP4630226B2 (ja) 2011-02-09

Family

ID=37431422

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2006139817A Active JP4630226B2 (ja) 2005-05-19 2006-05-19 シャワーヘッドを用いた化学気相蒸着方法及びその装置

Country Status (4)

Country Link
US (2) US7479303B2 (ja)
JP (1) JP4630226B2 (ja)
KR (1) KR100731164B1 (ja)
WO (1) WO2006123870A1 (ja)

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010027868A (ja) * 2008-07-18 2010-02-04 Toshiba Corp 気相成長装置及び気相成長方法
JP2010084190A (ja) * 2008-09-30 2010-04-15 Sharp Corp 気相成長装置および気相成長方法
WO2018047440A1 (ja) * 2016-09-12 2018-03-15 株式会社東芝 流路構造及び処理装置
JP2018101721A (ja) * 2016-12-21 2018-06-28 株式会社ニューフレアテクノロジー 気相成長方法
JP2018160462A (ja) * 2013-02-15 2018-10-11 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated 温度制御機能を備えるマルチプレナムシャワーヘッド
JP2019153630A (ja) * 2018-03-01 2019-09-12 株式会社ニューフレアテクノロジー 気相成長方法
US10494717B2 (en) 2015-05-26 2019-12-03 Lam Research Corporation Anti-transient showerhead
US11053587B2 (en) 2012-12-21 2021-07-06 Novellus Systems, Inc. Radical source design for remote plasma atomic layer deposition
US11608559B2 (en) 2016-12-14 2023-03-21 Lam Research Corporation Integrated showerhead with thermal control for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition

Families Citing this family (483)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6502530B1 (en) * 2000-04-26 2003-01-07 Unaxis Balzers Aktiengesellschaft Design of gas injection for the electrode in a capacitively coupled RF plasma reactor
WO2003034477A1 (en) * 2001-10-18 2003-04-24 Chul Soo Byun Method and apparatus for chemical vapor ddeposition capable of preventing contamination and enhancing film growth rate
KR100634451B1 (ko) * 2005-01-10 2006-10-16 삼성전자주식회사 반도체 소자 제조 장치
KR100731164B1 (ko) * 2005-05-19 2007-06-20 주식회사 피에조닉스 샤워헤드를 구비한 화학기상 증착 방법 및 장치
JP2007191792A (ja) * 2006-01-19 2007-08-02 Atto Co Ltd ガス分離型シャワーヘッド
US7771194B2 (en) * 2006-05-26 2010-08-10 Honeywell International Inc. Gas preheater for chemical vapor processing furnace having circuitous passages
US20070281106A1 (en) * 2006-05-30 2007-12-06 Applied Materials, Inc. Process chamber for dielectric gapfill
KR100849929B1 (ko) * 2006-09-16 2008-08-26 주식회사 피에조닉스 반응 기체의 분사 속도를 적극적으로 조절하는 샤워헤드를구비한 화학기상 증착 방법 및 장치
US7776178B2 (en) * 2006-10-25 2010-08-17 Applied Materials, Inc. Suspension for showerhead in process chamber
US7674352B2 (en) * 2006-11-28 2010-03-09 Applied Materials, Inc. System and method for depositing a gaseous mixture onto a substrate surface using a showerhead apparatus
KR100780234B1 (ko) * 2006-12-05 2007-11-27 동부일렉트로닉스 주식회사 화학적 기상 증착공정의 프로세스 챔버
US7789961B2 (en) * 2007-01-08 2010-09-07 Eastman Kodak Company Delivery device comprising gas diffuser for thin film deposition
WO2008088743A1 (en) * 2007-01-12 2008-07-24 Veeco Instruments Inc. Gas treatment systems
KR100923453B1 (ko) * 2007-09-21 2009-10-27 주식회사 피에조닉스 샤워헤드를 구비한 반도체 소자 제조 장비
US7976631B2 (en) 2007-10-16 2011-07-12 Applied Materials, Inc. Multi-gas straight channel showerhead
US8668775B2 (en) * 2007-10-31 2014-03-11 Toshiba Techno Center Inc. Machine CVD shower head
KR100975850B1 (ko) * 2007-11-07 2010-08-13 주식회사 디엠에스 탄소나노튜브 제조용 샤워헤드장치
US8298338B2 (en) * 2007-12-26 2012-10-30 Samsung Electronics Co., Ltd. Chemical vapor deposition apparatus
US8033001B2 (en) * 2007-12-31 2011-10-11 Texas Instruments Incorporated CVD showerhead alignment apparatus
TWI498988B (zh) * 2008-02-20 2015-09-01 Tokyo Electron Ltd A gas supply device, a film forming apparatus, and a film forming method
JP5179389B2 (ja) 2008-03-19 2013-04-10 東京エレクトロン株式会社 シャワーヘッド及び基板処理装置
KR101004822B1 (ko) 2008-04-18 2010-12-28 삼성엘이디 주식회사 화학 기상 증착 장치
KR100982987B1 (ko) * 2008-04-18 2010-09-17 삼성엘이디 주식회사 화학 기상 증착 장치
KR101004927B1 (ko) * 2008-04-24 2010-12-29 삼성엘이디 주식회사 Cvd용 샤워 헤드 및 이를 구비하는 화학 기상 증착 장치
US20100212591A1 (en) * 2008-05-30 2010-08-26 Alta Devices, Inc. Reactor lid assembly for vapor deposition
KR20100015213A (ko) * 2008-08-04 2010-02-12 삼성전기주식회사 Cvd용 샤워 헤드 및 이를 구비하는 화학 기상 증착 장치
US8071165B2 (en) * 2008-08-08 2011-12-06 International Solar Electric Technology, Inc. Chemical vapor deposition method and system for semiconductor devices
WO2010019008A2 (en) * 2008-08-13 2010-02-18 Synos Technology, Inc. Vapor deposition reactor
US20110239940A1 (en) * 2008-10-08 2011-10-06 Giacomo Benvenuti Vapor phase deposition system
TW201030178A (en) * 2008-10-10 2010-08-16 Alta Devices Inc Concentric showerhead for vapor deposition
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
TWI437622B (zh) * 2008-11-26 2014-05-11 Ind Tech Res Inst 氣體噴灑模組
TW201038764A (en) * 2009-03-16 2010-11-01 Alta Devices Inc Reactor lid assembly for vapor deposition
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
KR101064210B1 (ko) 2009-06-01 2011-09-14 한국생산기술연구원 막증착 진공장비용 샤워헤드
US8980382B2 (en) 2009-12-02 2015-03-17 Applied Materials, Inc. Oxygen-doping for non-carbon radical-component CVD films
US8741788B2 (en) 2009-08-06 2014-06-03 Applied Materials, Inc. Formation of silicon oxide using non-carbon flowable CVD processes
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
KR101162055B1 (ko) * 2009-09-14 2012-07-03 엘아이지에이디피 주식회사 가스 분사장치 및 이를 이용한 샤워 헤드
US8216640B2 (en) * 2009-09-25 2012-07-10 Hermes-Epitek Corporation Method of making showerhead for semiconductor processing apparatus
US9449859B2 (en) * 2009-10-09 2016-09-20 Applied Materials, Inc. Multi-gas centrally cooled showerhead design
JP2013516763A (ja) 2009-12-30 2013-05-13 アプライド マテリアルズ インコーポレイテッド フレキシブルな窒素/水素比を使用して生成されるラジカルを用いる誘電体膜成長
KR100972802B1 (ko) * 2010-02-19 2010-07-29 한국생산기술연구원 샤워헤드를 구비한 반도체 소자 제조 장비
CN102844848A (zh) 2010-03-05 2012-12-26 应用材料公司 通过自由基成分化学气相沉积的共形层
US9285168B2 (en) 2010-10-05 2016-03-15 Applied Materials, Inc. Module for ozone cure and post-cure moisture treatment
US8664127B2 (en) 2010-10-15 2014-03-04 Applied Materials, Inc. Two silicon-containing precursors for gapfill enhancing dielectric liner
KR20120043636A (ko) * 2010-10-26 2012-05-04 가부시키가이샤 한도오따이 에네루기 켄큐쇼 플라즈마 처리 장치 및 플라즈마 cvd 장치
DE112011104446B4 (de) 2010-12-20 2023-06-22 Samsung Electronics Co., Ltd. Chemische Gasphasenabscheidungs-Vorrichtung und Verfahren zum Herstellen von lichtemittierenden Vorrichtungen mit derselben
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8716154B2 (en) 2011-03-04 2014-05-06 Applied Materials, Inc. Reduced pattern loading using silicon oxide multi-layers
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
DE102011056589A1 (de) 2011-07-12 2013-01-17 Aixtron Se Gaseinlassorgan eines CVD-Reaktors
US9404178B2 (en) 2011-07-15 2016-08-02 Applied Materials, Inc. Surface treatment and deposition for reduced outgassing
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
TWI505400B (zh) * 2011-08-26 2015-10-21 Lg Siltron Inc 基座
TW201311926A (zh) * 2011-09-05 2013-03-16 Sfa Engineering Corp 用於平面顯示器之化學沉積裝置
KR101297375B1 (ko) * 2011-09-05 2013-08-19 주식회사 에스에프에이 평면디스플레이용 화학 기상 증착장치
KR101282158B1 (ko) * 2011-09-16 2013-07-04 엘아이지에이디피 주식회사 샤워헤드 및 이의 제조 방법
US8617989B2 (en) 2011-09-26 2013-12-31 Applied Materials, Inc. Liner property improvement
US8551891B2 (en) 2011-10-04 2013-10-08 Applied Materials, Inc. Remote plasma burn-in
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8960235B2 (en) 2011-10-28 2015-02-24 Applied Materials, Inc. Gas dispersion apparatus
US8900364B2 (en) * 2011-11-29 2014-12-02 Intermolecular, Inc. High productivity vapor processing system
US8916480B2 (en) * 2011-12-07 2014-12-23 Taiwan Semiconductor Manufacturing Company, Ltd. Chemical vapor deposition film profile uniformity control
JP6038618B2 (ja) * 2011-12-15 2016-12-07 株式会社ニューフレアテクノロジー 成膜装置および成膜方法
WO2013148446A1 (en) 2012-03-29 2013-10-03 Synos Technology, Inc. Scanning injector assembly module for processing substrate
EP3792010B1 (en) 2012-06-12 2024-05-22 Snap-On Incorporated Tool training for automated tool control systems
US9447499B2 (en) 2012-06-22 2016-09-20 Novellus Systems, Inc. Dual plenum, axi-symmetric showerhead with edge-to-center gas delivery
US9388494B2 (en) 2012-06-25 2016-07-12 Novellus Systems, Inc. Suppression of parasitic deposition in a substrate processing system by suppressing precursor flow and plasma outside of substrate region
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US8889566B2 (en) 2012-09-11 2014-11-18 Applied Materials, Inc. Low cost flowable dielectric films
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
CN103774115B (zh) * 2012-10-17 2017-12-29 理想能源设备(上海)有限公司 化学气相沉积装置
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9399228B2 (en) 2013-02-06 2016-07-26 Novellus Systems, Inc. Method and apparatus for purging and plasma suppression in a process chamber
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
WO2014197396A1 (en) * 2013-06-03 2014-12-11 Ultratech, Inc. Gas deposition head for spatial ald
JP6199619B2 (ja) * 2013-06-13 2017-09-20 株式会社ニューフレアテクノロジー 気相成長装置
JP6157942B2 (ja) * 2013-06-13 2017-07-05 株式会社ニューフレアテクノロジー 気相成長装置および気相成長方法
US9677176B2 (en) * 2013-07-03 2017-06-13 Novellus Systems, Inc. Multi-plenum, dual-temperature showerhead
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
TWI480415B (zh) * 2013-11-27 2015-04-11 Ind Tech Res Inst 多模式薄膜沉積設備以及薄膜沉積方法
KR101560623B1 (ko) * 2014-01-03 2015-10-15 주식회사 유진테크 기판처리장치 및 기판처리방법
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
WO2015142589A1 (en) * 2014-03-15 2015-09-24 Veeco Ald Inc. Cleaning of deposition device by injecting cleaning gas into deposition device
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
CN105200396A (zh) * 2014-06-18 2015-12-30 中微半导体设备(上海)有限公司 一种mocvd设备及其中寄生颗粒的清除方法
US9412581B2 (en) 2014-07-16 2016-08-09 Applied Materials, Inc. Low-K dielectric gapfill by flowable deposition
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US20160362782A1 (en) * 2015-06-15 2016-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Gas dispenser and deposition apparatus using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
CN109312461B (zh) * 2016-03-03 2021-07-13 核心技术株式会社 等离子体处理装置和等离子体处理用反应容器的构造
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US9758868B1 (en) 2016-03-10 2017-09-12 Lam Research Corporation Plasma suppression behind a showerhead through the use of increased pressure
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
KR102303066B1 (ko) * 2016-06-03 2021-09-16 어플라이드 머티어리얼스, 인코포레이티드 챔버 내부의 유동을 확산시키는 것에 의한 더 낮은 입자 수 및 더 양호한 웨이퍼 품질을 위한 효과적이고 새로운 설계
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
KR102096700B1 (ko) 2017-03-29 2020-04-02 도쿄엘렉트론가부시키가이샤 기판 처리 장치 및 기판 처리 방법
JP7035581B2 (ja) * 2017-03-29 2022-03-15 東京エレクトロン株式会社 基板処理装置及び基板処理方法。
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10424487B2 (en) 2017-10-24 2019-09-24 Applied Materials, Inc. Atomic layer etching processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
WO2019113478A1 (en) * 2017-12-08 2019-06-13 Lam Research Corporation Integrated showerhead with improved hole pattern for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102657269B1 (ko) 2018-02-14 2024-04-16 에이에스엠 아이피 홀딩 비.브이. 주기적 증착 공정에 의해 기판 상에 루테늄-함유 막을 증착하는 방법
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10943768B2 (en) * 2018-04-20 2021-03-09 Applied Materials, Inc. Modular high-frequency source with integrated gas distribution
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102576220B1 (ko) * 2018-06-22 2023-09-07 삼성디스플레이 주식회사 박막 처리 장치 및 박막 처리 방법
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
TWI819010B (zh) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
JP6575641B1 (ja) * 2018-06-28 2019-09-18 株式会社明電舎 シャワーヘッドおよび処理装置
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
TWI751420B (zh) 2018-06-29 2022-01-01 荷蘭商Asm知識產權私人控股有限公司 薄膜沉積方法
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) * 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
CN111270221B (zh) * 2020-04-03 2022-07-22 北京北方华创微电子装备有限公司 半导体设备中的气体分配器和半导体设备
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000144432A (ja) * 1998-11-04 2000-05-26 Ebara Corp ガス噴射ヘッド
WO2005041285A1 (ja) * 2003-10-23 2005-05-06 Tokyo Electron Limited シャワーヘッド及びこれを用いた成膜装置

Family Cites Families (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2969596B2 (ja) * 1989-10-06 1999-11-02 アネルバ株式会社 Cvd装置
US5556476A (en) * 1994-02-23 1996-09-17 Applied Materials, Inc. Controlling edge deposition on semiconductor substrates
JP3360098B2 (ja) * 1995-04-20 2002-12-24 東京エレクトロン株式会社 処理装置のシャワーヘッド構造
JPH0945624A (ja) * 1995-07-27 1997-02-14 Tokyo Electron Ltd 枚葉式の熱処理装置
US6143081A (en) * 1996-07-12 2000-11-07 Tokyo Electron Limited Film forming apparatus and method, and film modifying apparatus and method
GB9712400D0 (en) * 1997-06-16 1997-08-13 Trikon Equip Ltd Shower head
US6143082A (en) * 1998-10-08 2000-11-07 Novellus Systems, Inc. Isolation of incompatible processes in a multi-station processing chamber
KR100331544B1 (ko) * 1999-01-18 2002-04-06 윤종용 반응챔버에 가스를 유입하는 방법 및 이에 사용되는 샤워헤드
JP3595853B2 (ja) 1999-03-18 2004-12-02 日本エー・エス・エム株式会社 プラズマcvd成膜装置
JP2000290777A (ja) * 1999-04-07 2000-10-17 Tokyo Electron Ltd ガス処理装置、バッフル部材、及びガス処理方法
US6206972B1 (en) 1999-07-08 2001-03-27 Genus, Inc. Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes
US6623656B2 (en) * 1999-10-07 2003-09-23 Advanced Technology Materials, Inc. Source reagent composition for CVD formation of Zr/Hf doped gate dielectric and high dielectric constant metal oxide thin films and method of using same
KR100378871B1 (ko) * 2000-02-16 2003-04-07 주식회사 아펙스 라디칼 증착을 위한 샤워헤드장치
JP3905678B2 (ja) * 2000-02-28 2007-04-18 株式会社堀場製作所 薄膜堆積方法とその装置および薄膜堆積方法に用いるftirガス分析計並びに薄膜堆積方法に用いる混合ガス供給装置
US6221166B1 (en) * 2000-06-07 2001-04-24 Simplus Systems Corporation Multi-thermal zone shielding apparatus
KR100406174B1 (ko) * 2000-06-15 2003-11-19 주식회사 하이닉스반도체 화학적 강화 화학 기상 증착 장비에 사용되는 샤워 헤드
WO2002045561A2 (en) * 2000-11-20 2002-06-13 Applied Epi, Inc. Surface sealing showerhead for vapor deposition reactor having integrated flow diverters
US6886491B2 (en) 2001-03-19 2005-05-03 Apex Co. Ltd. Plasma chemical vapor deposition apparatus
WO2003034477A1 (en) * 2001-10-18 2003-04-24 Chul Soo Byun Method and apparatus for chemical vapor ddeposition capable of preventing contamination and enhancing film growth rate
JP4121269B2 (ja) * 2001-11-27 2008-07-23 日本エー・エス・エム株式会社 セルフクリーニングを実行するプラズマcvd装置及び方法
US7229666B2 (en) * 2002-01-22 2007-06-12 Micron Technology, Inc. Chemical vapor deposition method
US7018940B2 (en) 2002-12-30 2006-03-28 Genus, Inc. Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes
JP4513329B2 (ja) * 2004-01-16 2010-07-28 東京エレクトロン株式会社 処理装置
JP4542807B2 (ja) * 2004-03-31 2010-09-15 東京エレクトロン株式会社 成膜方法および成膜装置、ならびにゲート絶縁膜の形成方法
KR101309334B1 (ko) * 2004-08-02 2013-09-16 비코 인스트루먼츠 인코포레이티드 화학적 기상 증착 반응기용 멀티 가스 분배 인젝터
KR100685823B1 (ko) * 2005-01-31 2007-02-22 삼성에스디아이 주식회사 증착 방법
KR100731164B1 (ko) 2005-05-19 2007-06-20 주식회사 피에조닉스 샤워헤드를 구비한 화학기상 증착 방법 및 장치

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000144432A (ja) * 1998-11-04 2000-05-26 Ebara Corp ガス噴射ヘッド
WO2005041285A1 (ja) * 2003-10-23 2005-05-06 Tokyo Electron Limited シャワーヘッド及びこれを用いた成膜装置

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010027868A (ja) * 2008-07-18 2010-02-04 Toshiba Corp 気相成長装置及び気相成長方法
JP2010084190A (ja) * 2008-09-30 2010-04-15 Sharp Corp 気相成長装置および気相成長方法
US11053587B2 (en) 2012-12-21 2021-07-06 Novellus Systems, Inc. Radical source design for remote plasma atomic layer deposition
JP2018160462A (ja) * 2013-02-15 2018-10-11 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated 温度制御機能を備えるマルチプレナムシャワーヘッド
US10494717B2 (en) 2015-05-26 2019-12-03 Lam Research Corporation Anti-transient showerhead
WO2018047440A1 (ja) * 2016-09-12 2018-03-15 株式会社東芝 流路構造及び処理装置
US11608559B2 (en) 2016-12-14 2023-03-21 Lam Research Corporation Integrated showerhead with thermal control for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition
US12000047B2 (en) 2016-12-14 2024-06-04 Lam Research Corporation Integrated showerhead with thermal control for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition
JP2018101721A (ja) * 2016-12-21 2018-06-28 株式会社ニューフレアテクノロジー 気相成長方法
JP2019153630A (ja) * 2018-03-01 2019-09-12 株式会社ニューフレアテクノロジー 気相成長方法
JP7180984B2 (ja) 2018-03-01 2022-11-30 株式会社ニューフレアテクノロジー 気相成長方法

Also Published As

Publication number Publication date
KR20060120402A (ko) 2006-11-27
US20090178616A1 (en) 2009-07-16
US7479303B2 (en) 2009-01-20
JP4630226B2 (ja) 2011-02-09
US20060263522A1 (en) 2006-11-23
US8298370B2 (en) 2012-10-30
WO2006123870A1 (en) 2006-11-23
KR100731164B1 (ko) 2007-06-20

Similar Documents

Publication Publication Date Title
JP4630226B2 (ja) シャワーヘッドを用いた化学気相蒸着方法及びその装置
JP5738349B2 (ja) 反応気体の噴射速度を積極的に調節するシャワーヘッドを備えた化学気相蒸着装置およびその方法
US20200149166A1 (en) Flow control features of cvd chambers
JP5519105B2 (ja) 化学気相成長の方法及び化学気相成長リアクタ用のガス供給システム
US7918938B2 (en) High temperature ALD inlet manifold
US8465801B2 (en) Gas mixer and manifold assembly for ALD reactor
US7622005B2 (en) Uniformity control for low flow process and chamber to chamber matching
US20100263588A1 (en) Methods and apparatus for epitaxial growth of semiconductor materials
KR100972801B1 (ko) 샤워헤드를 구비한 반도체 소자 제조 장비
WO2008032910A1 (en) Apparatus of chemical vapor deposition with a showerhead regulating injection velocity of reactive gases positively and method thereof
CN112242324A (zh) 用于半导体处理系统的喷淋头装置
JP6629248B2 (ja) エピタキシャルチャンバへのガス注入装置
KR100972802B1 (ko) 샤워헤드를 구비한 반도체 소자 제조 장비

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20060925

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20081216

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20091208

RD02 Notification of acceptance of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7422

Effective date: 20100118

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20100118

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20100305

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20100310

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100406

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100420

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100623

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100921

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20101013

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20101112

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20131119

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

Ref document number: 4630226

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20131119

Year of fee payment: 3

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313114

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20131119

Year of fee payment: 3

R360 Written notification for declining of transfer of rights

Free format text: JAPANESE INTERMEDIATE CODE: R360

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20131119

Year of fee payment: 3

R360 Written notification for declining of transfer of rights

Free format text: JAPANESE INTERMEDIATE CODE: R360

R371 Transfer withdrawn

Free format text: JAPANESE INTERMEDIATE CODE: R371

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20131119

Year of fee payment: 3

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313114

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20131119

Year of fee payment: 3

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250