KR20060120402A - 샤워헤드를 구비한 화학기상 증착 방법 및 장치 - Google Patents

샤워헤드를 구비한 화학기상 증착 방법 및 장치 Download PDF

Info

Publication number
KR20060120402A
KR20060120402A KR1020060027444A KR20060027444A KR20060120402A KR 20060120402 A KR20060120402 A KR 20060120402A KR 1020060027444 A KR1020060027444 A KR 1020060027444A KR 20060027444 A KR20060027444 A KR 20060027444A KR 20060120402 A KR20060120402 A KR 20060120402A
Authority
KR
South Korea
Prior art keywords
gas
showerhead
reaction gas
reaction
shower head
Prior art date
Application number
KR1020060027444A
Other languages
English (en)
Other versions
KR100731164B1 (ko
Inventor
변철수
Original Assignee
주식회사 피에조닉스
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 주식회사 피에조닉스 filed Critical 주식회사 피에조닉스
Priority to KR1020060027444A priority Critical patent/KR100731164B1/ko
Priority to PCT/KR2006/001760 priority patent/WO2006123870A1/en
Priority to US11/436,727 priority patent/US7479303B2/en
Priority to JP2006139817A priority patent/JP4630226B2/ja
Publication of KR20060120402A publication Critical patent/KR20060120402A/ko
Application granted granted Critical
Publication of KR100731164B1 publication Critical patent/KR100731164B1/ko
Priority to US12/351,540 priority patent/US8298370B2/en

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/4481Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by evaporation using carrier gas in contact with the source material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/4485Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by evaporation without using carrier gas in contact with the source material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45519Inert gas curtains
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/14Feed and outlet means for the gases; Modifying the flow of the reactive gases

Abstract

본 발명은 막을 증착시키기 위해 다수의 원료물 기체를 샤워헤드를 통해 기판 위에 공급하는 화학기상 증착 방법에 있어서, 상기 샤워헤드의 바닥면이 상기 기판과 소정 거리로 대응하도록 이격하여 배치하는 이격단계; 상기 원료물 기체 중 반응 기체를 상기 샤워헤드 내에 주입하되, 상기 샤워헤드 내의 격실 각각에 상기 반응 기체중 한 종류만이 채워지도록 상기 샤워헤드 내의 격실 각각에 서로 다른 종류의 상기 반응 기체를 주입하고, 상기 원료물 기체 중 억제 기체는 상기 샤워헤드 내의 다른 격실에 채워지도록 주입하는 주입단계; 및 상기 반응 기체 및 상기 억제 기체가 각각 상기 샤워헤드의 바닥면에 형성된 다수개의 반응 기체 출구 및 상기 반응 기체 출구보다 많은 개수의 억제 기체 출구를 통해 배출하게 하는 배출단계를 포함하여 구성되는 것을 특징으로 하는 샤워헤드를 이용한 화학기상 증착 방법에 관한 것이다.
또한, 본 발명은 막을 증착시키기 위한 원료물 기체를 샤워헤드를 통해 기판 위에 공급하는 화학기상 증착 장치에 있어서, 상기 샤워헤드는 상기 원료물 기체 중 반응 기체의 개수와 동일한 개수이고 서로 격리되는 내부 공간을 구비하며, 상기 각각의 반응 기체가 반응 기체 유입 포트를 통해 내부에 유입되어 상기 기판으로 공급하기 위한 다수의 반응 기체 통로를 바닥면에 구비한 다수의 반응 기체 샤워헤드 모듈; 및 상기 반응 기체 샤워헤드 모듈의 하측에 설치되고, 상기 원료물 기체 중 억제 기체가 억제 기체 유입 포트를 통해 내부에 유입되어 상기 억제 기체 만 채워지며 상기 반응 기체와는 격리된 내부 공간을 가지며, 상부면에는 밀봉부재를 이용하여 상기 반응 기체 통로를 에워싸고 관통시키는 다수의 유입구가 구비되며, 하부면에는 다수의 반응 기체 통로 출구와 상기 반응 기체 통로 출구보다 작은 직경의 다수의 억제 기체 출구가 더 형성되는 억제 기체 샤워헤드 모듈을 포함하여 구성되고, 상기 각각의 반응 기체 샤워헤드 모듈에 구비된 각각의 반응 기체 통로는 상기 반응 기체 샤워헤드 모듈보다 아래에 있는 반응 기체 샤워헤드 모듈의 내부와 상기 억제 기체 샤워헤드 모듈 내부를 관통하는 것을 특징으로 하는 샤워헤드를 이용한 화학기상 증착 장치에 관한 것이다.
화학기상증착, 샤워헤드, 오염, 반응 기체, 억제 기체, 반응 기체 가둠 장치

Description

샤워헤드를 구비한 화학기상 증착 방법 및 장치 {Apparatus of chemical vapor deposition with a shower head and method therof}
도 1은 종래에 구멍이 다수 뚫려있는 단순한 형태의 샤워헤드를 사용하는 평판타입 플라즈마 CVD 장치의 반응실을 개략적으로 보여주는 단면도.
도 2는 종래에 반응실로 유입되는 반응 기체를 그 안에서 서로 섞지 않고 여러 개의 출구를 통해 기판 위로 골고루 분사하는 기능만을 갖춘 샤워헤드를 개략적으로 보여주는 단면도.
도 3은 별다른 억제책을 쓰지 않았을 때, 반응 기체, 혹은 부산물 기체가 종래의 샤워헤드에서 역확산할 수 있다는 것을 보여주는 예시도.
도 4a는 본 발명의 첫 번째 형태로서 여러 개의 반응 기체 샤워헤드 모듈이 수직 방향으로 쌓여져 구성되는 반응 기체 샤워헤드 모듈과 억제 기체 샤워헤드 모듈로 구성되는 샤워헤드의 조립하기 전 상태의 분해 사시도.
도 4b는 본 발명의 첫 번째 형태로서 여러 개의 반응 기체 샤워헤드 모듈이 수직 방향으로 쌓여져 구성되는 반응 기체 샤워헤드 모듈과 억제 기체 샤워헤드 모듈로 구성되는 샤워헤드의 조립 상태를 도시한 단면도.
도 4c는 본 발명의 첫 번째 형태로서 여러 개의 반응 기체 샤워헤드 모듈이 수직 방향으로 쌓여져 구성되는 반응 기체 샤워헤드 모듈과 억제 기체 샤워헤드 모 듈로 구성되는 샤워헤드의 조립후 바닥 면쪽에서 본 저면도.
도 4d는 본 발명의 첫 번째 형태로서 여러 개의 반응 기체 샤워헤드 모듈이 수직 방향으로 쌓여져 구성되는 반응 기체 샤워헤드 모듈과 억제 기체 샤워헤드 모듈로 구성되는 샤워헤드에서 반응 기체와 억제 기체의 흐름 방향을 도시한 예시도.
도 4e는 본 발명의 첫 번째 형태로서 여러 개의 반응 기체 샤워헤드 모듈이 수직 방향으로 쌓여져 구성되는 반응 기체 샤워헤드 모듈과 억제 기체 샤워헤드 모듈로 구성되는 샤워헤드에서 반응 기체 통로 출구를 연장했을 때 외형 형상 및 기체 흐름 방향을 도시한 예시도.
도 5a는 본 발명의 두 번째 형태로서 내부 공간이 수직한 격벽으로 나뉘어진 반응 기체 샤워헤드 모듈과 억제 기체 샤워헤드 모듈로 구성되는 샤워헤드의 조립하기 전 상태의 분해 사시도.
도 5b는 본 발명의 두 번째 형태로서 내부 공간이 수직한 격벽으로 나뉘어진 반응 기체 샤워헤드 모듈과 억제 기체 샤워헤드 모듈로 구성되는 샤워헤드의 조립 상태의 단면도.
도 5c는 본 발명의 두 번째 형태로서 내부 공간이 수직한 격벽으로 나뉘어진 반응 기체 샤워헤드 모듈과 억제 기체 샤워헤드 모듈로 구성되는 샤워헤드의 조립 후 바닥면 쪽에서 본 저면도.
도 5d는 본 발명의 두 번째 형태에서 부채꼴 형상의 격실을 도시한 예시도.
도 5e는 본 발명의 두 번째 형태에서 자유 형상의 격실을 도시한 예시도.
도 5f는 본 발명의 두 번째 형태에서 반응 기체 공급통로가 반경 방향으로 소정 거리로 어긋나게 배치된 자유 형상의 격실을 도시한 예시도.
도 6은 본 발명의 첫 번째 실시예에 따라 반응 기체 가둠 장치에 대해 고안한 샤워헤드를 적용한 구조를 도시한 예시도.
도 7은 본 발명의 기술이 적용되지 않은 종래의 CVD 장치를 도시한 예시도.
도 8는 본 발명의 두 번째 실시예에 따라 반응 기체 가둠 장치에 샤워헤드를 적용한 구조를 도시한 예시도.
도 9은 본 발명의 다른 실시예에 따라 샤워헤드를 둘러싸는 원통형의 냉각 자켓을 구비한 구조를 도시한 예시도.
* 도면의 주요 부분에 대한 부호의 설명
1 : 반응실 20 : 종래의 샤워헤드
30 : 서셉터 5 : 배기구
7 : 반응실 내벽 9 : 기판
100 : 샤워헤드
157 : 유입 포트로 들어온 반응 기체를 확산시키기 위한 확산판
140 : 상부 반응 기체 사워헤드 모듈
142 : 상부 반응 기체 사워헤드 모듈의 바닥면
143 : 상부 반응 기체 사워헤드 모듈 바닥 면에서의 출구
144 : 반응 기체 통로
147 : 상부 반응 기체 사워헤드 모듈의 윗면
153 : 상부 반응 기체 샤워헤드 모듈에서 반응 기체 유입 포트
240 : 하부 반응 기체 사워헤드 모듈
253 : 하부 반응 기체 샤워헤드 모듈에서 반응 기체 유입 포트
275 : 오링 홈자리 276 : 오링
440 : 억제 기체 샤워헤드 모듈
445 : 억제 기체 샤워헤드 바닥면에 형성된 큰 크기의 반응 기체 통로 출구
446 : 억제 기체 샤워헤드 바닥면에 형성된 작은 크기의 출구
453 : 억제 기체 샤워헤드 모듈에서 억제 기체 유입 포트
644 : 반응 기체 통로
655 : 반응 기체 샤워헤드 모듈 윗면의 반응 기체 유입 포트
656 : 반응 기체 샤워헤드 모듈을 구성하는 개개의 격실
657 : 반응 기체 샤워헤드 모듈 내부의 확산판
900 : 반응 기체 가둠 장치
901 : 반응 기체 가둠 장치 표면에 뚫려 있는 다수의 통로
본 발명은 반도체 집적회로, 미세한 기계구조물, 표면처리를 요하는 공구 등에서 막 형성의 중요한 수단의 하나인 화학기상증착(CVD) 장치에 관한 것으로서, 더욱 상세하게는 막이 형성되는 기판 위로 반응 기체를 골고루 공급하여 균일한 막 두께와 조성을 가지게끔 하는 샤워헤드에서 원하지 않는 입자 침착을 방지하는 화 학기상 증착방법 및 장치에 관한 것이다. 여기서, 본 발명은 미국공개특허 2003-0077388호("Method and Apparatus for Chemical Vapor Deposition Capable of Preventing Contamination and Enhancing Film Growth Rate", 2002년 10월 9일 출원)와 연관되어 있으며, 상기 미국공개특허의 내용은 본 발명에서 인용되고 있다.
화학기상장치에서 반응 기체는 진공의 반응실로 들어와서 샤워헤드를 거쳐 기판이 놓인 서셉터(susceptor) 또는 기판 고정부에 도달하게 된다.
따라서, 반응 기체는 기판 위에서 화학 반응을 일으켜 원하는 막을 형성하게 되는데, 화학반응을 유도하는 에너지를 공급하기 위해서는 기판을 가열하거나, 기판 위에 플라즈마 상태를 만들어 반응 기체를 원자적으로 들뜨게(exciting) 하는 방법이 널리 쓰인다. 반응이 끝나면 부산물 기체는 반응실 출구와 진공펌프를 포함하는 배기계통과 적절한 정화장치를 차례로 거쳐 외부로 배출된다.
그런데, 증착 공정 중에 반응실 벽이나 샤워헤드 등에 원하지 않는 입자가 침착되지 않도록 하는 것은 매우 중요하므로, 반응 기체는 기체 상태에서 서로 반응을 일으키지 않는 성질을 갖게 하는 것이 바람직하다. 그러나, 금속유기 화합물처럼 분해 온도가 대개 200℃이하로 낮은 반응 기체가 반응실 안에서 서로 섞이게 되면 기체 상태에서 균질화 반응(homogeneous reaction)을 일으켜 오염 입자(particle)를 생성할 수 있으며, 스스로도 샤워헤드나 반응실 벽과 같은 고체상태의 표면에서 비균질화 반응(heterogeneous reaction)을 일으켜 원하지 않는 입자 침착을 일으킬 수도 있다. 특히, 반응 기체가 특정한 물질에 민감한 경우, 예를 들 어 지르코늄 4부톡사이드(Zirconium tert-butoxide, Zr(OC4H9)4)는 수분에 매우 민감하게 반응하여 지르코늄 수화물(Zirconium Hydroxide, Zr(OH)x)을 형성하기 쉬운데, 수분은 반응실 내부 일측에 불순물로서 물리적으로 흡착(adsorption)될 수도 있지만, 기판 위에서 화학 반응 부산물로서 수증기 형태로 생성될 수도 있다.
이러한 수분은 반응실 내벽이나 샤워헤드 표면에서 지르코늄 4부톡사이드와 반응하여 하얀색 가루 형태의 수화물을 형성할 수 있고, 쉽게 탈착이 이루어지는 가루 형태이든 비교적 단단한 부착력을 가지는 막 형태이든 간에 반응실 내벽이나 샤워헤드 표면에 입자 침착이 일어나면 반복적인 열 팽창, 수축, 그리고 내벽 등과의 격자 구조상의 차이로 인해 침착된 입자는 작은 입자로 탈리되어(flake-off) 기판 위의 막을 오염시키는 것은 물론, 이런 침착 입자를 제거하기 위해 공정을 중단해야하는 주기가 빈번해져 생산성 저하를 불러올 수 있는 것이다.
고집적 반도체를 제조하는 경우에는 오염 입자는 주로 배선간 쇼트나 단선으로 패턴 불량을 일으키게 되는데, 그 수율에 영향을 주는 오염입자의 크기는 패턴 치수와 비례 관계에 있다. 따라서 패턴 치수가 작아짐에 따라 즉, 고집적화의 경향이 높아짐에 따라 수율에 영향을 주는 입자의 크기도 점차 작아져 반응실 내에서 제어되어야 할 오염 입자의 수도 그만큼 증가한다.
도 1은 종래에 미국특허 제 6,631,692호에서 구멍이 다수 뚫려있는 단순한 형태의 샤워헤드를 사용하는 평판 타입 플라즈마 CVD 장치의 반응실을 개략적으로 보여주는 단면도이다. 진공펌프(미도시)에 의해 반응실 안에 진공이 형성되면, 원 료물 공급수단(6)으로부터 질량조절장치(8)의 제어에 의해 적절한 유량의 원료물 기체가 공급배관(10)을 따라서 반응실(1) 안으로 유입되어 샤워헤드(20)로 전달되고, 샤워헤드(20) 안에 전달된 원료물 기체는 충분히 혼합된 뒤 샤워헤드 바닥면의 작은 구멍을 통해 기판 위로 공급된다. 원료물 기체의 흐름이 안정된 뒤에, 라디오 주파수(radio-frequency, RF)의 전기장이 RF 발생원(4)과 연결된 샤워헤드(20)와 접지부(13)에 연결된 서셉터 사이에 작용하게 되면, 원료물 기체는 이온화되고 플라즈마 상태가 유지된다. 플라즈마 상태에 의해 원자적으로 들뜬(excited) 원료물 기체는 내장된 발열체(14)에 의해 주위보다 온도가 높게 유지되는 서셉터(30) 위에 놓인 기판(9) 위에서 집중적으로 화학 반응을 일으켜 원하는 막을 기판(9) 위에 형성하게 된다. 반응이 끝나고 원료물 기체와 부산물은 진공펌프와 연결된 배기구(5)를 통해 외부로 배출되게 된다. 원료물 기체로는 SiH4, DM-DMOS[(CH3)2Si(OCH3)2], TEOS와 같은 실리콘 원료물, C2F6와 같은 플로린(fluorine) 원료물, 산소와 같은 산화성 기체, 또는 Ar, He와 같은 불활성 기체가 사용될 수 있다.
그러나, 위에서 열거한 원료물질을 사용할 경우에 심각한 문제가 없을지는 몰라도 특정한 물질, 가령 분해온도가 낮은 금속유기화합물을 원료물 기체로 사용하는 경우에는 샤워헤드 안에서 원료물 기체끼리 서로 화학 반응을 일으키거나 스스로 분해하여 오염입자를 생성하여 궁극적으로 반응실 내부 오염 및 기판 오염 문제를 일으킬 수 있다.
도 2는 종래의 미국특허 제6,626,998호에서 반응실로 유입되는 반응 기체를 서로 섞지 않고 여러 개의 출구를 통해 기판 위로 골고루 분사하는 기능만을 갖춘 샤워헤드를 개략적으로 보여주는 단면도이다. 여러 반응 기체가 여러 개의 유입 포트(zone, 17)를 통해 고리 형상의 첫 번째 유로(channel, 23)에 공급되면, 첫 번째 유로(23)에서 확산과정을 거친 뒤 각각의 유로 바닥에 뚫려있는 서너개의 유통구멍(passage, 25)를 통해 역시 고리 형상의 두 번째 유로(27)에 전달된다. 두 번째 유로(27)에서도 확산과정을 거친 뒤 두 번째 유로 밑바닥에 뚫려 있는, 샤워헤드 출구에 해당하는 다수의 두 번째 유통구멍(31)을 통해 기판 위로 공급된다. 반응 기체는 주위보다 온도가 높게 유지되는 서셉터 위에 놓인 기판(미도시) 위에서 집중적으로 화학 반응을 일으켜 원하는 막을 기판 위에 형성하게 된다.
그러나, 금속유기 화합물처럼 분해 온도가 대개 200℃ 이하로 낮은 반응 기체는 샤워헤드 바닥 면에서 열분해와 같은 비균질화 표면반응(heterogeneous surface reaction)을 일으킬 수도 있으며, 특히 반응 기체가 수분과 같은 특정한 물질에 민감한 경우, 불순물로 존재할 수 있거나 부산물로서 생성된 수증기와 반응할 수도 있다.
이러한 반응이 일어나기 위한 물질전달 경로와 관련하여, 도 3은 별다른 억제책을 사용하지 않은 경우에 반응 기체 또는 부산물 기체가 샤워헤드 쪽으로 역확산될 수 있다는 것을 보여준다. 도 3에서 가는 굵기의 화살표는 반응 기체의 집단적인 유동, 굵은 굵기의 화살표는 반응 기체, 혹은 부산물의 샤워헤드 쪽으로의 역확산 방향을 나타낸다. 기판에서 생성된 부산물은 샤워헤드와 기판 사이에 존재하는 기체(8) 영역으로 역확산되고, 샤워헤드와 기판 사이에 존재하는 기체(8)는 샤 워헤드쪽으로 역시 역확산이 일어날 수 있는 것이다. 따라서, 도 2에서와 같은 종래의 샤워헤드 장치에서는 비록 여러 반응 가스가 샤워헤드 내부에서 섞여서 입자가 생성되는 것을 방지할 수는 있겠지만, 별다른 억제책을 쓰지 않으면 열분해 또는 기타 화학반응에 의해 샤워헤드 출구 주위에 원치 않는 입자가 침착될 수 있다.
화학기상 증착 방법에 의해 다양한 종류의 막을 다양한 종류의 반응 기체를 사용하여 증착해야 할 필요성이 증가하고 있는데, 종래의 샤워헤드 장치를 사용하게 되면 다양한 반응 기체가 가지는 예상치 못한 여러 가지 특성으로 인해 샤워헤드 내부에서 원하지 않는 입자가 생성되거나 샤워헤드 표면에 원하지 않는 입자가 침착될 수 있다는 문제점이 있다.
상기한 바와 같은 문제점을 극복하기 위한 본 발명의 목적은 여러 종류의 반응 기체가 샤워헤드를 서로 독립적으로 통과하게 함으로써 샤워헤드 내부에서 반응 기체가 서로 화학반응을 일으켜 입자를 생성하는 것을 방지하기 위한 기술을 제공하는 것이다.
본 발명의 다른 목적은 샤워헤드 바닥면에서 분사되는 억제 기체가 샤워헤드 바닥면에서 억제 기체와 동시에 분사되는 반응 기체를 감싸고 동심원 형상으로 흐르게 함으로써 억제 기체의 유동 속도로 인해 반응 기체가 진행 방향 뒤로 확산되는 것을 억제하여 샤워헤드 출구 및 샤워헤드 바닥면에 입자가 침착되는 것을 방지하기 위한 기술을 제공하는 것이다.
본 발명의 또 다른 목적은 기판을 둘러싸면서 반응실 바닥과 닿아 있는 반응 기체 가둠 장치에 본 발명에 따른 샤워헤드를 적용함으로써 반응실 내벽에서의 원하지 않는 입자 침착을 방지함과 아울러 반응 기체를 기판 주위에 가두어 높은 증착 속도를 얻는 기술을 제공하는 것이다.
이와 같은 목적을 달성하기 위한 본 발명은 막을 증착시키기 위해 다수의 원료물 기체를 샤워헤드를 통해 기판 위에 공급하는 화학기상 증착 방법에 있어서, 상기 샤워헤드의 바닥면이 상기 기판과 소정 거리로 대응하도록 이격하여 배치하는 이격단계; 상기 원료물 기체 중 반응 기체를 상기 샤워헤드 내에 주입하되, 상기 샤워헤드 내의 격실 각각에 상기 반응 기체중 한 종류만이 채워지도록 상기 샤워헤드 내의 격실 각각에 서로 다른 종류의 상기 반응 기체를 주입하고, 상기 원료물 기체 중 억제 기체는 상기 샤워헤드 내의 다른 격실에 채워지도록 주입하는 주입단계; 및 상기 반응 기체 및 상기 억제 기체가 각각 상기 샤워헤드의 바닥면에 형성된 다수개의 반응 기체 출구 및 상기 반응 기체 출구보다 많은 개수의 억제 기체 출구를 통해 배출하게 하는 배출단계를 포함하여 구성되는 것을 특징으로 하는 샤워헤드를 이용한 화학기상 증착 방법에 관한 것이다.
또한, 본 발명은 막을 증착시키기 위한 원료물 기체를 샤워헤드를 통해 기판 위에 공급하는 화학기상 증착 장치에 있어서, 상기 샤워헤드는 상기 원료물 기체 중 반응 기체의 개수와 동일한 개수이고 서로 격리되는 내부 공간을 구비하며, 상기 각각의 반응 기체가 반응 기체 유입 포트를 통해 내부에 유입되어 상기 기판으로 공급하기 위한 다수의 반응 기체 통로를 바닥면에 구비한 다수의 반응 기체 샤 워헤드 모듈; 및 상기 반응 기체 샤워헤드 모듈의 하측에 설치되고, 상기 원료물 기체 중 억제 기체가 억제 기체 유입 포트를 통해 내부에 유입되어 상기 억제 기체만 채워지며 상기 반응 기체와는 격리된 내부 공간을 가지며, 상부면에는 밀봉부재를 이용하여 상기 반응 기체 통로를 에워싸고 관통시키는 다수의 유입구가 구비되며, 하부면에는 다수의 반응 기체 통로 출구와 상기 반응 기체 통로 출구보다 작은 직경의 다수의 억제 기체 출구가 더 형성되는 억제 기체 샤워헤드 모듈을 포함하여 구성되고, 상기 각각의 반응 기체 샤워헤드 모듈에 구비된 각각의 반응 기체 통로는 상기 반응 기체 샤워헤드 모듈보다 아래에 있는 반응 기체 샤워헤드 모듈의 내부와 상기 억제 기체 샤워헤드 모듈 내부를 관통하는 것을 특징으로 하는 샤워헤드를 이용한 화학기상 증착 장치에 관한 것이다.
그리고, 본 발명은 막을 증착시키기 위한 원료물 기체를 샤워헤드를 통해 기판 위에 공급하는 화학기상 증착 장치에 있어서, 상기 샤워헤드는 내부공간이 다수개의 격실로 서로 격리되어 다수의 반응 기체가 개별적으로 각각의 격실마다 구비된 반응 기체 유입 포트를 통해 유입되고, 상기 각각의 격실 바닥면에 다수개의 반응 기체 출구가 형성되며, 상기 반응 기체 출구에 연결되어 상기 반응 기체를 상기 기판 위로 공급하기 위한 반응 기체 통로를 구비한 하나의 반응 기체 샤워헤드 모듈; 및 상기 반응 기체 샤워헤드 모듈의 하측에 설치되고, 상기 원료물 기체 중 억제 기체가 억제 기체 유입 포트를 통해 내부에 유입되어 상기 억제 기체만 채워지며 상기 반응 기체와는 격리된 내부 공간을 가지며, 상부면에는 상기 반응 기체 통로를 밀봉하며 관통시키는 다수의 유입구가 구비되며, 하부면에는 다수의 반응 기 체 통로 출구와 상기 반응 기체 통로 출구보다 작은 직경의 다수의 억제 기체 출구가 더 형성되는 억제 기체 샤워헤드 모듈을 포함하여 구성되고, 상기 반응 기체 샤워헤드 모듈에 구비된 각각의 반응 기체 통로는 상기 반응 기체 샤워헤드 모듈보다 아래에 있는 상기 억제 기체 샤워헤드 모듈 내부를 관통하는 것을 특징으로 하는 샤워헤드를 이용한 화학기상 증착 장치에 관한 것이다.
전술한 바와 같이 본 발명에서는 여러 종류의 반응 기체가 샤워헤드를 서로 독립적으로 통과하게 함으로써 서로 섞이는 것을 방지하고, 샤워헤드 출구 및 샤워헤드 바닥면에 입자 침착을 일으키는 것을 방지하고, 샤워헤드 뿐 아니라 반응실 내벽에 원하지 않는 입자 침착을 방지하는 기술을 제시한다.
본 발명에서 언급하는 억제 기체라 함은 자체적으로 분해되거나 부산물을 만들기 어려운 기체 상태의 물질로서, 예를 들어 운반기체로 사용되는 Ar, N2, He, H2 등과 희석을 위해 사용되는 고순도의 Ar, N2 또는 반응에 참가하긴 해도 자체로는 분해되거나 부산물을 만들기 어려운 O2 등을 말한다. 이들 억제 기체는 분자량이 작아 쉽게 확산되고 진공 펌프의 작용으로 인한 강제 순환 영향을 비교적 덜 받으며 반응실 내부 구조물에 증착, 흡착, 기타 표면 반응을 일으키지 않는 특성이 있다.
반면에 반응 기체라 함은 막 형성에 직접 관여하는 주원료물 만으로 이루어져 있는 기체, 또는 주원료물을 기화시키거나 희석하는 데 사용되는 운반기체와 주원료물이 섞여 있는 혼합 기체, 또는 운반 기체를 이용하지 않고 운반가능한 순수한 증기 상태의 주원료물 기체 등을 말하는 것으로서, 서셉터 및 증착 대상물을 포 함하는 반응실 내부 구조물에 증착, 흡착, 기타 표면반응을 일으키는 특성을 갖는다. 주원료물 기체의 예로는 PZT(Lead Zircinum-Titanate) 막 증착에 사용되는 각 성분의 금속유기화합물로서 Pb의 원료물인 Pb(C2H5)4, Zr의 원료물인 Zr(OC4H9)4, 및 Ti의 원료물인 Ti(OC3H7)4 등을 들 수 있으며, 운반기체의 예로는 Ar, N2, He, H2 등을 들 수 있다.
도 4a 내지 도 4e는 본 발명에서 다수의 반응 기체 샤워헤드 모듈과 1개의 억제 기체 샤워헤드 모듈로 구성되는 첫 번째 형태의 샤워헤드를 보여주는 것으로서, 도 4a는 조립하기 전 상태의 분해 사시도, 도 4b는 조립된 상태의 단면도, 도 4c는 샤워헤드를 바닥면 쪽에서 본 저면도이다. 샤워헤드(100)는 반응 기체의 개수만큼의 반응 기체 샤워헤드 모듈(140, 240)과 하나의 억제 기체 샤워헤드 모듈(440)로 구성된다. 본 발명에서 반응 기체 샤워헤드 모듈이란 다수의 반응 기체중 하나를 주입하여 확산시키기 위해 1개 이상의 모듈이 결합되어 이루어진 조립체를 의미하고, 본 발명의 첫 번째 형태에서는 두 가지의 반응기체를 이용하는 경우를 예로 들어 설명한다.
반응 기체는 반응 기체 샤워헤드 모듈(140)의 입구(153)를 통해 반응 기체 샤워헤드 모듈(140)의 내부로 들어와서 다수의 미세한 구멍이 뚫려 있는 확산판(157)을 지나는 동안 반응 기체 샤워헤드 모듈(140) 내부에서 충분히 확산되고, 다수의 출구(143)를 따라서 각각 균등한 유량으로 반응 기체 샤워헤드 모듈(140)을 빠져나가게 된다. 그리고 원형 튜브 형태의 반응 기체 통로(144)가 이 출구(143)에 연결되어 뻗어 나와서 하부의 반응 기체 샤워헤드 모듈(240)을 관통하게 된다. 이렇게 각각의 반응 기체 샤워헤드 모듈(140, 240)로부터 뻗어 나온 원형 튜브 형태의 다수의 반응 기체 통로(144, 244)는 마지막으로 억제 기체 샤워헤드 모듈(440) 윗면(447)과 내부를 관통하게 된다. 억제 기체는 억제 기체 샤워헤드 모듈(440)의 억제 기체 입구(453)를 통해 억제 기체 샤워헤드 모듈(440)의 내부로 들어와서 다수의 미세한 구멍이 뚫려 있는 확산판(457)을 지나는 동안 억제 기체 샤워헤드 모듈(440) 내부에서 충분히 확산되고 억제 기체 샤워헤드 모듈 바닥면(442)에 도달한다.
한편, 억제 기체 샤워헤드 모듈 바닥면(442)에는 각각 비교적 큰 구멍의 반응 기체 통로 출구(445)와 비교적 작은 구멍의 출구(446)가 다수 구비되는데, 억제 기체 샤워헤드 모듈 윗면(447)과 내부를 관통한 튜브 형태의 다수의 기체 통로(144, 244)는 큰 구멍의 반응 기체 통로 출구(445) 내부를 통과하여 반응 기체 통로 출구(445)의 끝부분에서 끝난다.
그리하여, 큰 구멍의 반응 기체 통로 출구(445) 가운데 영역으로는 반응 기체가 반응 기체 통로(144, 244)의 끝으로부터 마주 보고 있는 기판을 향해 분사되고, 반응 기체 통로 출구(445)의 가장자리 영역 즉, 큰 구멍의 반응 기체 통로 출구(445) 내벽과 반응 기체 통로(144, 244) 외벽 사이의 틈새 사이로 억제 기체가 분사되어 억제 기체는 반응 기체를 감싸고 동심원 형상으로 흐르게 된다. 여기서, 반응 기체 통로(144, 244)의 끝부분이 반응 기체 통로 출구(445)의 하측 끝부분에 대해 -3mm ~ +3mm 위치로 국한되어 함입되거나 돌출하여 구비되는 것이 반응 기체 통로와 반응 기체 통로 출구 양자에서 입자 침착으로 인한 오염을 방지하는 데에 있어서 보다 효과적이다.
한편, 작은 구멍의 출구(446)를 통해서는 억제 기체만 기판 쪽을 향해 분사된다. 이러한 억제 기체의 작용으로 인해 반응 기체가 분사 방향 뒤로 확산되는 것이 억제되고, 억제 기체 샤워헤드 바닥 면에 원하지 않는 입자가 침착되는 것이 방지된다.
도 4d에서는 억제 기체 샤워헤드 바닥 면 근처에서 반응 기체와 억제 기체의 흐름을 도시하며, 큰 구멍의 출구를 통해 분사되는 억제 기체 각각의 유량은 작은 구멍의 출구를 통해 분사되는 억제 기체 각각의 유량보다는 많아야 더욱 효과적이다. 억제 기체 샤워헤드 모듈(440)에서 비교적 큰 구멍의 반응 기체 통로 출구(445) 배열간격은 가로와 세로로 10mm 정도이면 적당하고, 반응 기체 통로 출구(445)의 개수는 200mm 웨이퍼용 샤워헤드에는 대략 250개, 300mm 웨이퍼용 샤워헤드에서는 대략 500개의 반응 기체 통로 출구(445)구멍이 구비되면 충분할 것이며, 반응성 기체 통로 출구(445)의 지름은 3.5mm ~ 5mm가 바람직하다.
그리고, 작은 구멍출구(446)는 반응 기체 통로 출구(445)와 반응 기체 통로 출구(445) 사이에 적당한 간격으로 설치되되, 작은 구멍출구(446)의 지름은 0.8mm 내지 1.4mm가 바람직하다. 그러나 출구의 배열 간격과 구멍의 수는 상기한 값에 국한되지 않고 가공 상의 어려움, 또는 증착 균일도와 같은 성능 사양에 따라서 결정될 수 있다.
한편, 도 4e에 도시된 것처럼 반응 기체 통로 출구(445)에는 튜브 형태의 출 구 연장부(444)를 연결하여 반응 기체 통로 출구를 기판(9) 방향으로 적당한 거리만큼 연장하는 것이 보다 바람직하다. 구체적으로, 출구 연장부(444)의 끝을 억제 기체 샤워헤드 모듈 바닥면으로부터 0~10mm 만큼 연장하면 억제 기체 샤워헤드 바닥면에서 입자 침착으로 인한 오염을 방지하는 데에 있어서 보다 효과적이 되는 것이다.
이와 같은 구비과정에서 반응 기체 통로(144)가 해당 반응 기체 샤워헤드 모듈(140) 바닥면(142)의 출구(143)와 밀봉되어 연결되기 위해서는 레이저 용접을 하는 것이 바람직하고, 반응 기체 통로(144)가 그 밑의 또 다른 반응 기체 샤워헤드 모듈(240)의 윗면(247)과 바닥면(242), 그리고 억제 기체 샤워헤드 모듈(440)의 윗면(447)을 밀봉하며 관통하기 위해서는 오링(276)을 사용한 누설 방지를 하는 것이 바람직하다. 여기서, 오링 홈자리(275)는 밀링과 같은 기계 가공 방법에 의해 바깥지름은 오링(276) 바깥지름보다 대략 0.2mm∼0.3mm 정도 크게, 깊이는 오링 두께보다 0.6~0.9mm 정도 낮은 깊이로 마련하면 적당하다.
본 발명에서 제시하고 있는 방법에 의하면, 볼트(501)에 의해 샤워헤드 모듈(140, 240, 440)을 서로 조여 주는 과정에서 오링(276), 반응 기체 통로(144), 상부 샤워헤드 모듈(140)의 바닥면(142)의 오링 홈자리(275)와 하부 샤워헤드 모듈(240)의 윗면(247)이 서로 조합하여 누설방지 역할을 하게 되어 CVD 장치의 반응실(1) 내부와 각 샤워헤드 모듈(140, 240, 440) 내부가 서로 격리될 수 있다.
반응 기체 통로(144)를 따라 공급되는 반응 기체는 다른 반응 기체 샤워헤드 모듈(240)을 지나서 궁극적으로 억제 기체 샤워헤드 모듈(440)을 지나기까지 다른 반응 기체 및 억제 기체와는 서로 섞이지 않게 되고, 억제 기체 샤워헤드 모듈(440) 바닥면(442)과 기판(9) 사이에서 비로소 각 반응기체와 억제 기체의 혼합이 일어나는 것이다. 이 과정에서 각각의 반응 기체 샤워헤드 모듈 안에는 순수하게 해당 반응 기체만 존재하게 되고 다른 반응 기체는 물론 억제 기체, 혹은 수분 등의 불순물은 존재할 수 없게 되는 것이다. 또한, 억제 기체 샤워헤드 모듈 안에는 순수하게 억제 기체만 존재하게 되고 다른 어떠한 반응 기체는 물론 수분 등의 불순물은 존재할 수 없게 되는 것이다.
그런데, 억제 기체 샤워헤드 모듈(440) 바닥면(442)에서 출구(445)와 출구(445)에 연결되어 출구(445)를 기판 쪽으로 연장시키는 출구 연장부(444)의 형상이 앞서 도시한 형상, 예를 들어 단면이 원형인 형상을 약간 변형하여 단면의 형상을 다각형으로 형성한다 하여도 기본적으로 그 구조가 억제 기체가 반응 기체를 감싸면서 흐르게 한다면 그 효과는 비슷할 것으로 예상되므로 본 발명이 효력을 미치는 영역은 도시한 구조에만 국한되는 것은 아니다.
한편, 증착 균일도를 최상으로 달성하기 위해서는 상기 억제 기체 샤워헤드 모듈(440)의 바닥면(442)과 기판 사이의 거리는 소정 거리로 이격되어야 하는데, 그 이격 거리는 70mm ~ 120mm가 바람직하다.
도 5a 내지 도 5f는 본 발명의 두 번째 형태를 도시한다. 본 발명의 두 번째 형태에 따른 샤워헤드는 2개의 샤워헤드 모듈, 즉 하나의 반응 기체 샤워헤드 모듈(640)과 하나의 억제 기체 샤워헤드 모듈(740)로 구성될 수 있다. 각각의 반응 기체는 각각의 분배 헤드(미도시)를 통해 튜브 형태의 다수개의 반응 기체 공급관(미 도시)에 분배되고, 각각의 튜브 형태의 반응 기체 공급관은 반응 기체 샤워헤드 모듈(640) 윗면에 구비된 다수의 유입 포트(655)에 적절한 배열 순서로 연결된다.
각각의 유입 포트(655)는 원통을 방사상의 여러 조각으로 잘랐을 때 얻어지는 형상의 반응 기체 샤워헤드 모듈 내부의 격실(656)과 연결되어 있다. 밀봉재(seal, 658)에 의해 각각 밀봉, 분리되는 각 격실(656) 안으로 들어온 반응 기체는 각 격실(656) 내부의 확산판(657)을 거쳐 격실(656) 바닥면, 즉 반응 기체 샤워헤드 모듈 바닥면(642)에 이른다. 반응 기체 샤워헤드 모듈 바닥면(642)에는 다수의 많은 출구(643)가 있고, 원형 튜브 형태의 반응 기체 통로(644)가 반응 기체 샤워헤드 모듈(640) 출구(643)에 연결되어 뻗어 나와서 억제 기체 샤워헤드 모듈(740) 윗면(747)과 내부를 관통하게 된다. 억제 기체는 억제 기체 샤워헤드 모듈(740)의 억제 기체 입구(753)를 통해 억제 기체 샤워헤드 모듈(740)의 내부로 들어와서 다수의 미세한 구멍이 뚫려 있는 확산판(757)을 지나는 동안 억제 기체 샤워헤드 모듈(740) 내부에서 충분히 확산되고 억제 기체 샤워헤드 모듈 바닥면(742)에 도달한다. 억제 기체 샤워헤드 모듈 바닥면(742)의 구조, 반응 기체 통로(644)의 억제 기체 샤워헤드 모듈 바닥면(742)에 연결되는 방식과 구조, 및 샤워헤드의 효과에 대해서는 전술한 첫 번째 형태의 해당 부분에서 충분히 설명되어 있으므로 더 이상의 설명은 생략하기로 한다.
도 5a에서 반응 기체 샤워헤드 모듈(640)에 구비되는 격실(656)의 개수는 4개이다. 그리고 격실(656)의 개수는 필요에 따라 얼마든지 증가시킬 수 있겠지만, 대략 24개 즉, 15도 간격으로 배열되어 구비되면 충분할 것이다. 여기서, 반응 기 체의 수가 2개이면, 각 반응 기체는 각각 12개의 격실(656)로 서로 번갈아 가며 들어가면 될 것이며, 반응 기체의 수가 3개이면 각 반응 기체는 각각 12개의 격실(656)로 서로 번갈아 가며 들어가면 될 것이다.
도 5d 및 도 5e는 각각 부채꼴 형상의 격실(656) 및 원주방향으로 점차적으로 일그러진 변형 단면(배열의 중심으로 갈수록 원주 방향으로 소정 길이로 단차되어 찌그러진 단면)을 가지는 다른 부채꼴 형상의 격실(656)을 도시하며, 샤워헤드 모듈(640) 내부로 A, B, C 3개의 반응 기체가 서로 번갈아 반응 기체 통로(644)를 통해 유입되는 것을 개념적으로 보여준다.
도 5d와 도 5e의 차이에 대해 살펴보면, 도 5d에서는 각각의 격실(656)이 표준 부채꼴의 형태로 배열, 즉 단순히 배열의 중심방향(반경 방향)으로 배열된다. 하지만, 격실 바닥(642)과 통해 있는 반응 기체 공급통로(644)의 위치가 배열의 중심방향을 일제히 향하는 것보다는, 도 5e에 도시된 바와 같이 각각의 격실(656)의 형상이 배열의 중심방향으로 갈수록 점차 원주방향으로 일그러지게 형성하여 격실 바닥(642)에서 반응 기체 공급통로(644)의 위치를 원주방향으로 조금씩 이동시켜 배치하는 것이 원주방향으로의 증착 균일도를 보다 높일 수 있다.
같은 맥락으로 도 5f에 도시된 바와 같이 격실(656)이 원주방향으로 반복되어 감에 따라(A와 A', B와 B', C와 C'), 격실 바닥에서 반응기체 공급통로(656)의 위치가 배열의 중심방향으로 소정의 거리만큼 이동(도 5f의 Δr)하여 배치함으로써, 반응기체 공급통로(656) 배열의 중심방향으로 증착 균일도를 보다 높일 수 있다.
<첫번째 실시예>
도 6에서는 본 발명에 따라 형성된 샤워헤드(100)를 반응 기체 가둠 장치(900)에 적용하여 함께 사용하는 첫 번째 실시예를 도시한다. 여기서, 반응 기체 가둠 장치(900)라 함은, 반응실(1) 내벽(7)과 천정으로부터 충분한 거리를 두고 떨어져 있고, 기판(9)을 지붕이 있는 돔 형태로 둘러싸면서 끝이 반응실 바닥(961)과 닿아 있으며, 그 표면에 수많은 미세 구멍이 뚫려 있으며, 윗부분 중심부 일부를 개방하여 이 발명에서 고안한 샤워헤드(100)의 가장자리가 개방부분을 따라서 걸칠 수 있게 하여 샤워헤드(100)의 바닥 면과 기판이 평행하게 서로 마주 보게 하는 장치를 말한다.
도 6에 도시된 바와 같이 첫 번째 실시예는 반응 기체가 반응 기체 공급 포트(954), 분배 헤드(958), 반응 기체 공급관(959)를 통해 샤워헤드(100)로 들어오고 억제 기체는 억제 기체 공급관(964)를 통해 샤워헤드(100)으로 들어온다. 그리고, 확산 억제 기체가 반응실(1)에 연결되어 있는 확산 억제 기체 유입 포트(962)를 통해 반응 기체 가둠 장치(900) 바깥으로 들어와서 다시 반응 기체 가둠 장치(900) 표면에 뚫려 있는 통로(901)를 통해 반응 기체 가둠장치(900) 안쪽으로 들어가는 구조에 의해 반응실(1) 내벽(7)은 물론 반응 기체 가둠장치(900)의 표면에서도 원하지 않는 입자 침착을 방지할 수 있게 된다. 이렇게 되면 본 발명의 첫 번째 실시예의 효과로서 전술한 샤워헤드(100)에서의 입자 침착 방지와 아울러 반응실(1) 내벽(7)에서의 입자 침착을 방지할 수 있게 된다.
또한, 첫 번째 실시예에서는 반응 기체를 기판 위에 가두게 되어, 즉 기판 근처에서 반응 기체의 농도를 높게 하여 기판 위에서 막 증착 속도를 향상시킬 수 있게 되는 것이다. 따라서, 종래의 화학기상 증착장치에서 운반기체의 이용을 필요로 하는 버블러, 혹은 액체공급 시스템(Liquid Delivery System)에서 증발기를 사용하는 경우와는 달리 원료물을 운반기체의 도움없이 순수한 증기로 이용하게 되면, 예를 들어 금속유기 화합물 기상 증착(MOCVD, Metal Organic Chemical Vapor Deposition)에서 원료물인 액체상태의 금속유기화합물을 대략 60-100℃의 온도로 가열하여 순수한 증기로 전환하여 반응 기체로서 이용하게 되면, 본 발명에서의 샤워헤드와 미국공개특허 2003-0077388호에서의 반응 기체 가둠 장치의 작용에 의해 기판 위에서 반응 기체를 가두게(confining) 되어 반응 기체의 농도를 보다 증가시킬 수 있기 때문에 막 증착속도가 증가하는 효과는 더욱 뚜렷할 것이다.
도 7은 본 발명의 기술이 적용되지 않은 종래의 CVD 장치를 도시한 예시도로서, 미국공개특허 2003-0077388호에서 반응 기체 가둠 장치(900) 안쪽으로 단순히 다수의 원료물질 공급관(907)의 출구를 설치한 구성을 보여주고 있다.
그런데, 미국공개특허 2003-0077388호에서는 원료물질 공급관(907) 출구 부분에서의 오염 방지 방법을 제시하지 못하고 있는 것과는 달리, 본 발명에 따른 샤워헤드 기술을 CVD 장치에 사용하게 되면, 샤워헤드는 물론 반응실 내부에서의 오염원 발생, 이로 인한 기판 위의 증착 막 오염, 및 오염원을 제거하기 위한 빈번한 공정 중단 등의 문제점을 해결할 수 있게 된다.
<두번째 실시예>
도 8에서는 본 발명에 따른 샤워헤드(100)를 반응 기체 가둠 장치(900)에 적 용하여 함께 사용하는 두 번째 실시예를 제시한다. 여기서, 반응 기체 가둠 장치(900)라 함은 반응실(1) 내벽(7)과 천정으로부터 소정 거리를 두고 떨어져 있고, 기판(9)을 지붕이 없는 원통(965) 형태로 둘러싸면서 한쪽 끝이 반응실 바닥(961)과 닿아 있으며, 그 표면에 수많은 미세 구멍이 뚫려 있으며, 윗부분에 가운데가 개방된 원판(968)을 얹어서 원판(968) 가장자리 끝이 반응실 내벽(7)과 맞닿아 있으며, 원판(968) 중심부에 본 발명에 따른 샤워헤드(100)의 가장자리가 걸칠 수 있게 상기 샤워헤드(100)의 바닥 면과 기판(9)이 평행하게 서로 마주 보게 하는 반응 기체 가둠 장치를 말한다.
도 8에 도시된 바와 같이 두 번째 실시예는 억제 기체가 반응실(1)에 연결되어 있는 억제 기체 유입 포트(962)와 원판(968)을 통해 반응 기체 가둠 장치(900) 바깥으로 들어오고 반응 기체 가둠 장치(900) 표면에 뚫려 있는 통로(901)를 통해 반응 기체 가둠 장치(900) 안쪽으로 들어오는 구조에 의해 반응실(1) 내벽(7)은 물론 반응 기체 가둠 장치(900)의 표면에서도 원하지 않는 입자 침착을 방지하게 된다. 이렇게 작용하면 앞서 설명한 바 있는 샤워헤드(100)에서의 입자 침착 방지와 아울러 반응실(1) 내벽(7)에서의 입자 침착 방지를 함께 구현하게 된다. 또한, 반응 기체를 기판 위에 가두게 되어, 즉 기판 근처에서 반응 기체의 농도를 높게 하여 기판 위에서 막 증착 속도가 향상될 수 있다.
<세번째 실시예>
도 9에 도시된 바와 같이 본 발명에 따른 세번째 실시예는 상기 반응 기체 샤워헤드 모듈과 상기 억제 기체 샤워헤드 모듈에 대해 수직한 바깥벽을 원통 형태 로 밀봉하여 감싸는 식으로 냉각 자켓(3050)을 설치할 수 있는데, 이 냉각 자켓(3050)은 샤워헤드의 온도를 예를 들어, 150℃ ~ 200℃로 일정하게 유지하기 위해 구비된다.
반응실 벽의 냉각재 입구 포트(미도시)와 냉각 자켓(3050)의 냉각재 입구 포트(3054)를 통해 냉각 자켓(3050) 안으로 주입된 냉각재는 냉각재 출구 포트(3053)를 통해 냉각 자켓(350)을 빠져나가고, 최종적으로 반응실 벽의 냉각재 출구 포트(미도시)를 통해 반응실을 빠져나가게 된다. 여기서, 냉각재의 종류로는 압축공기, 냉수 등 어떤 것을 이용해도 무방하지만 냉각 자켓이 새지 않도록 하는 것은 절대적으로 중요하다. 샤워헤드 표면의 적당한 곳에 열전대를 설치(미도시)하는 것은 온도 조절을 위한 일상적인 방법이므로 이와 관련된 자세한 설명을 생략하기로 한다. 냉각 자켓을 설치하는 효과는 막 증착 반복성 증진을 꾀하는 것에 국한되지 않고 샤워헤드 내부 온도가 지나치게 올라감으로 인해서 반응 기체가 열분해에 의해 샤워헤드 내부에서 원하지 않는 증착을 야기하는 것을 방지하는 데에 있어서도 탁월한 것이다.
본 발명의 기술사상은 상기 바람직한 실시예에 따라 구체적으로 기술되었으나, 상기한 실시예는 그 설명을 위한 것이며, 그 제한을 위한 것이 아님을 주의하여야 한다. 또한, 본 발명의 기술분야의 통상의 전문가라면 본 발명의 기술사상의 범위에서 다양한 실시예가 가능함을 이해할 수 있을 것이다.
앞서 서술한 바와 같이 본 발명은 여러 종류의 반응 기체가 샤워헤드를 서로 독립적으로 통과하게 함으로써, 샤워헤드 내부에서 반응 기체가 서로 섞이는 것을 방지하는 효과가 있다.
또한, 본 발명은 억제 기체 샤워헤드 모듈의 바닥면 출구를 통해 억제 기체가 반응 기체를 둘러싸고 동심원으로 흐르게 하여 반응 기체가 진행 방향 뒤로 확산되는 것을 억제하고 샤워헤드 출구 및 샤워헤드 바닥 면에서의 입자 침착을 방지하는 효과가 있다.
또한, 본 발명은 냉각 자켓을 구비한 샤워헤드를 반응 기체 가둠 장치에 적용시키면 샤워헤드 내부에서 입자 침착을 방지하는 효과가 있다.
또한, 본 발명은 CVD 장치에서 반응실 내벽과 샤워헤드의 오염, 이로 인한 기판 위의 증착 막 오염, 또 오염원을 제거하기 위해 빈번하게 발생하는 공정 중단 등의 문제를 해결하는 효과가 있다.
또한, 본 발명은 반응 기체를 기판 위에 가두게 되어, 즉 기판 근처에서 반응 기체의 농도를 높게 하여 기판 위에서 막 증착 속도가 향상되는 효과가 있다.

Claims (22)

  1. 막을 증착시키기 위해 다수의 원료물 기체를 샤워헤드를 통해 기판 위에 공급하는 화학기상 증착 방법에 있어서,
    상기 샤워헤드의 바닥면이 상기 기판과 소정 거리로 대응하도록 이격하여 배치하는 이격단계;
    상기 원료물 기체 중 반응 기체를 상기 샤워헤드 내에 주입하되, 상기 샤워헤드 내의 격실 각각에 상기 반응 기체중 한 종류만이 채워지도록 상기 샤워헤드 내의 격실 각각에 서로 다른 종류의 상기 반응 기체를 주입하고, 상기 원료물 기체 중 억제 기체는 상기 샤워헤드 내의 다른 격실에 채워지도록 주입하는 주입단계; 및
    상기 반응 기체 및 상기 억제 기체가 각각 상기 샤워헤드의 바닥면에 형성된 다수개의 반응 기체 출구 및 상기 반응 기체 출구보다 많은 개수의 억제 기체 출구를 통해 배출하게 하는 배출단계
    를 포함하여 구성되는 것을 특징으로 하는 샤워헤드를 이용한 화학기상 증착 방법.
  2. 제 1 항에 있어서,
    상기 반응 기체는 서로 다른 상기 반응 기체 출구를 통해 빠져나오며, 상기 반응 기체 출구 각각은 상기 억제 기체 출구중 일부에 내포되어 상기 반응 기체를 배출하는 것을 특징으로 하는 샤워헤드를 이용한 화학기상 증착 방법.
  3. 제 1 항에 있어서,
    상기 배출단계에서
    상기 반응 기체 출구로부터 분사되는 상기 반응 기체의 흐름이 상기 억제 기체 출구로부터 분사되는 상기 억제 기체의 흐름에 의해 둘러싸인 상태로 분출되고, 상기 반응 기체 출구를 내포하지 않는 상기 억제 기체 출구를 통해서는 상기 억제 기체만 배출하게 하는 것을 특징으로 하는 샤워헤드를 이용한 화학기상 증착 방법.
  4. 제 1 항에 있어서,
    상기 억제 기체는 Ar, N2, He, H2 O2로 된 군으로부터 선택되는 1개 이상의 기체인 것을 특징으로 하는 샤워헤드를 이용한 화학기상 증착 방법.
  5. 제 1 항에 있어서,
    상기 반응 기체는 액체 상태의 금속유기 화합물을 가열하여 순수한 증기로 전환된 기체인 것을 특징으로 하는 샤워헤드를 이용한 화학기상 증착 방법.
  6. 제 1 항에 있어서,
    상기 반응 기체는 운반 기체를 이용하여 액체 상태의 원료물을 증발기로부터 기화시켜 상기 운반 기체와 혼합된 기체인 것을 특징으로 하는 샤워헤드를 이용한 화학기상 증착 방법.
  7. 제 1 항에 있어서,
    상기 샤워헤드를 둘러싸는 냉각 자켓에 냉각제를 주입하여 상기 샤워헤드를 냉각하는 냉각단계를 더 포함하는 것을 특징으로 하는 샤워헤드를 이용한 화학기상 증착 방법.
  8. 막을 증착시키기 위한 원료물 기체를 샤워헤드를 통해 기판 위에 공급하는 화학기상 증착 장치에 있어서,
    상기 샤워헤드는
    상기 원료물 기체 중 반응 기체의 개수와 동일한 개수이고 서로 격리되는 내부 공간을 구비하며, 상기 각각의 반응 기체가 반응 기체 유입 포트를 통해 내부에 유입되어 상기 기판으로 공급하기 위한 다수의 반응 기체 통로를 하부면에 구비한 다수의 반응 기체 샤워헤드 모듈; 및
    상기 반응 기체 샤워헤드 모듈의 하측에 설치되고, 상기 원료물 기체 중 억제 기체가 억제 기체 유입 포트를 통해 내부에 유입되어 상기 억제 기체만 채워지며 상기 반응 기체와는 격리된 내부 공간을 가지며, 상부면에는 밀봉부재를 이용하여 상기 반응 기체 통로를 에워싸고 관통시키는 다수의 유입구가 구비되며, 하부면에는 다수의 반응 기체 통로 출구와 상기 반응 기체 통로 출구보다 작은 직경의 다 수의 억제 기체 출구가 더 형성되는 억제 기체 샤워헤드 모듈을 포함하여 구성되고,
    상기 각각의 반응 기체 샤워헤드 모듈에 구비된 각각의 반응 기체 통로는 상기 반응 기체 샤워헤드 모듈보다 아래에 있는 반응 기체 샤워헤드 모듈의 내부와 상기 억제 기체 샤워헤드 모듈 내부를 관통하는 것을 특징으로 하는 샤워헤드를 이용한 화학기상 증착 장치.
  9. 막을 증착시키기 위한 원료물 기체를 샤워헤드를 통해 기판 위에 공급하는 화학기상 증착 장치에 있어서,
    상기 샤워헤드는
    내부공간이 다수개의 격실로 서로 격리되어 다수의 반응 기체가 개별적으로 각각의 격실마다 구비된 반응 기체 유입 포트를 통해 유입되고, 상기 각각의 격실 바닥면에 다수개의 반응 기체 출구가 형성되며, 상기 반응 기체 출구에 연결되어 상기 반응 기체를 상기 기판 위로 공급하기 위한 반응 기체 통로를 구비한 하나의 반응 기체 샤워헤드 모듈; 및
    상기 반응 기체 샤워헤드 모듈의 하측에 설치되고, 상기 원료물 기체 중 억제 기체가 억제 기체 유입 포트를 통해 내부에 유입되어 상기 억제 기체만 채워지며 상기 반응 기체와는 격리된 내부 공간을 가지며, 상부면에는 밀봉부재를 이용하여 상기 반응 기체 통로를 에워싸고 관통시키는 다수의 유입구가 구비되며, 하부면에는 다수의 반응 기체 통로 출구와 상기 반응 기체 통로 출구보다 작은 직경의 다 수의 억제 기체 출구가 더 형성되는 억제 기체 샤워헤드 모듈을 포함하여 구성되고,
    상기 반응 기체 샤워헤드 모듈에 구비된 각각의 반응 기체 통로는 상기 반응 기체 샤워헤드 모듈보다 아래에 있는 상기 억제 기체 샤워헤드 모듈 내부를 관통하는 것을 특징으로 하는 샤워헤드를 이용한 화학기상 증착 장치.
  10. 제 8 항 또는 제 9 항에 있어서,
    상기 억제 기체 출구는 지름이 0.8mm ~ 1.4mm이고, 상기 반응 기체 통로 출구는 지름이 3.5mm ~ 5mm이며, 상기 억제 기체 출구로부터는 억제 기체만 분사되며, 상기 반응 기체 통로 출구로부터는 상기 반응 기체 통로의 하단부를 둘러싸면서 억제 기체가 분사되는 것을 특징으로 하는 샤워헤드를 이용한 화학기상 증착 장치.
  11. 제 8 항 또는 제 9 항에 있어서,
    상기 억제 기체는 Ar, N2, He, H2 O2로 된 군으로부터 선택되는 1개 이상의 기체인 것을 특징으로 하는 샤워헤드를 이용한 화학기상 증착 장치.
  12. 제 8 항 또는 제 9 항에 있어서,
    상기 반응 기체는 액체 상태의 금속유기 화합물을 가열하여 순수한 증기로 전환된 기체인 것을 특징으로 하는 샤워헤드를 이용한 화학기상 증착 장치.
  13. 제 8 항 또는 제 9 항에 있어서,
    상기 반응 기체는 운반 기체를 이용하여 액체 상태의 원료물을 증발기로부터 기화시켜 상기 운반 기체와 혼합된 기체인 것을 특징으로 하는 샤워헤드를 이용한 화학기상 증착 장치.
  14. 제 8 항 또는 제 9 항에 있어서,
    상기 기판과 상기 억제 기체 샤워헤드 모듈 사이의 이격거리는 70 ~ 120mm인 것을 특징으로 하는 샤워헤드를 이용한 화학기상 증착 장치.
  15. 제 8 항 또는 제 9 항에 있어서,
    상기 반응 기체 샤워헤드 모듈 각각의 내부에는 다공성의 확산판을 더 구비하는 것을 특징으로 하는 샤워헤드를 이용한 화학기상 증착 장치.
  16. 제 8 항 또는 제 9 항에 있어서,
    상기 샤워헤드를 둘러싸고 상기 샤워헤드를 냉각제를 이용하여 상기 샤워헤드를 냉각하는 냉각 자켓을 더 포함하여 구성하는 것을 특징으로 하는 샤워헤드를 이용한 화학기상 증착 장치.
  17. 제 8 항 내지 제 10 항 중 어느 한 항에 있어서,
    상기 억제 기체 샤워헤드 모듈의 하부면에서
    상기 반응 기체 통로 출구가 상기 하부면으로부터 10mm 이내로 상기 기판 쪽으로 연장하여 구비되는 것을 특징으로 하는 샤워헤드를 이용한 화학기상 증착 장치.
  18. 제 8 항 내지 제 10 항 중 어느 한 항에 있어서,
    상기 억제 기체 샤워헤드 모듈의 하부면에서
    상기 반응 기체 통로는 상기 반응 기체 통로 출구의 하측 끝부분에 대해 -3mm 내지 +3mm 위치에 함입되거나 돌출하여 구비되는 것을 특징으로 하는 샤워헤드를 이용한 화학기상 증착 장치.
  19. 제 9 항에 있어서,
    상기 격실은 상기 반응 기체 샤워헤드 모듈의 내부에서 원주방향으로 다수 배열되고, 상기 각각의 격실은 부채꼴 모양으로 배열의 중심으로 갈수록 폭이 좁아지며, 상기 반응 기체 공급통로는 상기 격실의 반경 방향으로 소정거리마다 또는 어긋나게 구비되는 것을 특징으로 하는 샤워헤드를 이용한 화학기상 증착 장치.
  20. 제 9 항에 있어서,
    상기 격실은 상기 반응 기체 샤워헤드 모듈의 내부에서 원주방향으로 다수 배열되고, 상기 각각의 격실은 부채꼴 모양으로 배열의 중심으로 갈수록 원주 방향으로 소정 길이로 단차되어 찌그러진 단면을 가지며, 상기 반응 기체 공급통로는 상기 격실이 원주방향으로 반복되어 감에 따라 배열의 중심방향으로 불규칙적으로 구비되는 것을 특징으로 하는 샤워헤드를 이용한 화학기상 증착 장치.
  21. 제 8 항 또는 제 9항에 있어서,
    반응실의 내벽과 천정으로부터 소정 거리로 떨어져서 상기 반응실의 내부에 위치하고, 상기 기판을 돔 형태로 둘러싸면서 하단부가 상기 반응실 바닥과 닿아 있으며, 미세한 다공성의 표면을 가지는 반응 기체 가둠 장치;
    상기 억제 기체가 외부로부터 상기 반응실과 상기 반응 기체 가둠 장치 사이 공간으로 공급될 수 있도록 상기 반응실에 형성되는 억제 기체 유입 포트; 및
    부산물을 배출시키기 위해 상기 반응 기체 가둠 장치의 내부에 설치되는 배기구를 더 포함하여,
    상기 반응 기체 가둠 장치에 상기 샤워헤드가 구비되되,
    상기 반응 기체 가둠 장치의 상부면 중심부분에 형성된 개방영역을 따라서 상기 샤워헤드의 가장자리가 걸쳐질 수 있게 하여 상기 샤워헤드의 바닥면과 상기 기판이 서로 마주 보도록 구비되는 것을 특징으로 하는 샤워헤드를 이용한 화학기상 증착 장치.
  22. 제 8 항 또는 제 9항에 있어서,
    반응실의 내벽과 천정으로부터 소정 거리로 떨어져서 상기 반응실의 내부에 위치하고, 상기 기판을 원통 형태로 둘러싸면서 상단부는 개방되어 있고 하단부는 상기 반응실 바닥과 닿아 있으며 미세한 다공성의 표면을 가지는 원통형 몸체, 및 상기 원통형 몸체의 상단부에 구비되어 가장자리 부분이 상기 반응실 내벽과 맞닿으며 중앙 부분이 개방된 원판을 포함하여 구성되는 반응 기체 가둠 장치;
    상기 억제 기체가 외부로부터 상기 반응실과 상기 반응 기체 가둠 장치 사이의 공간으로 공급될 수 있도록 상기 반응실에 형성된 억제 기체 유입 포트; 및
    부산물을 배출시키기 위해 상기 반응 기체 가둠 장치의 내부에 설치되는 배기구를 더 포함하여,
    상기 샤워헤드가 상기 반응 기체 가둠 장치에 구비되되,
    상기 반응 기체 가둠 장치의 상부면 중심부분에 형성된 개방영역을 따라서 상기 샤워헤드의 가장자리가 걸쳐질 수 있게 하여 상기 샤워헤드의 바닥면과 상기 기판이 서로 마주 보도록 구비되는 것을 특징으로 하는 샤워헤드를 이용한 화학기상 증착 장치.
KR1020060027444A 2005-05-19 2006-03-27 샤워헤드를 구비한 화학기상 증착 방법 및 장치 KR100731164B1 (ko)

Priority Applications (5)

Application Number Priority Date Filing Date Title
KR1020060027444A KR100731164B1 (ko) 2005-05-19 2006-03-27 샤워헤드를 구비한 화학기상 증착 방법 및 장치
PCT/KR2006/001760 WO2006123870A1 (en) 2005-05-19 2006-05-11 Apparatus for chemical vapor deposition with shower head and method therof
US11/436,727 US7479303B2 (en) 2005-05-19 2006-05-17 Method for chemical vapor deposition (CVD) with showerhead and method thereof
JP2006139817A JP4630226B2 (ja) 2005-05-19 2006-05-19 シャワーヘッドを用いた化学気相蒸着方法及びその装置
US12/351,540 US8298370B2 (en) 2005-05-19 2009-01-09 Apparatus for chemical vapor deposition (CVD) with showerhead

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
KR1020050041910 2005-05-19
KR20050041910 2005-05-19
KR1020060027444A KR100731164B1 (ko) 2005-05-19 2006-03-27 샤워헤드를 구비한 화학기상 증착 방법 및 장치

Publications (2)

Publication Number Publication Date
KR20060120402A true KR20060120402A (ko) 2006-11-27
KR100731164B1 KR100731164B1 (ko) 2007-06-20

Family

ID=37431422

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020060027444A KR100731164B1 (ko) 2005-05-19 2006-03-27 샤워헤드를 구비한 화학기상 증착 방법 및 장치

Country Status (4)

Country Link
US (2) US7479303B2 (ko)
JP (1) JP4630226B2 (ko)
KR (1) KR100731164B1 (ko)
WO (1) WO2006123870A1 (ko)

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100780234B1 (ko) * 2006-12-05 2007-11-27 동부일렉트로닉스 주식회사 화학적 기상 증착공정의 프로세스 챔버
KR100972802B1 (ko) * 2010-02-19 2010-07-29 한국생산기술연구원 샤워헤드를 구비한 반도체 소자 제조 장비
KR100972801B1 (ko) * 2007-09-21 2010-07-29 주식회사 피에조닉스 샤워헤드를 구비한 반도체 소자 제조 장비
KR100975850B1 (ko) * 2007-11-07 2010-08-13 주식회사 디엠에스 탄소나노튜브 제조용 샤워헤드장치
KR101282158B1 (ko) * 2011-09-16 2013-07-04 엘아이지에이디피 주식회사 샤워헤드 및 이의 제조 방법
KR101297375B1 (ko) * 2011-09-05 2013-08-19 주식회사 에스에프에이 평면디스플레이용 화학 기상 증착장치
KR20140145565A (ko) * 2013-06-13 2014-12-23 가부시키가이샤 뉴플레어 테크놀로지 기상 성장 장치 및 기상 성장 방법

Families Citing this family (472)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6502530B1 (en) * 2000-04-26 2003-01-07 Unaxis Balzers Aktiengesellschaft Design of gas injection for the electrode in a capacitively coupled RF plasma reactor
JP4387190B2 (ja) * 2001-10-18 2009-12-16 ビュン,チュル,スー 汚染防止と膜成長速度増進機能を備える化学気相蒸着方法及び装置
KR100634451B1 (ko) * 2005-01-10 2006-10-16 삼성전자주식회사 반도체 소자 제조 장치
KR100731164B1 (ko) * 2005-05-19 2007-06-20 주식회사 피에조닉스 샤워헤드를 구비한 화학기상 증착 방법 및 장치
JP2007191792A (ja) * 2006-01-19 2007-08-02 Atto Co Ltd ガス分離型シャワーヘッド
US7771194B2 (en) * 2006-05-26 2010-08-10 Honeywell International Inc. Gas preheater for chemical vapor processing furnace having circuitous passages
US20070281106A1 (en) * 2006-05-30 2007-12-06 Applied Materials, Inc. Process chamber for dielectric gapfill
KR100849929B1 (ko) * 2006-09-16 2008-08-26 주식회사 피에조닉스 반응 기체의 분사 속도를 적극적으로 조절하는 샤워헤드를구비한 화학기상 증착 방법 및 장치
US7776178B2 (en) * 2006-10-25 2010-08-17 Applied Materials, Inc. Suspension for showerhead in process chamber
US7674352B2 (en) * 2006-11-28 2010-03-09 Applied Materials, Inc. System and method for depositing a gaseous mixture onto a substrate surface using a showerhead apparatus
US7789961B2 (en) * 2007-01-08 2010-09-07 Eastman Kodak Company Delivery device comprising gas diffuser for thin film deposition
CN102127752B (zh) * 2007-01-12 2014-06-25 威科仪器有限公司 气体处理系统
US7976631B2 (en) 2007-10-16 2011-07-12 Applied Materials, Inc. Multi-gas straight channel showerhead
US8668775B2 (en) * 2007-10-31 2014-03-11 Toshiba Techno Center Inc. Machine CVD shower head
US8298338B2 (en) * 2007-12-26 2012-10-30 Samsung Electronics Co., Ltd. Chemical vapor deposition apparatus
US8033001B2 (en) * 2007-12-31 2011-10-11 Texas Instruments Incorporated CVD showerhead alignment apparatus
JP5233734B2 (ja) * 2008-02-20 2013-07-10 東京エレクトロン株式会社 ガス供給装置、成膜装置及び成膜方法
JP5179389B2 (ja) 2008-03-19 2013-04-10 東京エレクトロン株式会社 シャワーヘッド及び基板処理装置
KR100982987B1 (ko) * 2008-04-18 2010-09-17 삼성엘이디 주식회사 화학 기상 증착 장치
KR101004822B1 (ko) 2008-04-18 2010-12-28 삼성엘이디 주식회사 화학 기상 증착 장치
KR101004927B1 (ko) * 2008-04-24 2010-12-29 삼성엘이디 주식회사 Cvd용 샤워 헤드 및 이를 구비하는 화학 기상 증착 장치
US20100212591A1 (en) * 2008-05-30 2010-08-26 Alta Devices, Inc. Reactor lid assembly for vapor deposition
JP2010027868A (ja) * 2008-07-18 2010-02-04 Toshiba Corp 気相成長装置及び気相成長方法
KR20100015213A (ko) * 2008-08-04 2010-02-12 삼성전기주식회사 Cvd용 샤워 헤드 및 이를 구비하는 화학 기상 증착 장치
US8071165B2 (en) 2008-08-08 2011-12-06 International Solar Electric Technology, Inc. Chemical vapor deposition method and system for semiconductor devices
WO2010019008A2 (en) * 2008-08-13 2010-02-18 Synos Technology, Inc. Vapor deposition reactor
JP2010084190A (ja) * 2008-09-30 2010-04-15 Sharp Corp 気相成長装置および気相成長方法
CN102177274B (zh) * 2008-10-08 2014-08-06 Abcd技术有限公司 汽相沉积系统
WO2010042883A2 (en) * 2008-10-10 2010-04-15 Alta Devices, Inc. Concentric showerhead for vapor deposition
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
TWI437622B (zh) * 2008-11-26 2014-05-11 Ind Tech Res Inst 氣體噴灑模組
TW201037100A (en) * 2009-03-16 2010-10-16 Alta Devices Inc Vapor deposition reactor system and methods thereof
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
KR101064210B1 (ko) 2009-06-01 2011-09-14 한국생산기술연구원 막증착 진공장비용 샤워헤드
US8980382B2 (en) 2009-12-02 2015-03-17 Applied Materials, Inc. Oxygen-doping for non-carbon radical-component CVD films
US8741788B2 (en) 2009-08-06 2014-06-03 Applied Materials, Inc. Formation of silicon oxide using non-carbon flowable CVD processes
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
KR101162055B1 (ko) * 2009-09-14 2012-07-03 엘아이지에이디피 주식회사 가스 분사장치 및 이를 이용한 샤워 헤드
US8216640B2 (en) * 2009-09-25 2012-07-10 Hermes-Epitek Corporation Method of making showerhead for semiconductor processing apparatus
WO2011044451A2 (en) * 2009-10-09 2011-04-14 Applied Materials, Inc. Multi-gas centrally cooled showerhead design
JP2013516763A (ja) 2009-12-30 2013-05-13 アプライド マテリアルズ インコーポレイテッド フレキシブルな窒素/水素比を使用して生成されるラジカルを用いる誘電体膜成長
SG183873A1 (en) 2010-03-05 2012-10-30 Applied Materials Inc Conformal layers by radical-component cvd
US9285168B2 (en) 2010-10-05 2016-03-15 Applied Materials, Inc. Module for ozone cure and post-cure moisture treatment
US8664127B2 (en) 2010-10-15 2014-03-04 Applied Materials, Inc. Two silicon-containing precursors for gapfill enhancing dielectric liner
KR20120043636A (ko) * 2010-10-26 2012-05-04 가부시키가이샤 한도오따이 에네루기 켄큐쇼 플라즈마 처리 장치 및 플라즈마 cvd 장치
WO2012087002A2 (ko) 2010-12-20 2012-06-28 삼성엘이디 주식회사 화학 기상 증착 장치 및 이를 사용한 발광소자 제조방법
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8716154B2 (en) 2011-03-04 2014-05-06 Applied Materials, Inc. Reduced pattern loading using silicon oxide multi-layers
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
DE102011056589A1 (de) * 2011-07-12 2013-01-17 Aixtron Se Gaseinlassorgan eines CVD-Reaktors
US9404178B2 (en) 2011-07-15 2016-08-02 Applied Materials, Inc. Surface treatment and deposition for reduced outgassing
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
TWI505400B (zh) * 2011-08-26 2015-10-21 Lg Siltron Inc 基座
TW201311926A (zh) * 2011-09-05 2013-03-16 Sfa Engineering Corp 用於平面顯示器之化學沉積裝置
US8617989B2 (en) 2011-09-26 2013-12-31 Applied Materials, Inc. Liner property improvement
US8551891B2 (en) 2011-10-04 2013-10-08 Applied Materials, Inc. Remote plasma burn-in
US8960235B2 (en) * 2011-10-28 2015-02-24 Applied Materials, Inc. Gas dispersion apparatus
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8900364B2 (en) * 2011-11-29 2014-12-02 Intermolecular, Inc. High productivity vapor processing system
US8916480B2 (en) * 2011-12-07 2014-12-23 Taiwan Semiconductor Manufacturing Company, Ltd. Chemical vapor deposition film profile uniformity control
JP6038618B2 (ja) * 2011-12-15 2016-12-07 株式会社ニューフレアテクノロジー 成膜装置および成膜方法
DE112013001721T5 (de) 2012-03-29 2014-12-11 Veeco Ald Inc. Abtastung-Einspeiseanordnung-Modul zum Bearbeiten von Substrat
EP2974831B1 (en) * 2012-06-12 2021-04-07 Snap-On Incorporated An inventory control system having advanced functionalities
US9447499B2 (en) 2012-06-22 2016-09-20 Novellus Systems, Inc. Dual plenum, axi-symmetric showerhead with edge-to-center gas delivery
US9388494B2 (en) 2012-06-25 2016-07-12 Novellus Systems, Inc. Suppression of parasitic deposition in a substrate processing system by suppressing precursor flow and plasma outside of substrate region
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US8889566B2 (en) 2012-09-11 2014-11-18 Applied Materials, Inc. Low cost flowable dielectric films
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
CN103774115B (zh) * 2012-10-17 2017-12-29 理想能源设备(上海)有限公司 化学气相沉积装置
US10316409B2 (en) 2012-12-21 2019-06-11 Novellus Systems, Inc. Radical source design for remote plasma atomic layer deposition
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9399228B2 (en) 2013-02-06 2016-07-26 Novellus Systems, Inc. Method and apparatus for purging and plasma suppression in a process chamber
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US20140235069A1 (en) * 2013-02-15 2014-08-21 Novellus Systems, Inc. Multi-plenum showerhead with temperature control
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
WO2014197396A1 (en) * 2013-06-03 2014-12-11 Ultratech, Inc. Gas deposition head for spatial ald
JP6199619B2 (ja) * 2013-06-13 2017-09-20 株式会社ニューフレアテクノロジー 気相成長装置
US9677176B2 (en) * 2013-07-03 2017-06-13 Novellus Systems, Inc. Multi-plenum, dual-temperature showerhead
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
TWI480415B (zh) * 2013-11-27 2015-04-11 Ind Tech Res Inst 多模式薄膜沉積設備以及薄膜沉積方法
KR101560623B1 (ko) * 2014-01-03 2015-10-15 주식회사 유진테크 기판처리장치 및 기판처리방법
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
JP2017509787A (ja) * 2014-03-15 2017-04-06 ビーコ・エーエルディー インコーポレイテッド 堆積装置に洗浄ガスを噴射することによる堆積装置の洗浄
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
CN105200396A (zh) * 2014-06-18 2015-12-30 中微半导体设备(上海)有限公司 一种mocvd设备及其中寄生颗粒的清除方法
US9412581B2 (en) 2014-07-16 2016-08-09 Applied Materials, Inc. Low-K dielectric gapfill by flowable deposition
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
US20160362782A1 (en) * 2015-06-15 2016-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Gas dispenser and deposition apparatus using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
JP6662998B2 (ja) * 2016-03-03 2020-03-11 コアテクノロジー株式会社 プラズマ処理装置
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US9758868B1 (en) 2016-03-10 2017-09-12 Lam Research Corporation Plasma suppression behind a showerhead through the use of increased pressure
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
CN116978818A (zh) * 2016-06-03 2023-10-31 应用材料公司 扩散腔室内部的气流的设计
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
JP6495875B2 (ja) * 2016-09-12 2019-04-03 株式会社東芝 流路構造及び処理装置
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
US10604841B2 (en) 2016-12-14 2020-03-31 Lam Research Corporation Integrated showerhead with thermal control for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2018101721A (ja) * 2016-12-21 2018-06-28 株式会社ニューフレアテクノロジー 気相成長方法
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
JP7035581B2 (ja) * 2017-03-29 2022-03-15 東京エレクトロン株式会社 基板処理装置及び基板処理方法。
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
KR102096700B1 (ko) * 2017-03-29 2020-04-02 도쿄엘렉트론가부시키가이샤 기판 처리 장치 및 기판 처리 방법
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10424487B2 (en) 2017-10-24 2019-09-24 Applied Materials, Inc. Atomic layer etching processes
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
WO2019113478A1 (en) * 2017-12-08 2019-06-13 Lam Research Corporation Integrated showerhead with improved hole pattern for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
JP7180984B2 (ja) * 2018-03-01 2022-11-30 株式会社ニューフレアテクノロジー 気相成長方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10943768B2 (en) * 2018-04-20 2021-03-09 Applied Materials, Inc. Modular high-frequency source with integrated gas distribution
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102576220B1 (ko) * 2018-06-22 2023-09-07 삼성디스플레이 주식회사 박막 처리 장치 및 박막 처리 방법
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
JP6575641B1 (ja) * 2018-06-28 2019-09-18 株式会社明電舎 シャワーヘッドおよび処理装置
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) * 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
CN111270221B (zh) * 2020-04-03 2022-07-22 北京北方华创微电子装备有限公司 半导体设备中的气体分配器和半导体设备
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2969596B2 (ja) * 1989-10-06 1999-11-02 アネルバ株式会社 Cvd装置
US5556476A (en) * 1994-02-23 1996-09-17 Applied Materials, Inc. Controlling edge deposition on semiconductor substrates
JP3360098B2 (ja) * 1995-04-20 2002-12-24 東京エレクトロン株式会社 処理装置のシャワーヘッド構造
JPH0945624A (ja) * 1995-07-27 1997-02-14 Tokyo Electron Ltd 枚葉式の熱処理装置
US6143081A (en) * 1996-07-12 2000-11-07 Tokyo Electron Limited Film forming apparatus and method, and film modifying apparatus and method
GB9712400D0 (en) * 1997-06-16 1997-08-13 Trikon Equip Ltd Shower head
US6143082A (en) * 1998-10-08 2000-11-07 Novellus Systems, Inc. Isolation of incompatible processes in a multi-station processing chamber
JP2000144432A (ja) * 1998-11-04 2000-05-26 Ebara Corp ガス噴射ヘッド
KR100331544B1 (ko) * 1999-01-18 2002-04-06 윤종용 반응챔버에 가스를 유입하는 방법 및 이에 사용되는 샤워헤드
JP3595853B2 (ja) * 1999-03-18 2004-12-02 日本エー・エス・エム株式会社 プラズマcvd成膜装置
JP2000290777A (ja) * 1999-04-07 2000-10-17 Tokyo Electron Ltd ガス処理装置、バッフル部材、及びガス処理方法
US6206972B1 (en) * 1999-07-08 2001-03-27 Genus, Inc. Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes
US6623656B2 (en) * 1999-10-07 2003-09-23 Advanced Technology Materials, Inc. Source reagent composition for CVD formation of Zr/Hf doped gate dielectric and high dielectric constant metal oxide thin films and method of using same
KR100378871B1 (ko) * 2000-02-16 2003-04-07 주식회사 아펙스 라디칼 증착을 위한 샤워헤드장치
JP3905678B2 (ja) * 2000-02-28 2007-04-18 株式会社堀場製作所 薄膜堆積方法とその装置および薄膜堆積方法に用いるftirガス分析計並びに薄膜堆積方法に用いる混合ガス供給装置
US6221166B1 (en) * 2000-06-07 2001-04-24 Simplus Systems Corporation Multi-thermal zone shielding apparatus
KR100406174B1 (ko) * 2000-06-15 2003-11-19 주식회사 하이닉스반도체 화학적 강화 화학 기상 증착 장비에 사용되는 샤워 헤드
AU2002241496A1 (en) * 2000-11-20 2002-06-18 Applied Epi, Inc. Surface sealing showerhead for vapor deposition reactor having integrated flow diverters
JP3924483B2 (ja) * 2001-03-19 2007-06-06 アイピーエス リミテッド 化学気相蒸着装置
JP4387190B2 (ja) * 2001-10-18 2009-12-16 ビュン,チュル,スー 汚染防止と膜成長速度増進機能を備える化学気相蒸着方法及び装置
JP4121269B2 (ja) * 2001-11-27 2008-07-23 日本エー・エス・エム株式会社 セルフクリーニングを実行するプラズマcvd装置及び方法
US7229666B2 (en) * 2002-01-22 2007-06-12 Micron Technology, Inc. Chemical vapor deposition method
US7018940B2 (en) * 2002-12-30 2006-03-28 Genus, Inc. Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes
JP4306403B2 (ja) * 2003-10-23 2009-08-05 東京エレクトロン株式会社 シャワーヘッド構造及びこれを用いた成膜装置
JP4513329B2 (ja) * 2004-01-16 2010-07-28 東京エレクトロン株式会社 処理装置
JP4542807B2 (ja) * 2004-03-31 2010-09-15 東京エレクトロン株式会社 成膜方法および成膜装置、ならびにゲート絶縁膜の形成方法
US20060021574A1 (en) * 2004-08-02 2006-02-02 Veeco Instruments Inc. Multi-gas distribution injector for chemical vapor deposition reactors
KR100685823B1 (ko) * 2005-01-31 2007-02-22 삼성에스디아이 주식회사 증착 방법
KR100731164B1 (ko) * 2005-05-19 2007-06-20 주식회사 피에조닉스 샤워헤드를 구비한 화학기상 증착 방법 및 장치

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100780234B1 (ko) * 2006-12-05 2007-11-27 동부일렉트로닉스 주식회사 화학적 기상 증착공정의 프로세스 챔버
KR100972801B1 (ko) * 2007-09-21 2010-07-29 주식회사 피에조닉스 샤워헤드를 구비한 반도체 소자 제조 장비
KR100975850B1 (ko) * 2007-11-07 2010-08-13 주식회사 디엠에스 탄소나노튜브 제조용 샤워헤드장치
KR100972802B1 (ko) * 2010-02-19 2010-07-29 한국생산기술연구원 샤워헤드를 구비한 반도체 소자 제조 장비
KR101297375B1 (ko) * 2011-09-05 2013-08-19 주식회사 에스에프에이 평면디스플레이용 화학 기상 증착장치
KR101282158B1 (ko) * 2011-09-16 2013-07-04 엘아이지에이디피 주식회사 샤워헤드 및 이의 제조 방법
KR20140145565A (ko) * 2013-06-13 2014-12-23 가부시키가이샤 뉴플레어 테크놀로지 기상 성장 장치 및 기상 성장 방법

Also Published As

Publication number Publication date
US8298370B2 (en) 2012-10-30
US7479303B2 (en) 2009-01-20
US20060263522A1 (en) 2006-11-23
WO2006123870A1 (en) 2006-11-23
JP4630226B2 (ja) 2011-02-09
JP2006322074A (ja) 2006-11-30
US20090178616A1 (en) 2009-07-16
KR100731164B1 (ko) 2007-06-20

Similar Documents

Publication Publication Date Title
KR100731164B1 (ko) 샤워헤드를 구비한 화학기상 증착 방법 및 장치
KR100849929B1 (ko) 반응 기체의 분사 속도를 적극적으로 조절하는 샤워헤드를구비한 화학기상 증착 방법 및 장치
US20100012036A1 (en) Isolation for multi-single-wafer processing apparatus
TWI490366B (zh) Cvd腔室之流體控制特徵結構
US7918938B2 (en) High temperature ALD inlet manifold
US7601223B2 (en) Showerhead assembly and ALD methods
KR101064210B1 (ko) 막증착 진공장비용 샤워헤드
US20100300359A1 (en) Multi-gas distribution injector for chemical vapor deposition reactors
US20090241833A1 (en) Drilled cvd shower head
KR100972801B1 (ko) 샤워헤드를 구비한 반도체 소자 제조 장비
WO2005119749A1 (ja) ガス処理装置および成膜装置
US20220349052A1 (en) Apparatus for trapping multiple reaction by-products for semiconductor process
WO2008032910A1 (en) Apparatus of chemical vapor deposition with a showerhead regulating injection velocity of reactive gases positively and method thereof
US20220349053A1 (en) Apparatus for trapping multiple reaction by-products for semiconductor process
US9328419B2 (en) Gas treatment apparatus with surrounding spray curtains
KR100972802B1 (ko) 샤워헤드를 구비한 반도체 소자 제조 장비
US20040065256A1 (en) Systems and methods for improved gas delivery
KR20070042783A (ko) 가스분사장치 및 이를 이용한 기판처리장치
KR20070066626A (ko) 화학 기상 증착 장치의 샤워 헤드

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20130410

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20140121

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20150612

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20160328

Year of fee payment: 10

FPAY Annual fee payment

Payment date: 20170327

Year of fee payment: 11

FPAY Annual fee payment

Payment date: 20180406

Year of fee payment: 12

FPAY Annual fee payment

Payment date: 20190402

Year of fee payment: 13