KR20110091584A - 화학 기상 증착 유동물 유입구 부재 및 방법 - Google Patents

화학 기상 증착 유동물 유입구 부재 및 방법 Download PDF

Info

Publication number
KR20110091584A
KR20110091584A KR1020117015335A KR20117015335A KR20110091584A KR 20110091584 A KR20110091584 A KR 20110091584A KR 1020117015335 A KR1020117015335 A KR 1020117015335A KR 20117015335 A KR20117015335 A KR 20117015335A KR 20110091584 A KR20110091584 A KR 20110091584A
Authority
KR
South Korea
Prior art keywords
gas
inlet
downstream
extending
inlets
Prior art date
Application number
KR1020117015335A
Other languages
English (en)
Other versions
KR101639230B1 (ko
Inventor
미하일 벨로소프
보얀 미트로빅
켕 모이
Original Assignee
비코 인스트루먼츠 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 비코 인스트루먼츠 인코포레이티드 filed Critical 비코 인스트루먼츠 인코포레이티드
Publication of KR20110091584A publication Critical patent/KR20110091584A/ko
Application granted granted Critical
Publication of KR101639230B1 publication Critical patent/KR101639230B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/14Feed and outlet means for the gases; Modifying the flow of the reactive gases
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45578Elongated nozzles, tubes with holes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4584Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally the substrate being rotated

Landscapes

  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Mechanical Engineering (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

화학 기상 증착 반응기(10)용 유동물 유입구 부재(22)는 업스트림을 가로지르는 평면에서 반응기의 다운스트림 방향으로 서로 나란히 연장되는 복수의 긴 관형 부재(64, 65)로 형성된다. 이 관형 부재는 다운스트림 방향으로 기체를 방출하는 유입구를 가진다. 웨이퍼 캐리어(14)는 업스트림 다운스트림간 축(upstream to downstream axis) 주위를 회전한다. 기체 분배 부재는 축을 관통해 연장되는 중앙 평면(108)에 대해 비대칭인 기체 분배의 패턴을 제공한다.

Description

화학 기상 증착 유동물 유입구 부재 및 방법 {CHEMICAL VAPOR DEPOSITION FLOW INLET ELEMENTS AND METHODS}
본 출원은 화학 기상 증착 방법 및 장치에 관한 것이다.
관련 출원의 상호참조
본 출원은 2008년 12월 4일에 출원된 미국 가특허출원 제61/201,074호의 출원일에 대한 이익을 주장하며, 그 개시내용을 인용에 의해 본 명세서에 포함한다.
화학 기상 증착은 하나 이상의 기체를 함유하는 화학종(chemical species)을 기판의 표면으로 유도하여, 반응종(reactive species)이 반응하고 표면 상에 증착물(deposit)을 형성하는 과정을 포함한다. 예를 들어, 화합물 반도체는 반도체 재료를 결정질 웨이퍼(crystalline wafe 상에서 에피택셜 성장시킴으로써 형성될 수 있다. 통상 III-V족 반도체라고 하는 반도체는 갈륨, 인듐, 알루미늄, 및 이들의 조합과 같은 III족 금속인 소스와, 하나 이상의 수소화물(hydride)과 같은 V족 원소의 소스, 또는 NH3, AsH3, 또는 PH3과 같은 하나 이상의 V족 원소의 소스, 또는 테크라메틸 안티몬과 같은 Sb 유기금속(matalorganic)을 사용하여 형성된다. 이러한 프로세스에서, 기체들은 사파이어 웨이퍼(sapphire wafer)와 같은 웨이퍼의 표면에서 서로 반응하여, 일반식 InXGaYAlZNAAsBPCSbD의 III-V족 화합물을 형성하는데, X+Y+Z는 대략 1이며, A+B+C+D는 대략 1이고, X, Y, Z, A, B, C 및 D는 각각 0과 1 사이가 될 수 있다. 몇몇 경우에, 다른 III족 금속 중의 일부 또는 전부를 대신해서, 비스무트(bismuth)를 사용할 수도 있다.
통상 "할로겐화물(halide)" 또는 "염화물(chloride) 프로세스라고 하는 특정 프로세스에서, III족 금속 소스는 금속 또는 금속들의 휘발성 할로겐화물, GaCl2 같은 가장 일반적인 염화물이다. 통상 유기금속 화학 기상 증착(metalorganic chemical vapor deposition), 또는 "MOCVD"이라고 하는 다른 프로세스에서, III족 금속 소스는 예를 들면, 금속 알킬과 같은 III족 금속의 유기 화합물이다.
화학 기상 증착에 널리 사용되고 있는 장치의 한 형태는 수직축 주위를 회전하는, 반응 챔버 내에 장착된 디스크형 웨이퍼 캐리어를 포함한다. 웨이퍼는 캐리어 내에서 웨이퍼의 표면이 챔버 내의 다운스트림(downstream) 방향을 향하도록 유지된다. 캐리어가 축 주위를 회전하는 동안에, 반응 기체(reaction gas)가 캐리어의 유동물 유입구 부재 업스트림(flow inlet element upstream)으로부터 챔버 내로 유입된다. 기체가 회전 캐리어에 접근할 때, 비스코스 드래그(viscous drag)는 강제로 기체를 축 주위에 회전시켜, 캐리어의 표면 근처의 경계 영역에서, 기체는 축 주위에서 캐리어의 외연(periphery)을 향해 바깥쪽으로 흐른다. 기체가 캐리어의 바깥쪽 에지를 넘어서 흐를 때, 기체는 캐리어 아래에 배치된 배기 포트를 향해 아래쪽으로 흐른다. 가장 일반적으로, 이 프로세스는 일련의 상이한 기체 조성비(composition)와, 몇몇 경우에는, 상이한 웨이퍼 온도로 수행되어, 필요에 따라 상이한 조성비를 가지는 복수의 반도체 층을 증착하여 원하는 반도체 디바이스를 형성한다. 단지 예로서, 발광 다이오드("LED") 및 다이오드 레이저의 형성에 있어, 다중 양자 우물(multiple quantum well, "MQW") 구조가 Ga과 In의 비율이 상이한 III-V 반도체의 층들을 증착함으로써 형성될 수 있다. 각 층의 두께는 수 십 옹스트롱, 즉 몇 개의 원자 층(atomic layer) 정도일 수 있다.
이 유형의 장치는 캐리어의 표면 및 웨이퍼의 표면 위로 안정적인 정연한 흐름을 제공할 수 있어, 캐리어 상의 모든 웨이퍼 및 각 웨이퍼의 모든 영역이 실질적으로 을 균일한 조건에 노출된다. 이것이, 결과적으로 웨이퍼 상의 물질의 균일한 증착을 촉진한다.
이러한 균일성은, 웨이퍼 상에 증착된 재료의 층 두께 및 조성비에 있어 차이가 작더라도 결과 디바이스의 특성에 영향을 미칠 수 있기 때문에, 중요하다.
지금까지 해당 기술분야에서는 이러한 유형의 장치에 사용하기 위한 유동물 유입구 부재의 개발에 상당한 노력을 기울여 왔다. 통상, 유동물 유입구 부재는 사이즈가 대략 웨이퍼 캐리어와 같은 활성의, 기체 방출 구역 위에 분산되는 반응 기체를 위한 유입구를 가진다. 이들 유동물 유입구 부재 중 일부는 V족 수소화물의 혼합물과 같은 제1 반응 기체를 운반하는 반면, 다른 것들은 금속 알킬과 캐리어 기체의 혼합물과 같은 제2 반응 기체를 운반한다. 이들 유입구는 회전 축에 평행하게 연장되는 관으로 형성될 수 있으며, 유입구는 유동물 유입구 부재의 아래쪽으로 면한(downwardly-facing) 또는 다운스트림 표면 위에 분포되어 있다. 지금까지 해당 기술분야에서는 유입구들을 대칭 패턴으로 배열하는 것에 상당한 노력을 기울여 왔다. 보통, 제1 기체 유입구는, 웨이퍼 캐리어의 회전 축 주위에 방사상 대칭이거나, 회전 축에서 서로 대칭 교차하는 적어도 두 개의 수직 평면을 가지는, 패턴으로 제공된다. 제2 기체 유입구는 유사하게 대칭 패턴으로, 제1 기체 유입구들 사이에 제공되어 있다. 유동물 유입구 부재는 통상 기체를 관형(tubular)의 유입구로 보내는 복합 채널 구조체(complex channel structure)를 포함한다. 또한, 웨이퍼는 일반적으로 고온, 예를 들면, 약 500℃ 내지 약 1200℃로 유지되기 때문에, 유동물 유입구 부재는 냉각수 채널(coolant channel)을 구비하여야 한다. 이 냉각수 채널은 물 또는 다른 액체의 순환 유동물(circulating flow)을 운반하고, 이에 따라 유동물 유입구 부재의 온도를 비교적 낮게 유지하여, 기체의 조기 반응(premature reaction)을 제한하거나 방지한다. 예를 들면, 그 개시내용이 인용에 의해 본 명세서에 포함되는 미국 특허공개공보 제20060021574 A1호에 개시된 바와 같이, 유동물 유입구 부재는 반응종이 없는 캐리어 기체의 유동물을 방출하기 위한 부가적인 구조체를 구비할 수 있다.
캐리어 기체 유동물들은 반응 기체 유동물들을 서로 격리시키지만, 그 기체들은 유동물 유입구 부재의 근처에 있다. 그 기체들은 유동물 유입구 부재로부터 멀리 떨어질 때까지 서로 혼합되지 않는다. 또한, 캐리어 기체 유동물의 방출은 반응 기체들이 유동물 유입구 부재로부터 빠져나갈 때 반응 기체들의 재순환을 제한 또는 방지한다.
예를 들면, 그 개시 내용이 인용에 의해 본 명세서에 포함되는, 일반적으로 부여된 미국 특허공개공보 제20080173735 A1호에 기재된 바와 같이, 유동물 유입구 부재 근처에서의 방출된 기체의 재순환은 유동물 유입구 부재의 표면에서 다운스트림으로 돌출되는 블레이드형 확산기(blade-like diffuser)를 제공하여 기체 유동물을 안내함으로써 감소될 수 있다.
보통, 유입구는 유동물 유입구 부재의 활성 영역(active region) 전체, 즉 유입구가 배열되는 있는 구역(area) 전체에 걸쳐, 유동물 유입구 부재에서 빠져 나가는 유동물의 속도를 균일하게 구성 및 배열된다. 몇몇 경우에, 특정 기체용의 기체 유입구는, 예를 들면, 회전축에 가까운 제1 존(zone)과 회전 축에 먼 제2 부분 처럼 두 개 이상의 존으로 분할될 수 있다. 이 두 개의 존은, 제1 기체의 유동 속도를 두 개의 영역에서 독립적으로 제어할 수 있도록 별개의 독립된(separate) 기체 채널을 구비할 수 있다. 예를 들면, 하나의 일반적인 배치에 있어, V족 수소화물과 같은 제1 기체를 위한 유입구는 유동물 유입구 표면의 대부분을 덮는 어레이로 배열되는 반면, III족 알킬과 같은 제2 기체를 위한 유입구는 중심 축에 대해 대체로 반경 방향으로 연장되는 하나 이상의 좁은 스트립(strip)으로 배열된다. 이러한 시스템에서, 축에서 떨어져 배치된 스트립의 부분은 일부는 비교적 대면적을 가지는 웨이퍼 캐리어의 링형 부분(ring-like portion)에 제2 기체를 공급하는 반면, 축에 가까운 동일한 스트립의 부분은 보다 작은 면적을 가지는 웨이퍼 캐리어의 링형 부분에 기체를 공급한다. 웨이퍼 캐리어의 단위 면적당 동일한 유속(flux)의 제2 기체를 제공하기 위해, 스트립을 따라 단위 길이당 동일하지 않은 방출 속도의 제2 기체를 제공하도록 제2 기체 유입구를 구획(zone)하는 것이 일반적이었다. 예를 들면, 축에 가까운 유입구는 비교적 저농도의 제2 기체를 가지는 기체 혼합물을 공급받을 수 있는 반면, 축에서 멀리 떨어진 유입구는 더 높은 농도의 기체 혼합물을 공급받을 수 있다. 이러한 구획은 시스템의 복잡도를 더한다.
이 모든 개발에도 불구하고, 여전히 추가 개선이 바람직하다.
본 발명의 일 측면은 화학 기상 증착 반응기를 제공한다. 본 발명의 이 측면에 따른 반응기는 바람직하게 업스트림 및 다운스트림 방향을 가지는 반응 챔버를 포함하고, 또한 바람직하게 상기 반응 챔버 내의 캐리어 위치에서, 상기 업스트림 및 다운스트림 방향으로 연장되는 축 주위를 회전하는 웨이퍼 캐리어를 지지하도록 구성된 캐리어 지지체를 포함한다. 본 발명의 이 측면에 따른 반응기는 바람직하게 상기 캐리어 위치의 상기 반응 챔버 업스트림에 장착된 유동물 유입구 부재를 가지고, 상기 유동물 유입구 부재는 서로 수직이고 또한 상기 다운스트림 방향에 수직인 X 및 Y 수평 방향으로 연장되는 기체 분배면(gas distribution surface)을 가진다.
상기 유동물 유입구 부재는 바람직하게 기체를 상기 반응 챔버 내로 방출하는 복수의 긴 기체 유입구를 가지고, 상기 긴 기체 유입구는 서로 평행하게 상기 X 수평 방향으로 상기 기체 분배면을 가로질러 연장된다. 상기 긴 기체 유입구는 바람직하게 반응기(reactor)의 Y 방향 중앙 평면(medial plane)을 가로질러 연장되고, 또한 상기 기체 분배면의 상당 부분(major portion)을 가로질러 연장될 수 있다. 예를 들면, 상기 긴 기체 유입구는 실질적으로 기체 분배면 전체를 실질적으로 덮을 수 있거나, 상기 웨이퍼 캐리어의 면적과 대략 동일한 면적을 덮을 수 있다. 상기 긴 기체 유입구는 바람직하게 제1 반응 기체를 방출하는 복수의 제1 기체 유입구 및 제2 반응 기체를 방출하는 복수의 제2 기체 유입구를 포함하고, 상기 제1 기체 유입구는 상기 Y 수평 방향으로 서로 간격을 두고 떨어져 있고, 상기 제2 기체 유입구는 상기 제1 기체 유입구들 사이 사이에(interspersed with) 상기 Y 수평 방향으로 서로 간격을 두고 떨어져 있다.
상기 유동물 유입구는 상기 X 수평 방향으로 연장되는 상기 반응기의 중앙 평면 주위에 대칭이 아닌 패턴으로 배열될 수 있다. 상기 패턴은 그러한 중앙 평면 주위에 역대칭(antisymmetrical)일 수 있다. 즉, 임의의 제1 기체 유입구가 상기 X 방향 중앙 평면의 일측에 대해 양(positive)의 Y 거리에 배치되는 경우, 제2 기체 유입구가 상기 X 방향 중앙 평면의 반대측에 대해 음(negative)의 Y 거리에 배치된다.
본 발명의 또 다른 측면은 화학 기상 증착 방법 및 화학 기상 증착 반응기에 사용ㄷ하는 유동물 유입구 부재를 제공하는 것이다.
도 1은 본 발명의 일 실시예에 따른 증착 장치를 나타낸 개략 단면도이다.
도 2는 도 1의 장치에 사용된 구성요소의 개략 평면도이다.
도 3은 도 2의 3 - 3 선을 따른 개략 단면도이다.
도 4는 도 2 및 도 3의 부재에 있어 특정 구조를 나타낸 개략 부분 단면 사시도이다.
도 5는 도 4에 도시된 구조(체)의 일부를 확대한 개략 부분 단면도이다.
도 6은 도 5와 유사하지만 도 4에 도시된 구조(체)의 다른 부분을 나타낸 도면이다.
도 7, 도 8, 및 도 9는 도 1 내지 도 6의 장치를 사용하여 얻은 웨이퍼 캐리어 상의 기체 분배를 개략적으로 나타낸다.
도 10은 도 4와 유사하지만 본 발명의 다른 실시예에 따른 장치의 부분들을 나타낸 도면이다.
도 11은 도 4와 유사하지만 본 발명의 또 다른 실시예에 따른 장치를 나타낸 추가 도면이다.
도 12는 도 2와 유사하지만 본 발명의 또 다른 실시예에 따른 장치를 나타낸 도면이다.
도 13은 본 발명의 또 다른 실시예에 사용된 구성요소의 개략 단면도이다.
도 14, 도 15, 및 도 16은 본 발명의 또 다른 실시예에 사용된 구성요소의 개략 단면도이다.
본 발명의 일 실시예에 따른 반응기(도 1)는 실질적으로 중심 축(16) 주위의 회전면(surface of revolution) 형태의 내부면(interior surface)을 구비한 벽들을 가지는 반응 챔버(10)를 포함한다. 반응기 벽은 반응기의 업스트림 단부에 인접한, 끝이 점점 가늘어지는 테이퍼형 섹션(tapering section)(13)을 포함할 수 있고, 또한 가동 후크형 섹션(movable hoop-like section)(17)을 포함할 수 있다. 챔버 내에는 축(16) 주위를 회전하는 스핀들(spindle)(12)이 장착된다. 스핀들(12) 상에는 디스크형 웨이퍼 캐리어(disc-like wafer carrier)(14)가 장착된다. 이 웨이퍼 캐리어(14)는 웨이퍼(18)와 같은 하나 이상의 기판을, 웨이퍼의 표면(20)이 축을 따라 업스트림 방향(U)으로 향하게 지탱하도록 배열되어 있다. 가동 벽 섹션(17)은 시스템이 도시된 바와 같이 작동 상태일 때, 웨이퍼 캐리어(14) 주위에 연장되는 셔터(shutter)를 형성한다. 이 셔터는 축 방향으로 이동되어 시스템을 로딩(loading) 및 언로딩(unloading)하기 위한 포트(port)를 개방할 수 있다. 일반적으로, 웨이퍼 캐리어(14)는, 웨이퍼 캐리어를 제거함으로써 시스템을 언로딩하고 새로운 웨이퍼 캐리어를 삽입함으로써 시스템을 리로딩(reloading)할 수 있도록, 스핀들 상에 탈착 가능하게 장착된다.
반응기 내에는 웨이퍼 캐리어 및 웨이퍼를 가열하기 위한 전기 저항 히터(electrical resistance heater)와 같은 히터(15)가 구비된다. 또한, 반응 챔버의 다운스트림 단에는 배기 시스템(exhaust system)(19)이 연결된다.
전술한 장치의 특징은 미국 뉴욕 플레인뷰에 위치한 Veeco Instruments, Inc에서 "TurboDisc" 및 "Ganzilla"라는 상표로 판매하는 반응기에 사용된 것과 유사할 수 있다.
반응 챔버의 업스트림 단(upstream end)에는 유동물 유입구 부재(22)가 제공된다. 유동물 유입구 부재의 다운스트림 표면(24)은 다운스트림 방향으로, 웨이퍼 캐리어와 웨이퍼를 향한다. 유동물 유입구 부재는, V족 수소화물과 같은, 일반적으로 N2 또는 H2와 같은 캐리어 기체와의 혼합물 형태의, 제1 반응 기체(30)의 소스에 연결된다. 유동물 유입구 부재는 또한 금속 알킬과 같은, 또한 일반적으로 캐리어 가스와 혼합물 형태의, 제2 반응 기체의 소스(26)에 연결되다. 또, 유동물 유입구 부재는 어떤 반응 기체와도 혼합되지 않은, N2 또는 H2와 같은 캐리어 기체의 소스(32), 및 냉각수 순환 디바이스(33)에 연결된다.
도 2 및 도 3에서 가장 잘 볼 수 있듯이, 유동물 유입구 부재(22)는 다운스트림 대향면(downstream-facing surface)(42)을 가지는 상판(top plate)(40) 및 다운스트림 대향면(42)으로부터 다운스트림으로 돌출되는 환형 매니폴드(annular manifold)를 포함한다. 매니폴드(44)는 내부 배플(internal baffle)(46)(도 2)에 의해 제1 기체 섹션(48)과 제2 기체 섹션(50)으로 다시 나뉜다. 제1 기체 섹션(48)과 제2 기체 섹션(50)은 반응기를 관통하여 연장되고 반응기의 축(16)을 포함하는 중앙 평면(52)의 대략 반대측에 있다. 제1 기체 섹션(48)은 제1 반응 기체(30)의 소스에 연결되는 반면, 제2 기체 섹션(50)은 제2 반응 기체(26)의 소스에 연결된다(도 1). 이러한 연결들은 상판(40)을 관통하여 아래쪽으로 연장되는 보어(bore)에 의해 안정될 수 있다. 기체 매니폴더(48)로부터 다운스트림에 환형 냉각수 채널이 제공된다. 이 냉각수 채널은 중앙 평면(52)의 일측에 배치된 냉각수 유입구 섹션(54)과, 중앙 평면(52)의 반대측에 배치된 냉각수 유출구 섹션(56)으로 다시 나뉜다.
냉각수 유입구 및 유출구 섹션은 매니폴드 섹션(48, 50)을 관통하여 연장되는 도관(도시되지 않음)에 의해 냉각수 순환 장치(33)(도 1)에 연결된다.
상판(40)으로부터 다운스트림에 기체 분배판(gas distribution plate)(60)이 배치되어 판들(60, 40)이 함께 그들 사이에 기체 분배 챔버(62)를 규정한다. 기체 분배 챔버(62)는 캐리어 기체 소스(32)(도 1)와는 통하지만, 매니폴드의 제1 기체 섹션 또는 제2 기체 섹션과는 통하지 않는다.
도 4에서 가장 잘 볼 수 있듯이, 기체 분배판(60)은 서로 평행하게 연장되는 많은 긴 관형 기체 분배 부재(64, 66)으로 구성된다. 이 긴 부재(64, 66)들의 연장 방향은 임의로 "+X" 방향이라고 부른다. 이 방향은 업스트림 및 다운스트림 방향에 수직이고, 또 챔버(도 1)의 축(16)에 수직인 방향이다. 이 긴 부재들은 마찬가지로 축(16)에 수직이고 +X 방향에 수직인 "+Y" 방향으로 서로로부터 오프셋되어 있다.
X 방향 및 Y 방향을 비롯한, 축(16)에 수직인 방향은, 축(16)이 보통(반드시 그렇지는 않지만) 표준 중력 기준면(normal gravitational plane of reference)에 수직으로 연장되는 점을 고려하여, 여기서 "수평" 방향이라고 한다. 또한 축에 수직인 평면은 여기서 수평면(horizontal plane)이라고 한다. 따라서, 상판(40) 및 기체 분배판(60) 모두는 수평면으로 연장된다. 또한, 종래 방식의 데카르트 좌표계에서, +X 방향에 반대인 수평 방향은 여기서 -X 방향이라고 하고, +Y 방향에 반대인 수평 방향은 여기서 -Y 방향이라고 한다. 축(16)에 평행한 업스트림 방향(U) 및 다운스트림 방향(D)은 데카르트 좌표계의 제3 방향 또는 Z 방향이 된다.
여기서 관형 부재(64)는 제1 기체 분배 부재라고 한다. 따라서, 도 5에서 볼 수 있듯이, 각 제1 기체 분배 부재는
연속된(solid) 업스트림 벽(68), 연속된 측 벽(70), 및 다운스트림 벽(72)을 가지는 대략 직사각형의 관형 본체(tubular body)를 포함한다. 벽(68, 70, 72)들은 함께 내부 보어(bore)(74)를 규정한다. 다운스트림 벽(72)은 벽을 관통해 연장되는 긴 슬롯(76) 형태의 개구부를 가진다. 슬롯(76)은 제1 기체 부재(64)를 따라 길이 방향(X 방향)로 연장된다.
다운스트림 벽(72) 상에는 긴 확산기(78)가 장착되고 제1 기체 분배 부재(64)를 따라 길이 방향으로 연장된다. 확산기(78)는 대략 삼각 기둥(triangular prism) 형태이다. 확산기는 두 개의 섹션(80)으로 구성되고, 각 섹션은 확산기 내에서 길이 방향, 즉 X 방향으로 연장되는 통로(82)를 포함한다. 확산기(80)는 전체적으로 대략 긴 삼각 기둥 형태이다. 확산기의 Y 방향에 있어 폭 또는 치수는 관형 부재로부터 멀어지는 다운스트림 방향(D)으로 거리에 따라 감소한다. 통로 또는 추가의 기체 유입구(84)는 확산기(78)를 관통하여 관형 부재로부터 이 관형 부재에서 떨어져 있는 확산기의 에지, 즉 확산기의 다운스트림 에지로 연장된다. 통로 또는 기체 유입구(84)는 확산기의 두 개의 등을 맞댄(back to back) 삼각형 섹션(80)에 의해 규정되는 긴 슬롯의 형태이다. 통로(84)는 슬롯(76)과 통하므로, 제1 기체 분배 부재(64)의 길이를 따라 관형 부재의 내부 보어(74)와 통한다.
여기서는 제2 기체 분배 부재라고 하는 부재(66)는, 각 제2 기체 분배 부재의 다운스트림 벽(86)(도 6)이 제1 기체 분배 부재(64)의 슬롯(76) 대신에 부재의 길이를 따라 배열된 일련의 구멍(88)을 가지는 것을 제외하고는, 제1 기체 분배 부재(64)와 동일하다. 또한, 각 제2 기체 분배 부재의 확산기는 일련의 소형 관형 유입구 포트(92)를 가지고, 도 6에서 보이는 그 중 하나는 확산기를 관통하여 연장되고 구멍(88)과 통한다. 각각의 통로 또는 유입구 포트(92)는 확산기(90)의 다운스트림 에지에서 개방되어 있다. 여기서 또 , 각 관형 부재는 업스트림 벽(96) 및 측 변(94)를 가져, 다운스트림 벽(86)과 다른 벽들(94, 96)은 함께 부재 내에서 길이 방향으로 연장되는 내부 보어(98)를 규정한다. 여기서 또, 각 확산기는 냉각수 통로(100)를 가지고, 또한 길이 방향으로 연장된다. 부재(66)의 길이를 따라 제공된 많은 개별 유입구(92)는 함께 긴 유입구를 규정한다. 따라서, 본 개시 내용에서 사용된 바와 같이, 긴 유입구에 대한 언급은 부재(64)의 슬롯(76)과 같은 긴 일체의 슬롯(elongated unitary slot)와, 또한 행(row)으로 배열된 복수의 개별 유입구로 구성된 긴 유입구 모두를 포괄하는 것으로 이해되어야 한다.
도 4에서 볼 수 있듯이, 제1 및 제2 기체 분배 부재(64, 66)는 나란히 배열되고 상호 인접한 부재들의 측벽(94, 70) 사이에 연장되는 용접 부위(weld)(102)에 의해 서로 기계적으로 부착되어 있다. 부재들의 업스트림 벽(94, 68)은 함께 판(60)의 업스트림 면을 규정하는 반면, 다운스트림 벽(72, 86)은 함께 판(60)의 다운스트림 면을 규정한다. 용접 부위(102)는 부재들의 길이를 따라 간격을 두고 떨어진(spaced-apart)위치에만 배열되어 있다. 여기서는 "베이스" 유입구라고 하는, 슬롯형(slot-like) 유입구 개구부(104)는 인접한 기체 분배 요소들(64, 66) 사이에서 판을 업스트림 면에서 다운스트림 면으로 관통하여 연장된다. 기체 분배판(60)의 업스트림 면은 이 판(60)과 상판(40) 사이의 공간(62)에 면한다(confront).
도 2 및 도 3에서 가장 잘 볼 수 있듯이, 복합판(composit plate)(60)은 매니폴드(44)에 장착되고 이 매니폴드로 둘러싸인 원형 영역을 완전히 가로질러 연장된다. 따라서, 판(60)은 여기서 유동물 유입구 부재의 활성 영역 또는 기체 방출 영역이라고 하는 원형 영역을 완전히 점유한다. 이 원영 영역은 축(16)과 동축이다. 제1 기체 분배 부재(64)와 제2 기체 분배 부재(66)는 X 수평 방향, 즉 또한 이 X 방향으로 연장되는 중앙 면(108)에 평행한 방향으로 연장된다. 제1 및 제2 기체 분배 부재(64, 66)은 물리적으로 제1 기체 섹션(48)과 제2 기체 섹션(50) 사이에 연장되고 두 섹션에 기계적으로, 예를 들면, 용접에 의해 연결된다. 그러나, 제1 기체 분배 부재(64)의 내부 보어는 제1 기체 섹션(48)하고만 통하는 반면, 제2 기체 분배 부재(66)는 제2 기체 섹션(50)하고만 통한다. 확산기(78, 90) 내에 포함된 냉각수 채널(82, 100)(도 5 및 도 6)은 양단에서 개방되어 있고, 냉각수 유입구 섹션(54) 및 냉각수 유출구 섹션(56)에 연결된다(도 3).
도 2에서 가장 잘 볼 수 있듯이, 개별 기체 분배 요소(64, 66) 각각은 X 방향에 수직으로 연장되는 중앙 평면(52)을 가로질러 X 방향으로 연장된다. 개별 기체 분배 부재들에 의해 규정된 긴 유입구도 중앙 평면(52)을 가로질러 연장된다. 본 실시예에서, 각 기체 분배 부재, 및 각 기체 분배 부재에 의해 규정된 긴 유입구는 실질적으로 유동물 유입구 부재의 활성 기체 분배 영역의 전체 폭(span)을 가로질러 연장된다. 제1 및 제2 기체 분배 부재(64, 66)은 X 방향으로 연장되는 중앙 평면(52)에 대해 대칭으로 배열되지 않는다. 오히려, 제1 및 제 2 기체 분배 부재(64, 66)는 중앙 평면(52)에 대해 역대칭(antisymmetrical) 또는 음의 대칭(negative-symmetry) 패턴 내에 배열된다. 즉, 중앙 평면(52)로부터 양의 또는 +Y 차이(difference)로 배열된 각 제1 기체 분배 요소(64)마다, 중앙 평면(52)으로부터 대응하는 -Y 거리에 배열된 제2 기체 분배 부재(66)가 존재한다. 예를 들면, 제1 기체 분배 부재(64a)는 중앙 평면(52)로부터 거리 +Ya에 배치된다. 제2 기체 분배 부재(66a)는 대응하는, 중앙 평면(52)으로부터 동일한 크기의 음의 거리 -Ya에 배치된다. 각 기체 분배 부재까지의 거리는 그러한 부재에 의해 규정된 유입구의 세로 방향(longitudinal)의 중심선까지, 예를 들면, 슬롯형 유입구(84)(도 6)의 세로 방향의 중심선 또는 구멍(92)(도 6)의 세로 방향의 중심선까지 측정된다. 도 2의 묘사에 있어, 기체 분배 부재들 사이의 공간 또는 베이스 기체 유입구(104)는 실례의 명료함을 위해 생략된다.
동작 시에, H2, N2, 또는 이 둘 다와 같은 하나 이상의 캐리어 기체와의 혼합 상태의 암모니아의 혼합물 또는 다른 V족 수소화물과 같은 제1 반응 기체는, 매니폴드의 제1 기체 섹션(48)을 통해 공급되어 제1 기체 분배 부재(64)의 길이 방향의 보어 (74)(도 5)로 통과한다. 따라서 제1 반응 기체는 제1 기체 분배 부재(64) 및 관련 확산기(78)에 의해 규정된 유입구(34)로부터 기체의 일련의 긴 커튼형(curtain-like) 스트림(stream, 흐름)(111)(도 4)으로 흘러나온다. 유사하게, 캐리어 기체와의 혼합 상태의 금속 알킬과 같은 제2 반응 기체는, 매니폴드의 제2 기체 섹션(50)을 통해 공급되어 제2 기체 분배 부재(66)의 내부 보어(98)(도 6)를 통과한다. 따라서 제2 반응 기체는 제2 기체 분배 부재 및 관련 확산기에 의해 규정된 유입구(92)로부터 여러 행의 스트림(113)(도 4))으로 흘러나온다. 이 기체 스트림(114)의 행들은 제1 기체의 스트림(111) 사이 사이에 배치된다. H2, N2, 또는 이들의 혼합물과 같은 캐리어 기체는 캐리어 기체 공간(62)으로 도입되어 판(plate)을 구성하는 기체 분배 부재들(64, 66)과 사이에 규정된 공간 또는 베이스 개구부(104)를 통과한다. 따라서 캐리어 기체는 제1 반응 기체의 각 스트림(11)과 제2 반응 기체의 스트림(113)의 인접한 행들 사이에 개재된 커튼형 스트림(115)으로 흘러나온다. 기체들의 스트림들은 웨이퍼 캐리어(14) 및 웨이퍼(18) 근처 하류로 이동하고, 그곳에서 웨이퍼 캐리어 및 웨이퍼의 회전 운동에 의한 회전 흐름에 휩쓸린다. 제1 반응 기체 및 제2 반응 기체는 웨이퍼 표면에서 서로 반응하여 예를 들면, III-V족 반도체와 같은 증착물을 형성한다.
제1 반응 기체 및 제2 반응 기체는 실질적으로 서로 분리되어 있지만, 유동물 유입구 부재의 근처에 있고 유동물 유입구 부재로부터 다운스트림으로 실질적으로 층을 이루어, 정연한 흐름으로 흐른다. 이 움직에는 몇 가지 요인이 기여한다. 확산기(90)와 확산기(76)는 그들 사이에 베이스 유입구(104)의 다운스트림에 배치되어 있는 채널과 같은, 대체로 V자형의 채널을 규정한다. 이 채널은 베이스 유입구(104)로부터 다운스트림으로 길이에 따라 Y 수평 방향으로 점점 넓어진다. 이것은, 실질적으로 층을 이루는 캐리어 기체 흐름이 확산기(76, 90)의 다운스트림 에지에 널리 퍼지도록, 캐리어 기체 흐름(115)의 확산을 질서 정연하게 촉진시킨다. 제1 및 제2 반응 기체의 흐름(111, 113)은 확산기의 다운스트림 에지에서 이 흐름 형태(flow regime)로 도입되고 따라서 유사한 정연하게 층을 이루는 흐름으로 흐르기 쉽다. 또한, 캐리어 기체 흐름(115)은 제1 반응 기체 흐름(111)과 제2 반응 기체 흐름(113) 사이에 실질적으로 완전한 격리(isolation)를 제공한다. 달리 말하면, 수평면 내의 경로, 업스트림 다운스트림간 축(16)을 가로질러, 제2 반응 기체 흐름(113) 중 하나로부터 인접한 제1 반응 기체 흐름(11)으로 연장되는 이 경로는 캐리어 기체 흐름(115) 중 하나를 가로지를 것이다. 이것은 수평면에 그려진 모든 곡선에 대해 사실이며, 유동물 유입구 부재의 활성 영역, 즉 기체 유입구가 존재하는 영역 내에 구속된다. 제1 반응 기체 흐름과 제2 반응 기체 흐름 사이의 이 실질적으로 완전한 격리는 기체들 사이의 조기 반응(premature reaction)을 최소화한다.
제1 및 제2 반응 기체의 흐름은 X 방향으로 연장된 중앙 평면(108) 주위에서 대칭이 아니다. 웨이퍼 캐리어 및 웨이퍼가 고정되어 있었다면, 웨이퍼 캐리어 및 웨이퍼는 제1 및 제2 반응 기체에 불균일하게 노출되는 결과를 초래할 것이다. 예를 들면, 도 7에 대칭으로 나타낸 바와 같이, 웨이퍼 캐리어(14)는 +X 방향, 도 7의 오른쪽을 가리키는 설명을 위해 제공된 캐리어 상의 마커(120)와 함께 도시되어 있다. 웨이퍼 캐리어가 이 배향으로 유지할 수 있었다면, 어두운 줄무늬로 나타낸 영역은 제1 반응 기체에 의해 심하게 영향을 받을 것이고, 밝은 줄무늬로 나타낸 영역은 제2 반응 기체에 의해 더 심한 영향을 받을 것이다. 동일한 패턴의 영향역권(impact area)이 도 8에 도시되어 있지만, 표시자(120)가 반대 방향 또는 -X 방향을 가리키도록, 웨이퍼 캐리어(14)는 중심축(16)에 대해 180°회전된 상태이다. 도 8의 밝은 줄무늬 및 어두운 줄무늬의 패턴은 도 7의 패턴과 반대이다. 따라서, 웨이퍼 캐리어가 회전할 때, 웨이퍼 캐리어의 하나의 배향으로 제1 가스에 심하게 노출되었던 영역은 웨이퍼 캐리어의 반대 배향에서 제2 기체에 심하게 노출될 것이다. 웨이퍼 캐리어가 계속 회전함에 따라, 노출 패턴은 도 9에 도시된 바오 ㅏ같이 균일해진다.
이 구성에서, 긴 제1 기체 분배 부재(64)(도 2) 중 하나를 따라 각 단위 길이(unit length)는 웨이퍼 캐리어 상의 동일한 사이즈의 면적에 제1 기체를 공급한다. 마찬가지로, 긴 제2 기체 분배 부재(66)(도 2) 중 하나를 따라 각 단위 길이는 웨이퍼 캐리어 상의 동일한 사이즈의 면적에 제2 기체를 공급한다. 그러므로, 모든 제1 기체 분배 부재(64)가 그 전체 길이를 따라 단위 길이당 동일한 질량 흐름률의 제1 기체를 제공하도록 배치되고, 모든 제2 기체 분배 부재(66)가 그 전체 길이를 따라 단위 길이당 동일한 질량 흐름률의 제2 기체를 제공하도록 배치되는 경우, 웨이퍼 캐리어 상에는 실질적으로 균일한 유속의 제1 및 제2 기체가 제공될 수 있다. 단위 길이당 제1 기체의 질량 흐름률은 긴 슬롯(84)(도 5) 각각의 전체 길이에 걸쳐 균일한 것이 바람직하다. 또한 제2 기체의 질량 흐름률은 개별 유입구 포트(92)(도 6)의 행에 의해 규정된 긴 유입구 각각의 전체 길이에 걸쳐 균일한 것이 바람직하다. 제1 기체 또는 제2 기체의 단위 길이당 상이한 체적 흐름률(volume flow rate) 또는 상이한 농도을 가지는 제1 기체 유입구의 다수의 존(zone) 또는 제2 기체 유입구의 다수의 존을 제공할 필요는 없다. 이것은 시스템의 구성 및 동작은 매우 단순화시킨다. 또한, 이러한 단순성은 가스 유입구의 균일한 어레이를 제공하기 위해 통상 사용되는 복잡한 구조 없이 제공된다. 각 유동물 유입구 부재(64, 66)의 길이를 따라 질량 흐름률이 균일하도록 보장하기 위해, 보어(74) 또는 보어(98)를 통해, 부재의 길이를 따라 흐름 저항(flow resistance)은 유입구(84, 92)를 통한 보어에서의 흐름 저항에 비해 작은 것이 바람직하다.
도 7 및 도 8에 도시된 영향 패턴(impact pattern)은 이해를 돕기 위해 제공된 것일 뿐임을 알아야 한다. 실제의 실시에서는 다운스트림으로의 기체 흐름은 축 주위의 회전 운동 내로 휩쓸린다. 기체의 회전 운동은 웨이퍼 캐리어의 임의의 주어진 회전 위치에서의 기체에 대한 노출 패턴을 도 7 및 도 8에 도시된 것보다 더 균일하게 만들기 쉽다.
전술한 바와 같은 구성 및 동작 방법은 기본적으로 모든 사이즈의 반응 챔버에 이용될 수 있다. 이 구성의 규모는, 예를 들면 약 600 mm 이상의 웨이퍼 캐리어를 가지고 대략 동일하거나 큰 직경의 활성, 기체 방출 영역을 구비한 유동물 유입구 부재를 가지는 반응기를, 비교적 큰 사이즈로 확대될 수 있다. 또한, 유동물 유입구 부재는 용이하게 제조될 수 있다.
전술한 구성에 대한 많은 변형 및 조합이 이용될 수있다. 전술한 구성의 변형예에서 제1 기체 분배 부재(64)는 캐리어 기체의 유동물(flows)을 공급하기 위해 사용될 수 있는 반면, 베이스 유입구(104)는 제1 반응 기체의 유동물을 공급하기 위해 사용될 수 있고, 제2 기체 분배 부재는 제2 반응 기체를 공급하기 위해 사용될 수 있다. 다른 변형예에서는, 두 가지 이상의 반응 기체를 사용할 수 있다. 예를 들면, 기체 분배 요소는 대체로 서로 평행하게 연장되는 제1, 제2, 및 제3 기체 분배 요소를 포함할 수 있다.
도 10에 도시된 다른 변형예에서, 유동물 유입구 부재의 기체 분배판(26)과 상판(240) 사이의 기체 공간(262)은, 판의 기체 분배 부재들 사이의 베이스 유입구(204)를 통해 흘러나오는 기체의 흐름이 제1 반응 기체의 흐름(111)이 되도록, 예를 들면, 암모니아 같은 제1 반응 기체의 소스에 연결된다. 본 실시예에서, 판(260)을 구성을 모든 기체 분배 부재(266)는 전술한 제2 기체 분배 부재(66)와 동일한 방식으로 구성된다. 따라서, 제2 반응 기체의 흐름은 모든 확산기의 에지에서 추가의 유입구(274)로부터 흘러나온다. 다른 변형예에서, 유동물 유입구 부재는 전술한 제1 기체 분배 부재(64)에서 사용된 것과 같은 슬릿형 유입구를 구비하여 구성된다. 제1 기체와 제2 기체 사이에 분리를 제공하기 위해 별개의 캐리어 기체 흐름이 사용되지 않더라도, 확산기 팁에서의 제2 반응 기체의 배출(113)은, 확산기에 의해 촉진된 제1 반응 기체의 매끄러운 흐름 층류(flow laminar) 내의, 기체의 재순환 및 유동물 유입구 부재 상의 불필요한 부산물(unwanted by-product)의 증착을 대비한 충분한 확신을 제공한다.
다른 실시예(도 11)에서, 각 기체 분배 부재는 관형 본체(286)을 포함하며, 이것은 관형 본체의 다운스트림 면에서 개방되는 일련의 구멍(287) 형태의 긴 기체 유입구를 규정한다. 긴 기체 분배 부재 각각은 관형 본체의 다운스트림 면에 장착된 두 개의 확산기(288)를 가지고 이 두 개의 확산기는 긴 유입구의 반대 측에 놓여 있다. 여기서 또, 기체 분배 부재는 서로 부착되지만 그들 사이에 베이스 유이북(29)를 규정하도록 서로 간격을 두고 떨어져 있다. 본 실시예에서, 기체 분배 부재 내에 일련의 구멍(287)에 의해 규정된 유입구뿐 아니라 베이스 유입구(290)는, 모든 유입구의 개구부가 동일한 평면에 배치되도록, 관형 본체(286)의 다운스트림 표면에서 반응 챔버로 개방된다. 이 구성에서, 확산기(288)는 기체 분배 부재에 의해 규정된 각 유입구(287)와 인접한 베이스 유입구(290) 사이에 배치된다. 여기서 또, 상판(240)과 기체 분배 부재로 형성된 복합판 사이의 기체 공간(292)은 제1 기체의 소스에 연결되어 있는 반면, 기체 분배 부재들은 제1 기체 흐름(111)이 베이스 유입구(290)로 흘러나오고 제2 기체 흐름(113)이 기체 분배 부재에 의해 규정된 유입구(287)로부터 흘러나오도록, 제2 기체의 소스에 연결되어 있다. 본 실시예에서도, 확산기에 의해 촉진된 매끄러운 층류 흐름은 재순환 및 증착물 형성을 방지한다. 다른 변형예에서, 기체 분배 부재에 의해 규정된 긴 유입구 중 일부 또는 전부는 일련의 구멍이 아니라 슬롯일 수 있다. 여기서 또, 기체는 제1 및 제2 기체 외에 캐리어 기체를 포함할 수 잇다.
또 다른 변형예에서는, 기체 분배판의 다운스트림 면에 장착된 확산기를 생략할 수 있다. 또 다른 변형예에서는, 유입구를 제외한 복합판의 다운스트림 면 위에 다공성 스크린(porous screen)을 제공할 수 있다. 또 다른 구성(도 12)에서는, 관형 기체 분배 부재(360)들을 서로 인접하는 관계로 나란히 장착하여 예를 들면 용접에 의해 함께 고정될 수 있다. 이 구성에서는, 기체 분배 부재(366)에 의해 형성된 기체 분배판을 관통하여 연장되는 베이스 유입구가 없다. 다공성 스크린(300)은 판(360)으로부터 다운스트림에 장착되고, 여러 기체 분배 유입구 중 유임구(364)는 이 스트림을 통하여 다운스트림으로 연장되는 짧은 튜브를 구비한다. 캐리어 기체는 복합판(360)과 스크린(300) 사이의 공간(363)으로 도입되어, 캐리어 기체가 스크린을 관통하여 흘러 유입구(362)로부터 흘러나오는 반응 기체의 스트림 각각을 둘러쌀 수 있다. 본 실시예에서는 개별 기체 분배 부재의 하면에 냉각 채널(367)을 설치할 수 있다.
전술한 실시예에서, 기체 분배판은 서로 결합된 별개의 긴 기체 분배 부재로 형성된다. 그러나, 기체 분배판은 또한 전술한 것과 유사한 긴 유입구를 규정하는 하나 이상의 통합(unitary) 판으로 형성될 수 있다.
전술한 실시예에서, 긴 기체 유입구는 일자형(straight)이다. 그러나, 반드시 그래야 하는 것은 아니다. 이것은 필수적인 것은 아니다. 예를 들면, 도 13의 실시예에서, 실선으로 개략적으로 나타낸 긴 제1 기체 유입구(646) 각각은, 지그재그 패턴으로 연장된다. 따라서, 이러한 유입구 각각은 Y 방향으로 작은 편차(minor deviation)를 가지고 대체로 X 방향으로 연장된다. 파선으로 개략적으로 나타낸 긴 제2 기체 유입구(466)는 유사한 지그재그 패턴으로 연장된다. 베이스 유입구(도시되지 않음)도 또한 유사한 지그재그 구성을 가질 수 있다. 이 구성에서도, 제1 및 제2 기체 유입구는 서로 대략 평행하게 연장된다. 그러나, 긴 기체 유입구 각각은 여전히 대체로 X 방향으로 연장된다. 다시 말하면, X 방향에 있어 기체 유입구의 어떤 상당한 규모 Ex를 넘는, Y 방향에 있어 그러한 유입구의 규모 Ey는 Ex에 비해 작다. 다른 변형예(도 14)에서, 긴 기체 유입구(564, 566)은 일자형이 아니라 원호(arc) 형태이다. 여기서 또, 기체 유입구는 대체로 X 방향으로 연장된다.
전술한 실시예에서, 각각의 긴 기체 유입구는 전체 길이를 따라 단위 길이당 동일한 질량 흐름률을 제공한다. 변형예에서, 다위 길이당 반응 기체의 단위 길이당 질량 흐름률은 긴 기체 유입구의 길이를 따라 점진적으로 변화할 수 있다. 이것은, 예를 들면, 특정한 긴 기체 분배 부재가 일단에서만 기체 혼합물을 제공받고 그 길이를 따른 흐름에 대해 상당한 저항이 있는 경우, 발생할 수 있다. 도 15는 이러한 긴 유입구로부터 흐르는 제1 반응 기체의 영향 패턴(601a)을 개략적으로 나타낸다. 이 경우에, 특정 유입구로부터의 반응 기체의 질량 흐름률은 유입구의 길이를따라 +X 방향으로 점진적으로 줄어든다. 따라서, 기체에 의해 영향을받는 웨이퍼 캐리어 상의 영역의 폭은 +X 방향으로 줄어드는 것으로 나타나 있다. 도 15의 구성에서, 제1 반응 기체 유입구(606)는 반대의, -X 방향으로 줄어드는 질량 흐름률을 가진다. 중심축에 대한 웨이퍼의 회전은 영향 패턴의 차이를 상쇄시킬 것이다. 예를 들면, 여향 패턴(601a)의 부분(603)과 나란한 웨이퍼의 부분은 웨이퍼 캐리어가 반바퀴 회전하면 부분(505)와 나란해질 것이다. 또 다른 구성에서, 제1 기체 유입구 중 하나 건너 하나(alternate one)는 X 방향의 반대로 줄어드는 질량 흐름률을, 따라서 충력 패턴을 가질 수 있다. 제2 기체 유입구는 유사한 구성을 가질 수 있다.
전술한 실시예에서, 제1 및 제2 기체 유입구는 같은 수로 제공되고 Y 방향으로 1:1 교번 순서(alternating order)로 배열된다. 그러나, 이것은 필수적인 것은 아니다. 예를 들면, 2개, 3개 또는 그 이상의 긴 제1 기체 유입구가 제2 기체 유입구의 각 쌍 상이에 제공될 수 있다.
또한, 긴 기체 유입구를 X 방향으로 연장되는 중앙 평면에 대해 정확히 역대칭 구성으로 배치하는 것이 필수적인 것은 아니다. 이 구성의 편차는, 대칭 구성까지 대칭 구성을 포함하여, 사용될 수 있다. 또한 전술한 바와 같이, 긴 기체 유입구를 규정하는 판은 긴 관형 기체 분배 부재를 포함한다. 그러나, 긴 기체 유입구는, 예를 들면 적절한기체 분배 채널(gas distribution channel)을 가지는 하나 이상의 통합형 판 또는 유입구와 통하는 챔버와 같은, 다른 구조체에 의해 제공될 수 있다.
본 발명의 다른 실시예에 따른 화학 기상 증착 장치(도 16)는 대체로 중심축(716) 주위를 회전하는 중공의 본체(hollow body) 형태인 반응 챔버(710)를 포함한다. 도 1과 관련하여 전술한 실시예에서와 같이, 이 장치는 중심축(716) 주위를 회전하는 웨이퍼 캐리어(도시되지 않음)를 지지하도록 구성된 스핀들(도시되지 않음)과 같은 지지체를 포함한다. 이 실시예에서, 유동물 유입구 부재(722)는, 도 16에서 실선으로 개략적으로 나타낸 제1 기체 유입구(764), 및 파선(dashed line)으로 개략적으로 나타낸 제2 기체 유입구(766)를 규정한다. 제1 기체 유입구은, 예를 들면, III족 원소를 함유하는 기체 혼합물과 같은 제1 반응 기체의 소스에 연결되는 반면, 제2 기체 유입구는 V족 원소를 함유하는 기체 혼합물과 같은 제1 기체와 반응하는 제2 기체의 소스와 연결된다. 또한 기체 유입구는 도 16에 점선(dotted line)으로 개략적으로 나타낸 제3 기체 유입구(768)를 포함한다. 제3 기체 유입구는 챔버 내에서 지배적인 상태에서 제1 기체와 제2 기체와 실질적으로 반응하지 않는 캐리어 기체의 소스에 연결된다.
제1 기체 유입구는 중심축(716)으로부터 제1 반경 R1을 가지는 기체 분배 면의 영역 내에만 연장된다. 다시 말해, 제1 기체 출구는 중심축으로부터 제1 반경 R1까지 연장된다. 제2 기체 출구는 중심축으로부터 제2 반경 R2까지 연장되며, 본 실시예는 제1 반경 R1과 같다. 제3 기체 유입구는 제1 및 제2 반경보다 크고 따라서 R1 및 R2 보다 큰, 반경 R3까지 연장된다. 나타낸 특정한 예에서, 반경 R3은 기체 분배면에서의 반응 챔버의 내부 반경과 같거나 약간 작다. 제1 반경 R1과 제2 반경 R2은 웨이퍼 캐리어의 반경과 대략 같을 수 있다.
동작 시에, 제1 및 제2 기체 유입구로부터 흘러나온 기체는 다운스트림(도 16에서 축(716)을 따라 관찰자를 향하는 방향으로)을 지나 웨이퍼 캐리어에 도달하여 캐리어 상에 수용된 웨이퍼의 화학 기상 증착 반응 또는 다른 처리에 참여한다. 제1 반경 R1 및 제2 반경 R2 내의 영역에서, 캐리어 기체는 제2 기체 유입구로부터 흘러나와 제1 및 제2 기체의 스트림 사이에서 다운스트림을 지나서, 전술한 바와 같이 유동물 유입구 부재에서 웨이퍼까지의 거리의 적어도 일부에 대해 이들 스트림 사이의 분리를 유지한다. 제1 및 제2 기체 유입구에 의해 점유된 영역 밖의 갭 영역(G)에서, 제3 기체 유입구로부터 흘러나오는 캐리어 기체는 챔버(710)의 벽으로 격리된 제1 및 제2 반응 기체를 유지하는 커튼을 형성한다. 이것은 챔버 상에 반응물의 증착을 최소화한다. 특히, 기체의 재순환은 유동물 유입구 부재(722)가 반응기 벽에 결합하는 경우에 챔버의 업스트림 단에서 발생할 수 있다. 도 16에 도시된 구성에 의해, 어떤 재순환 기체이든 기본적으로 캐리어 기체로 구성될 것이므로, 반응기 벽 또는 유동물 유입구 부재 상에 증착물을 형성하는 것이 쉽지 않을 것이다.
또한, 갭 영역(G)에서의 제1 및 제2 유입구의 생략은 웨이퍼 캐리어 쪽으로 일정한 유속의 반응을 유지하기 위해 필요한 제1 및 제2 반응 가스의 총 유량을 감소시킨다. 달리 말해, 제1 및 제2 반응 기체가 갭 영역(G)에 공급되었다면, 이들은 웨이퍼에 충돌하지 않고 웨이퍼 캐리어의 바깥쪽 주위를 그냥 지나갈 것이다. 이러한 낭비를 회피함으로써 프로세스에 사용된 반응 기체의 비용을 감소시키고, 또한 쓸데없는 반응 기체의 방출을 줄인다.
도 16에 도시된 구성은 변형될 수 있다. 예를 들면, 제1 반경 R1 및 제2 반경 R2는 상이할 수 있다. 이들 반경 중 하나는 제3 반경 R3만큼 크거나, 또는 더 클수도 있다. 이러한 구성에서, 반응기 벽에 인접한 기체의 커튼은 캐리어 기체와 반응 기체 중 하나만을 포함할 것이다. 이러한 커튼은 여전히 챔버 벽에서의 증착을 억제하는 데 효과적이다. 제1 기체 유입구와 제2 기체 유입구 사이에 제3 기체 유입구를 제공하는 것이 필수적인 것은 아니다. 예를 들면, 제3 기체 유입구는 갭 영역(G)에만 제공될 수 있다. 또한, 도 6에서 기체 유입구는 평행한 행으로 배치된 것으로 도시되어 있지만, 다른 구성이 사용될 수 있다. 예를 들면, 제1 기체 유입구는 "장(field)" 또는 연속적인 부분(continuous area)일 수 있는 반면, 제2 기체 유입구는 하나 이상의 방사상 행의 형태일 수 있다.
본 발명의 범위를 벗어나지 않으면서 전술한 특징들의 이러한 그리고 다른 변형을 이용할 수 있으며, 전술한 바람직한 실시예의 설명은 실례로서만 받아들여야하고 본 발명을 한정하는 것으로 받아들여서는 안된다.
산업상 이용 가능성
본 발명은, 예를 들면, 반도체 디바이스의 제조에 이용될 수 있다.

Claims (24)

  1. (a) 업스트림 및 다운스트림 방향을 가지는 반응 챔버;
    (b) 상기 반응 챔버 내의 캐리어 위치에서, 상기 업스트림 및 다운스트림 방향으로 연장되는 축 주위를 회전하는 웨이퍼 캐리어를 지지하도록 구성된 캐리어 지지체; 및
    (c) 상기 캐리어 위치의 상기 반응 챔버 업스트림에 장착된 유동물 유입구 부재
    를 포함하고,
    상기 유동물 유입구 부재는,
    서로 수직이고 또한 상기 다운스트림 방향에 수직인 X 및 Y 수평 방향으로 연장되는 기체 분배면; 및
    기체를 상기 반응 챔버 내로 방출하는 복수의 긴 기체 유입구
    를 가지고,
    상기 긴 기체 유입구는,
    서로 평행하게 상기 X 수평 방향으로 상기 가스 분배면을 가로질러 연장되고 또한 반응기의 Y 방향 중앙 평면을 가로질러 연장되고,
    제1 반응 기체를 방출하는 복수의 제1 기체 유입구 및 제2 반응 기체를 방출하는 복수의 제2 기체 유입구를 포함하고, 상기 제1 기체 유입구는 상기 Y 수평 방향으로 서로 간격을 두고 떨어져 있고, 상기 제2 기체 유입구는 상기 제1 기체 유입구들 사이 사이에 상기 Y 수평 방향으로 서로 간격을 두고 떨어져 있는,
    화학 기상 증착 반응기.
  2. 제1항에 있어서,
    상기 긴 기체 유입구는 상기 유동물 유입구 부재의 기체 분배면의 상당 부분 위로 연장되는 패턴으로 배열되는, 화학 기상 증착 반응기.
  3. 제1항에 있어서,
    상기 제1 기체 유입구 및 상기 제2 기체 유입구는 상기 X 수평 방향으로 연장되는 상기 반응기의 중앙 평면 주위에 대칭이 아닌 패턴으로 배열되는, 화학 기상 증착 반응기.
  4. 제3항에 있어서,
    상기 제1 기체 유입구 및 상기 제2 기체 유입구는, 어느 제1 기체 유입구가 상기 X 방향 중앙 평면의 일측에 대해 양의 Y 거리에 배치되는 경우, 제2 기체 유입구가 상기 X 방향 중앙 평면의 반대측에 대해 음의 Y 거리에 배치되도록, 상기 X 수평 방향으로 연장되는 상기 반응기의 중앙 평면 주위에 역대칭인 패턴으로 배열되는, 화학 기상 증착 반응기.
  5. 다운스트림 방향으로 향하고, 서로 수직이고 또한 상기 다운스트림 방향에 수직인 X 및 Y 수평 방향으로 연장되는 기체 분배면; 및
    기체를 챔버 내로 방출하는 복수의 긴 기체 유입구
    를 포함하고,
    상기 긴 기체 유입구는,
    서로 평행하게 상기 X 수평 방향으로 연장되고,
    상기 기체 분배면의 상당 부분 위로 연장되는 패턴으로 배열되고,
    제1 반응 기체를 방출하는 복수의 제1 기체 유입구 및 제2 반응 기체를 방출하는 복수의 제1 기체 유입구를 포함하고, 상기 제1 기체 유입구는 상기 Y 수평 방향으로 서로 간격을 두고 떨어져 있고, 상기 제2 기체 유입구는 상기 제1 기체 유입구 사이 사이에 상기 Y 수평 방향으로 서로 간격을 두고 떨어져 있는,
    유동물 유입구 부재.
  6. 화학 기상 증착 반응기용 유동물 유입구 부재로서,
    다운스트림 측(downstream side)을 가지며 상기 다운스트림 측에서 멀어지는 다운스트림 방향을 규정하는 구조체를 포함하고,
    상기 구조체는,
    상기 다운스트림 방향으로 개방되고 상기 다운스트림 방향에 수직인 X 수평 방향으로 서로 평행하게 연장되는 복수의 긴 베이스 기체 유입구를 규정하고, 상기 긴 베이스 기체 유입구는 상기 X 수평 방향에 수직인 Y 수평 방향으로 서로 간격을 두고 떨어져 있고, 또한
    상기 베이스 기체 유입구 사이에서 상기 X 수평 방향으로 서로 평행하게 연장되는 베이스 기체 유출구로부터 다운스트림으로 돌출되는 복수의 긴 확산기를 포함하고, 상기 확산기는 점점 가늘어져(tapering) 상기 Y 수평 방향에 있어 각 확산기의 치수가 상기 다운스트림 방향으로 상기 베이스 기체 유출구로부터 에지 다운스트림까지 줄어들고, 상기 확산기 중 적어도 일부는 상기 확산기의 에지에 개구부를 구비한 추가의 기체 유입구를 가지는,
    유동물 유입구 부재.
  7. 제6항에 있어서,
    각 확산기는 그러한 확산기 내에서 상기 X 방향으로 연장되는 하나 이상의 냉각수 통로를 포함하고,
    상기 구조체는 각 확산기와 연관된 상기 X 방향으로 연장되는 기체 분배 채널을 포함하고, 상기 기체 분배 채널은 상기 냉각수 통로로부터 업스트림에 배치되고 상기 추가의 기체 유입구와 통하는, 유동물 유입구 부재.
  8. 제7항에 있어서,
    각 확산기는,
    상기 Y 방향으로 서로 간격을 두고 떨어져 있는 두 개의 냉각수 통로, 및
    상기 확산기와 연관된 상기 기체 분배 채널로부터 상기 확산기의 상기 추가의 기체 유입구의 개구부로 연장되는 하나 이상의 연결 통로
    를 가지고, 각 확산기의 상기 연결 통로는 그러한 확산기의 냉각수 통로 사이에 연장되는, 유동물 유입구 부재.
  9. 제7항에 있어서,
    상기 구조체는 각각의 확산기와 연관된 관형 부재를 포함하고, 각각의 확산기와 연관된 상기 관형 부재는, 그러한 확산기와 연관된 기체 분배 채널을 규정하고 그러한 확산기를 구조적으로 지지하며,
    상기 관형 부재는 상기 X 방향으로 서로 평행하게 연장되는, 유동물 유입구 부재.
  10. 제9항에 있어서,
    상기 베이스 기체 유입구는 상기 관형 부재 중 인접한 것들 사이에 연장되는, 유동물 유입구 부재.
  11. 제8항에 있어서,
    상기 구조체는 상기 베이스 기체 유입구 및 추가의 기체 유입구를 포함하는 활성 영역과 상기 활성 영역으로부터 수평 방향으로 오프셋된 하나 이상의 매니폴드를 규정하고,
    상기 관형 부재 중 적어도 일부는 상기 하나 이상의 매니폴드 쪽으로 연장되는, 유동물 유입구 부재.
  12. 화학 기상 증착 반응기용 유동물 유입구 부재로서,
    서로 평행하게 연장되고 서로 기계적으로 부착되는 복수의 긴 부재를 포함하여, 상기 긴 부재들이 함께 업스트림 측 및 다운스트림 측을 가지는 판(plate)을 규정하고, 상기 판은 상기 긴 부재 중 인접하는 것들 사이에서 상기 업스트림 측에서 상기 다운스트림 측으로 연장되는 베이스 유입구 개구부를 가지고,
    상기 유동물 유입구 부재는, 상기 판의 업스트림에 하나 이상의 기체 공간을 규정하고 상기 베이스 유입구 개구부와 통하는 구조체를 더 포함하는
    유동물 유입구 부재.
  13. 제12항에 있어서,
    상기 긴 부재 중 적어도 일부는 관형이고, 각 관형 부재는 하나 이상의 기체 분배 채널을 규정하는, 유동물 유입구 부재.
  14. 제12항에 있어서,
    상기 기체 분배 채널과 통하는 추가의 기체 유입구를 더 포함하고, 상기 추가의 기체 유입구는 상기 판의 다운스트림 측으로 개방되는, 유동물 유입구 부재.
  15. 제13항에 있어서,
    상기 관형 부재의 적어도 일부를 따라 연장되고 그러한 관형 부재의 다운스트림으로 돌출되는 긴 확산기를 더 포함하고,
    상기 추가의 기체 유입구 중 적어도 일부는 상기 확산기를 관통하여 연장되는, 유동물 유입구 부재.
  16. 제13항에 있어서,
    상기 관형 요소의 단부들에서 상기 관형 요소에 연결되고 상기 기체 분배 채널과 통하는 하나 이상의 매니폴드를 더 포함하는 유동물 유입구 부재.
  17. (a) 업스트림 및 다운스트림 방향을 가지는 반응 챔버;
    (b) 상기 반응 챔버 내의 캐리어 위치에서, 상기 업스트림 및 다운스트림 방향으로 연장되는 축 주위를 회전하는 웨이퍼 캐리어를 지지하도록 구성된 캐리어 지지체; 및
    (c) 상기 캐리어 위치의 상기 반응 챔버 업스트림에 장착된 유동물 유입구 부재
    를 포함하고,
    상기 유동물 유입구 부재는,
    상기 다운스트림 방향에 수직인 복수의 수평 방향으로 연장되는 기체 분배면; 및
    기체를 상기 반응 챔버 내로 방출하는 복수의 긴 기체 유입구
    를 가지고,
    상기 긴 기체 유입구는,
    서로 평행하게 상기 수평 방향 중 제1 방향으로 연장되고,
    제1 반응 기체를 방출하는 복수의 제1 기체 유입구, 제2 반응 기체를 방출하는 복수의 제2 기체 유입구, 및 실질적으로 상기 제1 반응 기체 및 상기 제2 반응 기체가 없고 실질적으로 상기 제1 반응 기체와 상기 제2 반응 기체와 반응하지 않는 캐리어 기체를 방출하는 복수의 제3 기체 유입구
    를 포함하고,
    상기 제1 기체 유입구 및 상기 제2 가스 유입구 중 적어도 일부가 인접한 유입구들의 쌍을 구성하고 상기 제3 기체 유입구 중 적어도 일부가 상기 쌍 중 적어도 일부의 상기 제1 기체 유입구와 상기 제2 기체 유입구 사이에 배치되도록, 상기 기체 유입구들은 상기 수평 방향 중 상기 제1 수평 방향과 수직인 제2 방향으로 서로 사이 사이에 서로 간격을 두고 떨어져 있는
    화학 기상 증착 반응기.
  18. 제17항에 있어서,
    모든 인접한 제1 기체 유입구와 제2 기체 유입구의 쌍의 상기 제1 기체 유입구와 상기 제2 기체 유입구 사이에는 제3 기체 유입구가 배치되는, 화학 기상 증착 반응기.
  19. 제17항에 있어서,
    상기 유동물 유입구 부재는 상기 제1 수평 방향으로 연장되고 상기 제3 기체 유입구로부터 다운스트림으로 돌출되는 긴 확산기를 포함하고,
    상기 제1 기체 유입 구 및 상기 제2 기체 유입구는 상기 확산기 상에 배치되는, 화학 기상 증착 반응기.
  20. (a) 웨이퍼의 표면이 업스트림 방향으로 향하도록, 반응 챔버 내의 하나 이상의 웨이퍼를 보유하는 웨이퍼 캐리어를 유지하는 단계;
    (b) 상기 웨이퍼 캐리어를 상기 업스트림 방향과 다운스트림 방향으로 연장되는 축 주위에 회전시키는 단계; 및
    (c) 상기 축을 가로지르는 제1 수평 방향으로 서로 평행하게 연장되는 복수의 긴 기체 유입구로부터 상기 웨이퍼 캐리어를 향하여 다운스트림으로 복수의 기체를 방출하는 단계
    를 포함하고,
    상기 방출하는 단계는, 상기 긴 기체 유입구 중 별개의 유입구로부터 제1 반응 기체와 제2 반응 기체의 스트림이 방출되고, 실질적으로 상기 제1 반응 기체 및 상기 제2 반응 기체가 없고 실질적으로 상기 제1 반응 기체와 상기 제2 반응 기체와 반응하지 않는 캐리어 기체가 반응 유입구 중 다른 유입구로부터 방출되고, 상기 캐리어 기체의 스트림 중 적어도 일부는 제1 캐리어 기체와 제2 캐리어 기체의 인접한 스트림 사이에 방출되도록, 수행되는
    화학 기상 증착법.
  21. 제20항에 있어서,
    상기 제1 반응 기체는 하나 이상의 III족 금속의 하나 이상의 소스를 포함하고,
    상기 제2 반응 기체는 하나 이상의 V족 원소의 하나 이상의 소스를 포함하는, 화학 기상 증착법.
  22. (a) 업스트림 및 다운스트림 방향을 가지는 반응 챔버;
    (b) 상기 반응 챔버 내의 캐리어 위치에서, 상기 업스트림 및 다운스트림 방향으로 연장되는 축 주위를 회전하는 웨이퍼 캐리어를 지지하도록 구성된 캐리어 지지체; 및
    (c) 상기 캐리어 위치의 상기 반응 챔버 업스트림에 장착된 유동물 유입구 부재
    를 포함하고,
    상기 유동물 유입구 부재는,
    상기 다운스트림 방향에 수직인 복수의 수평 방향으로 연장되는 기체 분배면을 가지고,
    제1 반응 기체를 방출하는 복수의 제1 기체 유입구, 제2 반응 기체를 방출하는 복수의 제2 기체 유입구, 및 실질적으로 상기 제1 반응 기체 및 상기 제2 반응 기체가 없고 실질적으로 상기 제1 반응 기체와 상기 제2 반응 기체와 반응하지 않는 캐리어 기체를 방출하는 복수의 제3 기체 유입구를 가지고, 상기 제1 기체 유입구, 상기 제2 기체 유입구 및 상기 제3 기체 유입구는 상기 축으로부터 제1 방사상 거리(radial distance), 제2 방사상 거리, 및 제3 방사상 거리까지 각각 연장되고, 상기 제3 반사상 거리는 상기 제1 방사상 거리와 상기 제2 방사상 거리 중 적어도 하나보다 큰,
    화학 기상 증착 반응기.
  23. 제22항에 있어서,
    상기 제1 방사상 거리 및 상기 제2 방사상 거리는 실질적으로 서로 동일한, 화학 기상 증착 반응기.
  24. (a) 기판의 표면을 축과 실질적으로 수직으로 상기 축을 따라 업스트림 방향으로 향하게 유지하면서 상기 기판을 수용하는 디스크형 홀더를 상기 축 주위에 회전시키는 단계; 및 상기 회전시키는 단계 동안에,
    (b) 서로 반응하는 제1 기체와 제2 기체를, 각각, 상기 축으로부터 제1 방사상 거리 및 제2 방사상 거리까지 연장되는 기체 스트림의 제1 세트와 제2 세트로서, 상기 기판을 향하여 상기 축에 평행한 다운스트림 방향으로 방출하고, 동시에 실질적으로 상기 제1 반응 기체와 상기 제2 반응 기체와 반응하지 않는 캐리어 기체를 상기 축으로부터 상기 제1 방사상 거리와 상기 제2 방사상 거리 중 적어도 하나보다 큰 제3 방사상 거리까지 연장되는 기체 스트림으리 제3 세트로서 상기 다운스트림 방향으로 방출하는 단계
    를 포함하는 화학 기상 증착법.
KR1020117015335A 2008-12-04 2009-12-03 화학 기상 증착 유동물 유입구 부재 및 방법 KR101639230B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US20107408P 2008-12-04 2008-12-04
US61/201,074 2008-12-04

Publications (2)

Publication Number Publication Date
KR20110091584A true KR20110091584A (ko) 2011-08-11
KR101639230B1 KR101639230B1 (ko) 2016-07-13

Family

ID=42231384

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020117015335A KR101639230B1 (ko) 2008-12-04 2009-12-03 화학 기상 증착 유동물 유입구 부재 및 방법

Country Status (7)

Country Link
US (4) US8303713B2 (ko)
EP (3) EP3471130A1 (ko)
JP (1) JP5662334B2 (ko)
KR (1) KR101639230B1 (ko)
CN (4) CN105420688B (ko)
TW (2) TWI417415B (ko)
WO (1) WO2010065695A2 (ko)

Families Citing this family (50)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101464227B1 (ko) * 2007-01-12 2014-11-21 비코 인스트루먼츠 인코포레이티드 가스 처리 시스템
US7976631B2 (en) * 2007-10-16 2011-07-12 Applied Materials, Inc. Multi-gas straight channel showerhead
EP2159304A1 (en) * 2008-08-27 2010-03-03 Nederlandse Organisatie voor toegepast- natuurwetenschappelijk onderzoek TNO Apparatus and method for atomic layer deposition
CN105420688B (zh) * 2008-12-04 2019-01-22 威科仪器有限公司 用于化学气相沉积的进气口元件及其制造方法
KR101064210B1 (ko) * 2009-06-01 2011-09-14 한국생산기술연구원 막증착 진공장비용 샤워헤드
DE102009043840A1 (de) * 2009-08-24 2011-03-03 Aixtron Ag CVD-Reaktor mit streifenförmig verlaufenden Gaseintrittszonen sowie Verfahren zum Abscheiden einer Schicht auf einem Substrat in einem derartigen CVD-Reaktor
EP2360293A1 (en) 2010-02-11 2011-08-24 Nederlandse Organisatie voor toegepast -natuurwetenschappelijk onderzoek TNO Method and apparatus for depositing atomic layers on a substrate
EP2362411A1 (en) 2010-02-26 2011-08-31 Nederlandse Organisatie voor toegepast -natuurwetenschappelijk onderzoek TNO Apparatus and method for reactive ion etching
US8986451B2 (en) 2010-05-25 2015-03-24 Singulus Mocvd Gmbh I. Gr. Linear batch chemical vapor deposition system
US9869021B2 (en) 2010-05-25 2018-01-16 Aventa Technologies, Inc. Showerhead apparatus for a linear batch chemical vapor deposition system
US9169562B2 (en) 2010-05-25 2015-10-27 Singulus Mocvd Gmbh I. Gr. Parallel batch chemical vapor deposition system
US8906160B2 (en) * 2010-12-23 2014-12-09 Intermolecular, Inc. Vapor based processing system with purge mode
CN103502508B (zh) 2010-12-30 2016-04-27 维易科仪器公司 使用承载器扩展的晶圆加工
EP2688850B1 (en) * 2011-03-23 2018-02-21 Pilkington Group Limited Method of depositing zinc oxide coatings by chemical vapor deposition
US20130145989A1 (en) * 2011-12-12 2013-06-13 Intermolecular, Inc. Substrate processing tool showerhead
JP6038618B2 (ja) * 2011-12-15 2016-12-07 株式会社ニューフレアテクノロジー 成膜装置および成膜方法
KR101881894B1 (ko) * 2012-04-06 2018-07-26 삼성디스플레이 주식회사 박막 증착 장치 및 그것을 이용한 박막 증착 방법
CN102618921B (zh) * 2012-04-11 2015-06-03 浙江金瑞泓科技股份有限公司 一种双排气平板式外延炉
CN103388132B (zh) * 2012-05-11 2015-11-25 中微半导体设备(上海)有限公司 气体喷淋头、其制造方法及薄膜生长反应器
US20150345046A1 (en) * 2012-12-27 2015-12-03 Showa Denko K.K. Film-forming device
US9388493B2 (en) 2013-01-08 2016-07-12 Veeco Instruments Inc. Self-cleaning shutter for CVD reactor
TWI683382B (zh) * 2013-03-15 2020-01-21 應用材料股份有限公司 具有光學測量的旋轉氣體分配組件
WO2014189650A1 (en) * 2013-05-22 2014-11-27 Singulus Technologies Mocvd, Inc. Showerhead apparatus for a linear batch chemical vapor deposition system
JP6199619B2 (ja) * 2013-06-13 2017-09-20 株式会社ニューフレアテクノロジー 気相成長装置
JP6153401B2 (ja) * 2013-07-02 2017-06-28 株式会社ニューフレアテクノロジー 気相成長装置および気相成長方法
EP2871038A1 (en) * 2013-11-07 2015-05-13 Maan Research & Development B.V. Device for treating a surface
WO2015103358A1 (en) 2014-01-05 2015-07-09 Applied Materials, Inc. Film deposition using spatial atomic layer deposition or pulsed chemical vapor deposition
TWI545224B (zh) * 2014-02-26 2016-08-11 國立中央大學 用於有機金屬化學氣相沉積設備之進氣系統
US20150361582A1 (en) * 2014-06-17 2015-12-17 Veeco Instruments, Inc. Gas Flow Flange For A Rotating Disk Reactor For Chemical Vapor Deposition
CN105200395B (zh) * 2014-06-18 2017-11-03 中微半导体设备(上海)有限公司 用于mocvd设备的进气及冷却装置
CN104264128B (zh) * 2014-09-11 2017-06-16 中国电子科技集团公司第四十八研究所 一种用于mocvd反应器的格栅式气体分布装置
JP2016081945A (ja) * 2014-10-09 2016-05-16 株式会社ニューフレアテクノロジー 気相成長装置および気相成長方法
CN105624645B (zh) * 2014-11-06 2018-04-24 中微半导体设备(上海)有限公司 反应气体输送装置及化学气相沉积或外延层生长反应器
JP6404111B2 (ja) * 2014-12-18 2018-10-10 東京エレクトロン株式会社 プラズマ処理装置
CN106011789B (zh) * 2015-03-26 2018-08-10 中晟光电设备(上海)股份有限公司 Mocvd系统及其反应气体输送装置
US9748113B2 (en) 2015-07-30 2017-08-29 Veeco Intruments Inc. Method and apparatus for controlled dopant incorporation and activation in a chemical vapor deposition system
DE102017203255A1 (de) * 2016-03-02 2017-09-07 Veeco Instruments Inc. Peripheriespülverschluss -und flusssteuerungssysteme und -verfahren
TWI689619B (zh) * 2016-04-01 2020-04-01 美商應用材料股份有限公司 用於提供均勻流動的氣體的設備及方法
JP6495875B2 (ja) * 2016-09-12 2019-04-03 株式会社東芝 流路構造及び処理装置
KR102096700B1 (ko) * 2017-03-29 2020-04-02 도쿄엘렉트론가부시키가이샤 기판 처리 장치 및 기판 처리 방법
US11139149B2 (en) * 2017-11-29 2021-10-05 Taiwan Semiconductor Manufacturing Co., Ltd. Gas injector
JP7180984B2 (ja) 2018-03-01 2022-11-30 株式会社ニューフレアテクノロジー 気相成長方法
WO2019200312A1 (en) * 2018-04-13 2019-10-17 Veeco Instruments Inc. Chemical vapor deposition apparatus with multi-zone injector block
WO2020028062A1 (en) * 2018-07-31 2020-02-06 Applied Materials, Inc. Methods and apparatus for ald processes
CN214848503U (zh) * 2018-08-29 2021-11-23 应用材料公司 注入器设备、基板处理设备及在机器可读介质中实现的结构
US11306396B2 (en) * 2018-11-30 2022-04-19 Meidensha Corporation Oxide film forming device
US11225715B2 (en) * 2019-04-11 2022-01-18 Samsung Electronics Co., Ltd. Showerhead, semiconductor manufacturing apparatus including the same, and semiconductor manufacturing method
CN112090602B (zh) * 2020-09-24 2021-11-16 北京北方华创微电子装备有限公司 半导体工艺设备及其进气结构
CN114768578B (zh) * 2022-05-20 2023-08-18 北京北方华创微电子装备有限公司 混气装置及半导体工艺设备
CN114855267B (zh) * 2022-07-05 2022-10-11 苏州长光华芯光电技术股份有限公司 一种晶圆外延生长系统及晶圆外延生长方法

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004165445A (ja) * 2002-11-13 2004-06-10 Furukawa Co Ltd 半導体製造装置
US6821563B2 (en) * 2002-10-02 2004-11-23 Applied Materials, Inc. Gas distribution system for cyclical layer deposition
US20070228470A1 (en) * 2006-03-29 2007-10-04 Eastman Kodak Company Apparatus for atomic layer deposition
US20080173735A1 (en) * 2007-01-12 2008-07-24 Veeco Instruments Inc. Gas treatment systems

Family Cites Families (60)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CH643469A5 (fr) 1981-12-22 1984-06-15 Siv Soc Italiana Vetro Installation pour deposer en continu, sur la surface d'un substrat porte a haute temperature, une couche d'une matiere solide.
US4993358A (en) * 1989-07-28 1991-02-19 Watkins-Johnson Company Chemical vapor deposition reactor and method of operation
US5248253A (en) * 1992-01-28 1993-09-28 Digital Equipment Corporation Thermal processing furnace with improved plug flow
JPH07142394A (ja) * 1993-11-12 1995-06-02 Sony Corp Cvd方法及びcvd装置
US6200389B1 (en) * 1994-07-18 2001-03-13 Silicon Valley Group Thermal Systems Llc Single body injector and deposition chamber
JP3360098B2 (ja) * 1995-04-20 2002-12-24 東京エレクトロン株式会社 処理装置のシャワーヘッド構造
US6090210A (en) * 1996-07-24 2000-07-18 Applied Materials, Inc. Multi-zone gas flow control in a process chamber
EP0946782A1 (en) * 1996-11-27 1999-10-06 Emcore Corporation Chemical vapor deposition apparatus
EP0854210B1 (en) * 1996-12-19 2002-03-27 Toshiba Ceramics Co., Ltd. Vapor deposition apparatus for forming thin film
GB9712400D0 (en) * 1997-06-16 1997-08-13 Trikon Equip Ltd Shower head
US6537418B1 (en) * 1997-09-19 2003-03-25 Siemens Aktiengesellschaft Spatially uniform gas supply and pump configuration for large wafer diameters
US6161500A (en) * 1997-09-30 2000-12-19 Tokyo Electron Limited Apparatus and method for preventing the premature mixture of reactant gases in CVD and PECVD reactions
US6302964B1 (en) * 1998-06-16 2001-10-16 Applied Materials, Inc. One-piece dual gas faceplate for a showerhead in a semiconductor wafer processing system
US6289842B1 (en) * 1998-06-22 2001-09-18 Structured Materials Industries Inc. Plasma enhanced chemical vapor deposition system
KR100331544B1 (ko) * 1999-01-18 2002-04-06 윤종용 반응챔버에 가스를 유입하는 방법 및 이에 사용되는 샤워헤드
US6772827B2 (en) * 2000-01-20 2004-08-10 Applied Materials, Inc. Suspended gas distribution manifold for plasma chamber
TW544775B (en) * 2001-02-28 2003-08-01 Japan Pionics Chemical vapor deposition apparatus and chemical vapor deposition method
JP2002261021A (ja) * 2001-02-28 2002-09-13 Japan Pionics Co Ltd 気相成長装置及び気相成長方法
KR100423953B1 (ko) * 2001-03-19 2004-03-24 디지웨이브 테크놀러지스 주식회사 화학기상증착장치
US6793733B2 (en) * 2002-01-25 2004-09-21 Applied Materials Inc. Gas distribution showerhead
US20040060514A1 (en) * 2002-01-25 2004-04-01 Applied Materials, Inc. A Delaware Corporation Gas distribution showerhead
JP2003253431A (ja) * 2002-02-28 2003-09-10 Ulvac Japan Ltd 薄膜形成装置
US20040129212A1 (en) * 2002-05-20 2004-07-08 Gadgil Pradad N. Apparatus and method for delivery of reactive chemical precursors to the surface to be treated
US7153542B2 (en) * 2002-08-06 2006-12-26 Tegal Corporation Assembly line processing method
KR100862658B1 (ko) * 2002-11-15 2008-10-10 삼성전자주식회사 반도체 처리 시스템의 가스 주입 장치
US20060096604A1 (en) * 2002-11-21 2006-05-11 Cousins Distributing, Inc. Device for filling a cigarette tube with a metered amount of tobacco
US7601223B2 (en) * 2003-04-29 2009-10-13 Asm International N.V. Showerhead assembly and ALD methods
JP4571787B2 (ja) * 2003-07-08 2010-10-27 東ソー・ファインケム株式会社 固体有機金属化合物用充填容器およびその充填方法
US20050011459A1 (en) * 2003-07-15 2005-01-20 Heng Liu Chemical vapor deposition reactor
US20050178336A1 (en) * 2003-07-15 2005-08-18 Heng Liu Chemical vapor deposition reactor having multiple inlets
TW200508413A (en) * 2003-08-06 2005-03-01 Ulvac Inc Device and method for manufacturing thin films
US20070248515A1 (en) * 2003-12-01 2007-10-25 Tompa Gary S System and Method for Forming Multi-Component Films
JP4231417B2 (ja) * 2004-01-07 2009-02-25 パナソニック株式会社 基板処理装置及びそのクリーニング方法
CN1669796B (zh) * 2004-02-23 2012-05-23 周星工程股份有限公司 用于制造显示基板的装置及装配在其中的喷头组合
US20060021703A1 (en) * 2004-07-29 2006-02-02 Applied Materials, Inc. Dual gas faceplate for a showerhead in a semiconductor wafer processing system
JP5519105B2 (ja) * 2004-08-02 2014-06-11 ビーコ・インストゥルメンツ・インコーポレイテッド 化学気相成長の方法及び化学気相成長リアクタ用のガス供給システム
US7416635B2 (en) * 2005-03-02 2008-08-26 Tokyo Electron Limited Gas supply member and plasma processing apparatus
US7413982B2 (en) * 2006-03-29 2008-08-19 Eastman Kodak Company Process for atomic layer deposition
US20070234956A1 (en) * 2006-04-05 2007-10-11 Dalton Jeremie J Method and apparatus for providing uniform gas delivery to a reactor
KR100849929B1 (ko) * 2006-09-16 2008-08-26 주식회사 피에조닉스 반응 기체의 분사 속도를 적극적으로 조절하는 샤워헤드를구비한 화학기상 증착 방법 및 장치
KR100825970B1 (ko) * 2006-09-28 2008-04-29 (주)리드 기판 처리 장치 및 방법
CN100451163C (zh) * 2006-10-18 2009-01-14 中微半导体设备(上海)有限公司 用于半导体工艺件处理反应器的气体分布装置及其反应器
US20080099147A1 (en) * 2006-10-26 2008-05-01 Nyi Oo Myo Temperature controlled multi-gas distribution assembly
EP2066496B1 (en) * 2006-11-22 2013-04-10 Soitec Equipment for high volume manufacture of group iii-v semiconductor materials
KR20080048243A (ko) * 2006-11-28 2008-06-02 엘지디스플레이 주식회사 플라즈마 화학기상 증착장치
US11136667B2 (en) * 2007-01-08 2021-10-05 Eastman Kodak Company Deposition system and method using a delivery head separated from a substrate by gas pressure
US20080166880A1 (en) * 2007-01-08 2008-07-10 Levy David H Delivery device for deposition
US7789961B2 (en) * 2007-01-08 2010-09-07 Eastman Kodak Company Delivery device comprising gas diffuser for thin film deposition
US8207063B2 (en) * 2007-01-26 2012-06-26 Eastman Kodak Company Process for atomic layer deposition
US7858144B2 (en) * 2007-09-26 2010-12-28 Eastman Kodak Company Process for depositing organic materials
US8398770B2 (en) * 2007-09-26 2013-03-19 Eastman Kodak Company Deposition system for thin film formation
US8211231B2 (en) * 2007-09-26 2012-07-03 Eastman Kodak Company Delivery device for deposition
US8182608B2 (en) * 2007-09-26 2012-05-22 Eastman Kodak Company Deposition system for thin film formation
US7976631B2 (en) * 2007-10-16 2011-07-12 Applied Materials, Inc. Multi-gas straight channel showerhead
EP2053663A1 (en) * 2007-10-25 2009-04-29 Applied Materials, Inc. Hover cushion transport for webs in a web coating process
EP2159304A1 (en) * 2008-08-27 2010-03-03 Nederlandse Organisatie voor toegepast- natuurwetenschappelijk onderzoek TNO Apparatus and method for atomic layer deposition
CN105420688B (zh) * 2008-12-04 2019-01-22 威科仪器有限公司 用于化学气相沉积的进气口元件及其制造方法
US8888919B2 (en) * 2010-03-03 2014-11-18 Veeco Instruments Inc. Wafer carrier with sloped edge
US8460466B2 (en) * 2010-08-02 2013-06-11 Veeco Instruments Inc. Exhaust for CVD reactor
KR101881894B1 (ko) * 2012-04-06 2018-07-26 삼성디스플레이 주식회사 박막 증착 장치 및 그것을 이용한 박막 증착 방법

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6821563B2 (en) * 2002-10-02 2004-11-23 Applied Materials, Inc. Gas distribution system for cyclical layer deposition
JP2004165445A (ja) * 2002-11-13 2004-06-10 Furukawa Co Ltd 半導体製造装置
US20070228470A1 (en) * 2006-03-29 2007-10-04 Eastman Kodak Company Apparatus for atomic layer deposition
US20080173735A1 (en) * 2007-01-12 2008-07-24 Veeco Instruments Inc. Gas treatment systems

Also Published As

Publication number Publication date
TWI417415B (zh) 2013-12-01
US10017876B2 (en) 2018-07-10
EP3471130A1 (en) 2019-04-17
US20100143588A1 (en) 2010-06-10
JP2012511259A (ja) 2012-05-17
EP3483919A1 (en) 2019-05-15
TW201337033A (zh) 2013-09-16
US8303713B2 (en) 2012-11-06
CN105420688B (zh) 2019-01-22
CN102308368B (zh) 2014-02-12
CN103352206B (zh) 2015-09-16
EP2356672B1 (en) 2018-09-12
TW201030179A (en) 2010-08-16
CN103352206A (zh) 2013-10-16
CN110079789A (zh) 2019-08-02
WO2010065695A2 (en) 2010-06-10
US20180320289A1 (en) 2018-11-08
CN102308368A (zh) 2012-01-04
TWI484063B (zh) 2015-05-11
US8636847B2 (en) 2014-01-28
CN105420688A (zh) 2016-03-23
KR101639230B1 (ko) 2016-07-13
US20140116330A1 (en) 2014-05-01
US20120325151A1 (en) 2012-12-27
WO2010065695A3 (en) 2010-09-10
EP2356672A2 (en) 2011-08-17
JP5662334B2 (ja) 2015-01-28
EP2356672A4 (en) 2017-01-18

Similar Documents

Publication Publication Date Title
US20180320289A1 (en) Chemical vapor deposition flow inlet elements and methods
KR101373828B1 (ko) 균일한 가스 전달을 반응기에 제공하기 위한 방법 및 장치
US9175419B2 (en) Apparatus for delivering precursor gases to an epitaxial growth substrate
CN110534456A (zh) 基板处理装置及半导体装置的制造方法
JP2004235660A (ja) 単一ボデー噴射器及び蒸着室
JP2006322074A (ja) シャワーヘッドを用いた化学気相蒸着方法及びその装置
JP2013502747A (ja) 帯状に延在するガス入口区域を有するcvd反応炉及びcvd反応炉内の基板上に層を堆積する方法
KR20070112354A (ko) 샤워헤드를 구비한 반도체 소자 제조 장비
CN110373653B (zh) 具有多区域引射器块的化学气相沉积设备
US20180135203A1 (en) Film forming apparatus
US20190062909A1 (en) Inject assembly for epitaxial deposition processes
US6184049B1 (en) Method for fabricating compound semiconductor epitaxial wafer and vapor phase growth apparatus using the same
US10801110B2 (en) Gas injector for semiconductor processes and film deposition apparatus
KR20100033991A (ko) 샤워헤드를 구비한 반도체 소자 제조 장비
JP2023540932A (ja) 2つの供給箇所を有するcvdリアクタのガス入口部材

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20190626

Year of fee payment: 4