WO2005069360A1 - 処理装置 - Google Patents

処理装置 Download PDF

Info

Publication number
WO2005069360A1
WO2005069360A1 PCT/JP2005/000395 JP2005000395W WO2005069360A1 WO 2005069360 A1 WO2005069360 A1 WO 2005069360A1 JP 2005000395 W JP2005000395 W JP 2005000395W WO 2005069360 A1 WO2005069360 A1 WO 2005069360A1
Authority
WO
WIPO (PCT)
Prior art keywords
shower head
gas
processing container
processing
main body
Prior art date
Application number
PCT/JP2005/000395
Other languages
English (en)
French (fr)
Inventor
Manabu Amikura
Original Assignee
Tokyo Electron Limited
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Limited filed Critical Tokyo Electron Limited
Priority to CNB2005800025277A priority Critical patent/CN100499033C/zh
Priority to US10/586,050 priority patent/US7651584B2/en
Priority to KR1020067015363A priority patent/KR100758744B1/ko
Publication of WO2005069360A1 publication Critical patent/WO2005069360A1/ja

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45572Cooled nozzles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection

Definitions

  • the present invention relates to a processing apparatus for subjecting an object to be processed, for example, a semiconductor wafer to a predetermined process, for example, a heat treatment for depositing a thin film.
  • an object to be processed such as a semiconductor wafer is subjected to repeated single-wafer processing such as a film forming process, an etching process, a heat treatment, a reforming process, and a crystallization process. It is done.
  • a processing gas required for the processing is introduced into the processing container.
  • An example of an apparatus for performing a film forming process among the above various processes is disclosed in JP10-321613A.
  • This film forming apparatus has a shower head structure provided on a ceiling portion of a processing container capable of being evacuated, and a raw material gas and other supporting gases such as an oxidizing gas are introduced into a processing container from a gas injection port of the shower head structure.
  • a gas or reducing gas is supplied to deposit a thin film by CVD on the surface of the heated semiconductor wafer.
  • FIG. 7 shows an example of a film forming apparatus employing a post-mix method.
  • the film forming apparatus 2 has a cylindrical processing vessel 4 that can be evacuated.
  • a mounting table 6 on which the semiconductor wafer W is mounted is provided in the processing container 4, and a heater 8 is embedded in the mounting table 6.
  • a shower head structure 10 for introducing a film forming gas into the processing container 4 is provided on the ceiling of the processing container 4.
  • the shower head structure 10 is formed by integrally connecting a plurality of stacked head plates 10A to 10D with bolts 12 (only a part of which is shown in FIG. 7).
  • each of the head plates 10A to 10D On the surface of each of the head plates 10A to 10D, a plurality of recesses and a gas connecting these recesses are provided. A flow path is formed, and when the head plates 10A to 10D are assembled, a plurality of gas diffusion chambers 14A, 14B, and 14C are formed. In the illustrated example, the gas diffusion chambers 14A and 14C communicate with each other.
  • the lowermost head plate 10A is formed as a gas injection plate on which a number of gas injection ports 16 are formed. These gas injection holes 16 are provided with a first group of gas injection holes 16A communicating with the gas diffusion chamber 14A to inject an oxidizing gas such as O gas, and a gas diffusion chamber 14A.
  • the raw material gas and the oxidizing gas flow in the shower head structure 10 in a separated state without being mixed, and are separately injected into the processing space S at the respective gas injection holes 10A and 10B to perform this processing.
  • a cooling mechanism 18 such as a cooling jacket is attached to the periphery of the upper surface of the shower head structure 10.
  • the cooling mechanism 18 cools the lowermost head plate 10A to a predetermined temperature, decomposes immediately after the easily decomposed raw material gas is injected from the gas injection holes 16B, and lowers the lower surface of the head plate 1OA, that is, the gas injection surface. Unnecessary films that may cause particles are not attached to the surface.
  • the shower head structure 10 has a structure in which a plurality of flat head plates are stacked and bolted together, and the inside of the processing container 4 is maintained at a relatively low pressure.
  • the thermal conductivity between plates 10A-10C is not very high. For this reason, the cooling mechanism 18 attached to the head plate 10C cannot effectively control the cooling of the head plate 10A in the vicinity of the gas injection surface, and as a result, unnecessary films may adhere to the gas injection surface. is there.
  • the shower head structure 10 is a structure in which a plurality of head plates 10A to 10C are integrally connected by bolts 12, so that when the lowermost head plate 10A to be mainly cleaned is removed, the shower Almost entire head structure
  • the problem is that the maintenance work is very complicated and the maintenance work time is long.
  • An object of the present invention is to provide a processing apparatus having a high temperature controllability of a gas injection surface and a shower head structure.
  • Another object of the present invention is to provide a process capable of separating a component having a gas injection surface among components of a shower head structure from other components by itself and performing maintenance such as cleaning quickly and easily. It is to provide a device.
  • the present invention provides a processing container, a mounting table provided in the processing container for mounting an object to be processed, and a ceiling provided on the processing container.
  • a processing apparatus having a shower head structure for introducing a processing gas into the processing container, wherein the shower head structure includes: a bottom wall having a plurality of gas injection ports formed; and a side wall rising from a peripheral edge of the bottom wall.
  • a shower head main body formed in a cup shape as a whole, and a head mounting frame body provided on a ceiling portion of the processing container and supporting the shower head main body, the upper portion of a side wall of the shower head main body. Is inserted at least
  • a head mounting frame having one through hole; and an upper end portion of the side wall of the shower head body inserted into the through hole of the head mounting frame and exposed to the outside of the processing container.
  • An attached cooling mechanism a threaded bolt that penetrates through the bottom wall of the shower head body from the lower surface side of the bottom wall of the shower head body and is screwed to the head mounting frame;
  • at least one diffusion chamber partition plate that is connected to the head mounting frame and communicates with the gas injection port and forms a gas diffusion chamber to which a processing gas is supplied.
  • the present invention provides a processing container, a mounting table provided in the processing container for mounting an object to be processed, and a processing table provided in a ceiling portion of the processing container to be processed in the processing container.
  • the shower head structural force as a whole includes a bottom wall having a plurality of gas injection ports formed thereon and a peripheral wall of the bottom wall.
  • a shower head body formed in a cup shape;
  • a head mounting frame provided at the well portion to support the shower head body, wherein the shower head body is mounted such that an upper portion of the side wall of the shower head body is exposed outside the processing container.
  • a frame a cooling mechanism attached to a portion of the side wall of the shower head body exposed to the outside of the processing container, and a cooling gas housed in the shower head body, communicating with the gas injection port and supplying a processing gas.
  • a diffusion chamber partition plate forming a supplied gas diffusion chamber.
  • the head mounting frame may be pivotally attached to the processing container via a hinge so that the head mounting frame can be separated from the processing container.
  • a plurality of through holes for inserting an upper end portion of a side wall of the shower head main body are provided in the head mounting frame at intervals along a circumferential direction.
  • the plurality of diffusion chamber partition plates are stacked in the shower head main body.
  • the cooling mechanism includes a Peltier element and a cooling jacket through which a cooling medium can flow.
  • FIG. 1 is a sectional view showing a first embodiment of a processing apparatus according to the present invention.
  • FIG. 2 is an enlarged sectional view showing the showerhead structure shown in FIG. 1.
  • FIG. 3 is a plan view of a processing apparatus simplified to show a main part of the structure of a head mounting frame.
  • FIG. 4 is a cross-sectional view showing the disassembled shower head structure of FIG. 2.
  • FIG. 5 is a sectional view showing a showerhead structure used in a second embodiment of the processing apparatus according to the present invention.
  • FIG. 6 is a cross-sectional view showing the disassembled shower head structure of FIG. 4.
  • FIG. 7 is a cross-sectional view schematically showing a configuration of a conventional film forming apparatus.
  • the film forming apparatus 22 has a substantially cylindrical aluminum processing container 24 having an open ceiling.
  • a shower head structure 26 for supplying a processing gas for film formation, for example, a raw material gas and an oxidizing gas into the processing container 24 is provided on a ceiling portion of the processing container 24.
  • a large number of gas injection ports 30 are provided on a gas injection surface 28 on the lower surface of the shower head structure 26, from which processing gas is injected toward the processing space S. Details of the showerhead structure 26 will be described later.
  • a loading / unloading port 32 for loading / unloading a semiconductor wafer W as an object to be processed into / from the processing container 24 is provided on a side peripheral wall of the processing container 24.
  • the loading / unloading port 32 can be hermetically closed by a gate valve 34.
  • a large opening 40 is formed in the center of the container bottom wall 36, and a bottomed cylindrical body 42 is attached to the opening 40.
  • the internal space of the bottomed cylindrical body 42 serves as an exhaust drop space (hereinafter, referred to as “exhaust space”) 38 of the processing container 24.
  • a cylindrical column 46 extends upward from a bottom wall 44 of the bottomed cylinder 42.
  • a mounting table 48 is fixed to the upper end of the column 46 by welding.
  • the support 46 and the mounting table 48 can be formed of ceramic such as A1N.
  • the diameter of the opening 40 serving as the entrance of the exhaust space 38 is smaller than the diameter of the mounting table 48. Therefore, the processing gas flowing down outside the peripheral edge of the mounting table 48 flows below the mounting table 48 and flows into the opening 40.
  • An exhaust port 50 facing the exhaust space 38 is formed at a lower portion of the side wall of the bottomed cylindrical body 42, and a vacuum exhaust system 52 is connected to the exhaust port 50.
  • the evacuation system 52 has an evacuation pipe 54 connected to the evacuation port 50 and having a vacuum pump (not shown) interposed therebetween, so that the atmosphere in the evacuation space 38 can be suctioned and exhausted.
  • a pressure adjusting valve (not shown) is provided in the exhaust pipe 54.
  • the opening of the pressure regulating valve is automatically adjusted in order to maintain the pressure in the processing vessel 24 at a constant value or to quickly change to a desired pressure.
  • a heating means 56 composed of a resistance heater such as a carbon wire is embedded. Controlled electric power is supplied to the heating means 56 through a power supply line 58 disposed in the support column 46, whereby the mounting table 48 is The semiconductor wafer w mounted on the upper surface is heated.
  • the mounting table 48 is formed with a plurality of, in this example, three (only two in FIG. 1) pin through holes 60 penetrating the mounting table 48 in the vertical direction.
  • a push-up pin 62 is loosely fitted in each pin through hole 60 so as to be vertically movable.
  • a push-up ring 64 made of ceramics such as alumina is arranged.
  • the lower end of each push-up pin 62 is supported by the push-up ring 64 without being fixed to the push-up ring 64.
  • An arm 66 extending from the push-up ring 64 is connected to a rod 68 that penetrates the processing vessel bottom wall 36, and the rod 68 can be moved up and down by an actuator 70.
  • each push-up pin 62 protrude upward from the upper end of each pin-through hole 60 when transferring a wafer or W between the mounting table 48 and a wafer transfer arm (not shown).
  • An extendable bellows 72 surrounding the rod 68 is interposed between the bottom wall 36 of the processing vessel and the actuator 70 so that the rod 68 can be moved up and down while maintaining the airtightness in the processing vessel 24. Can be.
  • the shower head structure 26 has a head mounting frame 76, a shower head main body 78, and diffusion chamber partition plates 82A, 82B, and 82C housed in the shower head main body 78 and forming gas diffusion chambers.
  • the head mounting frame 76 is arranged on the peripheral edge of the ceiling of the processing container 24, and is attached to the upper end of the side peripheral wall of the processing container 24 in the illustrated example.
  • the shower head body 78 has a bottom wall 78A in which a plurality of gas injection ports 30 are formed, and side walls (side peripheral walls) 78B rising upward from the periphery of the bottom wall 78A. It is formed in a cylindrical shape with a bottom.
  • the shower head body 78 is fixed to the head mounting frame 76 together with the diffusion chamber partition plates 82A and 82B by the bolts 80 with screws that are also inserted into the shower head body 78 on the bottom wall 78A side.
  • the uppermost diffusion chamber partition plate 82C is provided with gas inlets 83A and 83B for introducing a processing gas into the showerhead structure 26.
  • a cooling mechanism 84 for cooling the shower head main body 78 is provided at the upper end of the side wall 78B of the shower head main body 78.
  • the components of the showerhead structure 26 described above are made of nickel alloy such as nickel or Hastelloy (registered trademark), or aluminum or aluminum alloy.
  • the head mounting frame 76 is formed in a rectangular shape as a whole in plan view. Yes.
  • a large-diameter circular head mounting opening 74 (see FIG. 4) is formed in the center of the head mounting frame 76.
  • the head mounting frame 76 is air-tightly fixed to the upper end of the side peripheral wall of the processing container 24 with a screw bolt 88 via a sealing member 86 such as an O-ring.
  • a sealing member 86 such as an O-ring.
  • a hinge 90 for pivotally connecting the head mounting frame 76 to the side peripheral wall of the processing container 24 is attached to one end side of the head mounting frame 76 with a threaded bolt 91.
  • the hinge 90 is driven by an actuator (not shown) so that the shower head structure 26 is turned integrally (eg, by 180 degrees) about the hinge 90 axis.
  • the portion of the processing container 24 facing the processing space S can be exposed outside the processing container 24.
  • the head mounting frame 76 is provided with a ring-shaped mounting flange 92 that protrudes toward the center of the head mounting opening 74 and extends in the circumferential direction.
  • the mounting flange portion 92 is formed with a plurality of (four in the illustrated example) arc-shaped shower head body insertion holes (through holes) 94 arranged at intervals along the circumferential direction. 3).
  • the shower head main body insertion hole 94 penetrates the head mounting frame 76 in the vertical direction.
  • the shower head body 78 has a one-piece structure in which the bottom plate 78A and the side wall 78B are inseparable. As described above, a number of gas injection ports 30 are formed in the bottom plate 78A.
  • the gas injection ports 30 are divided into two groups. One group of the gas injection ports 30A jets a source gas, and the other group of the gas injection ports 30B jets an oxidizing gas such as O.
  • an arc-shaped convex portion in plan view is fitted to each of the shower head main body insertion holes 94 of the head mounting frame 76 so as to be detachable.
  • FIGS. 3 and 4 Four 96 are formed along the circumferential direction of the side wall 78B (see FIGS. 3 and 4). If the convex portion 96 of the shower head main body 78 is fitted into the shower head main body insertion hole 94 from below the head mounting frame 76, the upper end surface of the convex portion 96 which is a part of the shower head main body 78 is exposed to the atmosphere. Exposed. A ring-shaped sealing flange 98 protrudes outward from the outer peripheral surface of the side wall 78B. At the time of assembly, a sealing member 100 (see FIG. 2) such as an O-ring is interposed between the upper surface of the sealing flange 98 and the lower surface of the head mounting frame 76 to hermetically seal them. As shown in FIG.
  • two diffusion chamber partition plates are inserted into holes formed in the bottom wall 78 A from below to above the gas injection surface 28 and upward.
  • the showerhead body 78 is secured to the head mounting frame 76 together with the lower two diffusion chamber partition plates 82A and 82B by threaded bolts 80 that penetrate through 82A and 82B and whose ends are screwed into mounting flanges 92. Is fixed to the mounting flange 92.
  • a sealing member 104 such as an O-ring is interposed between the peripheral portion of the upper surface of the bottom wall 78A and the peripheral portion of the lower surface of the lowermost diffusion chamber partition plate 82A, thereby providing an air-tight seal therebetween. are doing.
  • only one bolt 80 is shown in FIGS. 2 and 4, actually, a plurality of bolts 80 are provided along the circumferential direction of the shower head main body 78.
  • the outer diameters of the lower two diffusion chamber partition plates 82A and 82B are both accommodated in the shower head body 78 by the diffusion chamber partition plates 82A and 82B. When they are moved, they have a size such that they substantially contact the inner peripheral surface of the side wall 78B of the shower head body 78.
  • the diameter of the uppermost diffusion chamber partition plate 82C is considerably smaller than the diameters of the diffusion chamber partition plates 82A and 82B.
  • the diffusion chamber partition plate 82A is inserted into the hole formed in the diffusion chamber partition plate 82A from below to above the diffusion chamber partition plate 82A, and the middle diffusion chamber partition plate 82B is removed.
  • the diffusion chamber partition plate 82A is fixed to the mounting flange 92 of the head mounting frame 76 together with the diffusion chamber partition plate 82B by a threaded bolt 106 which penetrates and whose tip is screwed into the mounting flange portion 92. .
  • the diffusion chamber partition plate 82B is inserted into the hole formed in the mounting flange portion 92 from above the mounting flange portion 92 downward, and the tip of the screw is screwed into the diffusion chamber partition plate 82B at the middle stage. Is fixed to the mounting flange 92 of the head mounting frame 76.
  • the upper end of the diffusion chamber partition plate 82C is inserted into the hole formed in the diffusion chamber partition plate 82C from above to below, and the tip thereof is screwed with a screw 110 screwed into the middle diffusion chamber partition plate 82B.
  • the diffusion room partition plate 82C is fixed to the diffusion room partition plate 82B.
  • a plurality of bolts 106, 108 and 110 are provided along the circumferential direction of the shower head main body 78 in practice. .
  • a plurality of grooves 118 arranged concentrically are formed on the lower surface of the lowermost diffusion chamber partition plate 82A.
  • the groove 118 forms a gas diffusion chamber 120 for oxidizing gas.
  • a gas injection port 30B for oxidizing gas communicates with the gas diffusion chamber 120.
  • a wide groove 122 is formed on the upper surface of the lowermost diffusion chamber partition plate 82A.
  • the gas diffusion chamber 124 for the source gas is formed by the groove 122.
  • a gas injection port 30A for a source gas communicates with the gas diffusion chamber 124.
  • a wide groove 126 is formed on the lower surface of the uppermost diffusion chamber partition plate 82C.
  • the gas diffusion chamber 128 for oxidizing gas is formed by the groove 126.
  • the upper, middle, and lower diffusion chamber partition plates 82C, 82B, and 82A are formed with a gas flow passage 130 that connects the inlet 83A for the source gas to the gas diffusion chamber 124 for the source gas.
  • Channel 132 is formed to connect the gas inlet 83B to the gas diffusion chambers 128 and 120 for oxidizing gas.
  • These gas channels 130 and 132 can be formed, for example, by perforations.
  • the configuration of each of the gas flow paths 130 and 132 and each of the gas diffusion chambers 120, 124 and 128 is actually complicated, but is simplified in FIG. 2 to facilitate understanding.
  • the entire surface of the upper surfaces of the middle and uppermost diffusion chamber partition plates 82B and 82C that are exposed to the air is prevented from re-liquidating the source gas introduced into the shower head structure 26.
  • a heater 134 for heating the diffusion chamber partition plate is provided.
  • a cooling mechanism 84 is provided on the side wall 78B of the shower head main body 78 inserted into the shower head main body insertion hole 94 by assembly, that is, on the upper end surface of the projection 96 exposed to the atmosphere.
  • the cooling mechanism 84 includes a Peltier element 138 that is directly attached to the upper end surface, and a cooling jacket 140 that is disposed along the circumferential direction of the shower head body 78 to discharge heat generated by the Peltier element 138. Can be configured. Coolant in cooling jacket 140 For example, the cooling water is flowed, thereby efficiently cooling the shower head body 78.
  • a source gas composed of an organic metal material gas containing Hf (hafium) and an oxidized gas composed of an O gas are used as processing gases.
  • HfO Hf oxide
  • an unprocessed semiconductor wafer w is loaded into the processing chamber 24 via the gate valve 34 and the loading / unloading port 32 which are held and held by the transfer arm (not shown).
  • Ueno and W are placed on the upper surface of the mounting table 48 by lowering the push-up pins 62 after being passed to the raised push-up pins 62.
  • the Hf organic metal-containing gas is produced by dissolving a liquid or solid organometallic material at room temperature in a solvent such as octane and vaporizing the same with a vaporizer.
  • a vacuum pump (not shown) provided in the exhaust pipe 54
  • the inside of the processing vessel 24 and the exhaust space 38 are evacuated, and the valve opening of a pressure regulating valve (not shown) provided in the exhaust pipe 54 is adjusted.
  • the atmosphere in the processing space S at a predetermined process pressure.
  • the temperature of the wafer W is maintained at a predetermined process temperature by the heating means 56 provided in the mounting table 48. Thereby, a thin film of HfO is formed on the surface of the semiconductor wafer W.
  • the Hf organometallic gas decomposes in a relatively short time when introduced into the processing space S, which has a very high activity and is easily decomposed, and the Hf organometallic material itself contains oxygen atoms. Therefore, mainly these oxygen atoms and Hf atoms combine to form an HfO film on the wafer surface by chemical vapor deposition (CVD).
  • O gas which is an oxidizing gas
  • the bottom plate 78A of the shower head main body 78 and the side wall 78B to which the cooling mechanism 84 is attached are integrally formed.
  • the cooling mechanism is formed from the bottom plate 78A.
  • the cooling mechanism 84 can sufficiently exhibit its cooling ability. Therefore, the bottom plate 78A, that is, the gas injection surface 28, can be maintained at a temperature at which the raw material gas is not reliquefied and the adhesion of deposits is prevented.
  • the cooling mechanism 84 attached to the shower head body 78 of the shower head structure 26 is removed.
  • all the bolts 88 fixing the head mounting frame body 76 of the shower head structure 26 to the processing container 24 are removed, and the shower head structure 26 can be separated from the processing container 24.
  • the entire shower head structure 26 is turned 90 degrees or 180 degrees around a hinge 90 provided on one side of the head mounting frame 76 as a rotation center, separated from the processing container 24, and The gas injection surface 28 of the head body 78 is exposed.
  • FIG. 4 remove all bolts 80 fixing the shear head main body 78 to the mounting flange portion 92 of the head mounting frame 76, and remove the bolts as shown by the white arrows in FIG. 4.
  • shower head body 78 Pull out from the frame 76. Thereby, only the shower head main body 78 can be separated from other parts of the shower head structure 26 without disassembling other parts of the shower head structure 26.
  • Other parts, such as the three diffusion chamber partition plates 82A-82C remain fixed to the head mounting frame 76 and need not be disassembled. Therefore, maintenance work such as talling processing is greatly simplified.
  • the showerhead structure that is useful in the second embodiment is not configured so that the showerhead body can be disassembled independently as in the first embodiment, but the showerhead body is similar to the first embodiment. It is configured so that it can be cooled efficiently. 5 and 6, the same components as those shown in FIGS. 1 to 4 are denoted by the same reference numerals, and the description thereof will not be repeated.
  • a ring-shaped sealing flange 152 that protrudes outward and extends in the circumferential direction is provided on the outer peripheral surface of the upper part of the side wall 78B of the shower head main body 78.
  • An engagement step 154 is provided on the inner peripheral surface of the head mounting frame 76.
  • the flange 152 is mounted and supported on the engagement step 154.
  • a sealing member 100 such as an O-ring is interposed on the contact surface between the flange 152 and the engaging step portion 154 to hermetically seal the two.
  • the upper end surface of the shower head body 78 is exposed to the atmosphere, and the cooling mechanism 84 is attached to this upper end surface.
  • the lowermost diffusion chamber partition plate 82A is fixed to the bottom plate 78A of the shower head main body 78 by bolts 158.
  • the middle diffusion room partition plate 82B is fixed to the bottom plate 78A of the shower head body 78 together with the lowermost diffusion room partition plate 82A by a bolt 160 inserted from above.
  • the bottom plate 78A and the side wall 78B of the shower head main body 78 are formed as one piece, the upper end of the side wall 78B is exposed to the atmosphere, and the cooling mechanism 84 is provided at the upper end of the side wall 78B. Installed. Therefore, in the second embodiment, the temperature controllability of the bottom plate 78A of the shower head main body 78, that is, the gas injection surface 28, is the first embodiment. It is the same as the embodiment.
  • the shower head body 78 and the head mounting frame 76 are removed. All the bolts 156 which are connected to the engaging step portions 154 of the shower head are removed, and the shower head main body 78 and all the diffusion chamber partition plates 82A-82C accommodated inside the shower head main body 78 are integrated with the head mounting frame 76. Just remove it from above (see white arrow in Figure 6)
  • the present invention uses an organic metal material gas containing Hf and an O gas.
  • the present invention is required when high-precision temperature control of the showerhead gas jetting surface is required or easy maintenance of the showerhead is performed regardless of whether or not there is a problem with the deposit derived from the processing gas. It is effective also when it is done.
  • the processing vessel 24 itself has no ceiling plate, and the shower head structure 26 has a structure forming the ceiling of the processing vessel.
  • the present invention is not limited to this. 24 may have a ceiling plate with an opening for mounting the showerhead structure 26.
  • the head mounting frame 76 may be a separate component from the ceiling plate, or may be a single component in which the head mounting frame 76 and the ceiling plate are integrated.
  • the specific configuration of the showerhead structure is not limited to the illustrated embodiment.
  • the number of diffusion chamber partition plates, the number of gas diffusion chambers, and the type and number of processing gases used may be changed as necessary. Is appropriately changed.
  • the object to be processed is not limited to a semiconductor wafer, and may be a glass substrate, an LCD substrate, or the like.

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)

Description

明 細 書
処理装置
技術分野
[0001] 本発明は、被処理体例えば半導体ウェハに、所定の処理例えば薄膜堆積のため の熱処理施すための処理装置に関する。
背景技術
[0002] 一般に、半導体集積回路を製造するには、半導体ウェハ等の被処理体に、成膜処 理、エッチング処理、熱処理、改質処理、結晶化処理等の各種の枚葉処理が繰り返 し行なわれる。上記各種の処理を行なう場合には、その処理に必要な処理ガスが処 理容器内へ導入される。上記各種の処理のうちの成膜処理を行う装置の一例が JP1 0— 321613Aに開示されている。この成膜装置は、真空引き可能になされた処理容 器の天井部に設けられたシャワーヘッド構造を有し、シャワーヘッド構造のガス噴射 口から処理容器内に原料ガスや他の支援ガス例えば酸化ガス若しくは還元ガスを供 給し、これにより加熱された半導体ウェハの表面に CVDによって薄膜を堆積させる。
[0003] 蒸気圧が比較的低くて活性ィ匕エネルギーが高 、原料ガスを用いる場合に、シャヮ 一ヘッド構造から原料ガスを噴射する前に原料ガスと支援ガス (例えば酸化ガス)を 混合するとそこで成膜反応が生じてしまう。これを防止するために、原料ガスがシャヮ 一ヘッド構造カゝら処理容器内へ噴射された時に初めて支援ガスと接触するような噴 射方式が採用される。このような噴射方式は、ポストミックス方式と呼ばれている。
[0004] 図 7にはポストミックス方式を採用した成膜装置の一例が示されている。成膜装置 2 は真空引き可能になされた円筒形の処理容器 4を有する。処理容器 4内には、半導 体ウェハ Wを載置する載置台 6が設けられており、載置台 6内にはヒータ 8が埋め込 まれている。処理容器 4の天井部には、処理容器 4の内部に成膜ガスを導入するた めのシャワーヘッド構造 10が設けられている。シャワーヘッド構造 10は、積層された 複数枚のヘッド板 10A— 10Dをボルト 12 (図 7にはその一部のみを示す)により一体 的に結合してなる。
[0005] 各ヘッド板 10A— 10Dの表面には、複数の凹部及びこれらの凹部を連絡するガス 流路が形成されており、ヘッド板 10A— 10Dを組み立てると複数のガス拡散室 14A 、 14B、 14Cが形成される。なお、図示例では、ガス拡散室 14Aおよび 14Cが互い に連通している。最下段のヘッド板 10Aは、多数のガス噴射口 16が形成されたガス 噴射板として形成されている。これらのガス噴射孔 16は、ガス拡散室 14Aに連通して 酸ィ匕ガス例えば Oガスを噴射する第 1グループのガス噴射口 16Aと、ガス拡散室 14
2
Bに連通して原料ガスを噴射する第 2グループのガス噴射口 16Bとに分かれている。 原料ガスと酸ィ匕ガスとはこのシャワーヘッド構造 10内で混合されることなく分離された 状態で流れ、それぞれのガス噴射孔 10A、 10Bカゝら別々に処理空間 Sに噴射されて この処理空間 Sで初めて混合される。従って、シャワーヘッド構造内にパーティクル発 生の原因となる不要な膜が堆積することは防止され、主としてウェハ表面のみに必要 な薄膜を堆積させることができる。
[0006] シャワーヘッド構造 10の上面の周辺部には、冷却ジャケット等の冷却機構 18が取 り付けられている。冷却機構 18は、最下段のヘッド板 10Aを所定の温度に冷却して 、熱分解し易い原料ガスがガス噴射孔 16Bから噴射された直後に分解してヘッド板 1 OAの下面すなわちガス噴射面にパーティクルの原因となりうる不要な膜が付着しな いようにしている。
[0007] し力しながら、上記シャワーヘッド構造 10は平らな複数枚のヘッド板を積層してボ ルト結合した構造であり、しかも処理容器 4内は比較的低圧状態に維持されるため、 ヘッド板 10A— 10C相互間の熱伝導性はそれ程高くはない。このため、ヘッド板 10 Cに取り付けられた冷却機構 18によるヘッド板 10Aのガス噴射面近傍の冷却制御を 効果的に行うことができず、その結果ガス噴射面に不要な膜が付着することがある。
[0008] また、ウェハに対して繰り返し成膜処理を行う過程で、原料ガスを噴射するガス噴 射口を中心とした直径数 mm—数 cmの領域にぉ ヽて処理空間と接するガス噴射面 に不要な薄膜が堆積する場合がある。このような不要な薄膜は、放置しておくと剥が れ落ちてパーティクルの原因となるので、シャワーヘッドのクリーニングを定期的に行 わなければならない。図示例の装置では、シャワーヘッド構造 10はボルト 12により複 数枚のヘッド板 10A— 10Cを一体的に結合した構造であるため、主たるクリーニング 対象である最下段のヘッド板 10Aを取り外すと、シャワーヘッド構造のほぼ全体が分 解されてしまうことになり、メンテナンス作業が非常に複雑ィ匕し、且つメンテナンス作 業時間も長くなる、といった問題がある。
発明の開示
[0009] 本発明の目的は、ガス噴射面の温度制御性の高!、シャワーヘッド構造を有する処 理装置を提供することにある。
本発明の他の目的は、シャワーヘッド構造の構成部品のうちのガス噴射面を有する 部品を単独で他の部品から分離することができ、クリーニング等のメンテナンスを迅速 かつ容易に行うことができる処理装置を提供することにある。
[0010] 上記目的を達成するため、本発明は、処理容器と、被処理体を載置するために前 記処理容器内に設けられた載置台と、前記処理容器の天井部に設けられて前記処 理容器内へ処理ガスを導入するシャワーヘッド構造とを有する処理装置において、 前記シャワーヘッド構造が、複数のガス噴射口が形成された底壁と前記底壁の周縁 部から起立する側壁とを有する全体としてカップ形に形成されたシャワーヘッド本体 と、前記処理容器の天井部に設けられて前記シャワーヘッド本体を支持するヘッド取 付枠体であって、前記シャワーヘッド本体の側壁の上側部分が挿入される少なくとも
1つの貫通孔を有して!/、るヘッド取付枠体と、前記ヘッド取付枠体の前記貫通孔に 挿入されて前記処理容器の外部に露出する前記シャワーヘッド本体の前記側壁の 上端部分に取り付けられた冷却機構と、前記シャワーヘッド本体の前記底壁の下面 側から前記シャワーヘッド本体の前記底壁を貫通し、前記ヘッド取付枠体に螺合す るねじ付きボルトと、前記シャワーヘッド本体内に収容されて前記ヘッド取付枠体に 結合され、前記ガス噴射口に連通するとともに処理ガスが供給されるガス拡散室を形 成する少なくとも 1つの拡散室区画板と、を有していることを特徴とする処理装置を提 供する。
[0011] また、本発明は、処理容器と、被処理体を載置するために前記処理容器内に設け られた載置台と、前記処理容器の天井部に設けられて前記処理容器内へ処理ガス を導入するシャワーヘッド構造とを有する処理装置にお ヽて、前記シャワーヘッド構 造力 複数のガス噴射口が形成された底壁と前記底壁の周縁部力 起立する側壁と を有する全体としてカップ形に形成されたシャワーヘッド本体と、前記処理容器の天 井部に設けられて前記シャワーヘッド本体を支持するヘッド取付枠体であって、前記 シャワーヘッド本体の前記側壁の上側部分が前記処理容器外に露出するように前記 シャワーヘッド本体が取り付けられるヘッド取付枠体と、前記処理容器の外部に露出 する前記シャワーヘッド本体の前記側壁の部分に取り付けられた冷却機構と、前記 シャワーヘッド本体内に収容され、前記ガス噴射口に連通するとともに処理ガスが供 給されるガス拡散室を形成する少なくとも 1つの拡散室区画板と、を有していることを 特徴とする処理装置を提供する。
[0012] 前記ヘッド取付枠体が、前記ヘッド取付枠体が前記処理容器から分離することが 可能なように、ヒンジを介して、前記処理容器に旋回可能に取り付けられていることが
、メンテナンス性向上の観点力も好ましい。
[0013] 好ましくは、前記ヘッド取付枠体に、前記シャワーヘッド本体の側壁の上端部分を 挿入するための貫通孔が円周方向に沿って間隔を空けて複数設けられている。
[0014] 典型的な実施例において、前記拡散室区画板は、前記シャワーヘッド本体内に積 層されて複数設けられて ヽる。
[0015] 好ましくは、前記冷却機構は、ペルチェ素子および冷却媒体を流すことができる冷 却ジャケットとを含む。
図面の簡単な説明
[0016] [図 1]本発明による処理装置の第 1実施例を示す断面図である。
[図 2]図 1に示すシャワーヘッド構造を拡大して示す断面図である。
[図 3]ヘッド取付枠体の構造の要部を示すために簡略化された処理装置の平面図で ある。
[図 4]分解された図 2のシャワーヘッド構造を示す断面図である。
[図 5]本発明による処理装置の第 2実施例に用いるシャワーヘッド構造を示す断面図 である。
[図 6]分解された図 4のシャワーヘッド構造を示す断面図である。
[図 7]従来の成膜装置の構成を概略的に示す断面図である。
発明を実施するための最良の形態
[0017] 以下に、本発明による処理装置の実施例としての成膜装置について、添付図面を 参照して説明する。
[第 1実施例]
まず、図 1乃至図 4を参照して、第 1実施例について説明する。成膜装置 22は、天 井部が開口した概ね円筒形のアルミニウム製の処理容器 24を有している。処理容器 24の天井部には、成膜用の処理ガス例えば原料ガスおよび酸ィ匕ガスを処理容器 24 内に供給するためのシャワーヘッド構造 26が設けられている。シャワーヘッド構造 26 の下面のガス噴射面 28には多数のガス噴射口 30が設けられ、そこから処理空間 S に向けて処理ガスが噴射される。このシャワーヘッド構造 26の詳細につ!/、ては後に 説明する。
[0018] 処理容器 24の側周壁には、この処理容器 24に被処理体としての半導体ウェハ W を搬入および搬出するための搬出入口 32が設けられている。搬出入口 32は、ゲート バルブ 34により気密に閉鎖することができる。容器底壁 36の中央部には大きな開口 40が形成され、開口 40に有底円筒体 42が装着されている。有底円筒体 42の内部 空間は、処理容器 24の排気落とし込み空間(以下、「排気空間」と呼ぶ) 38としての 役割を果たす。有底円筒体 42の底壁 44から上方に向けて円筒形の支柱 46が延び ている。支柱 46の上端には、載置台 48が溶接により固定されている。支柱 46および 載置台 48は、 A1N等のセラミックにより形成することができる。
[0019] 排気空間 38の入口となる開口 40の直径は、載置台 48の直径より小さい。従って、 載置台 48の周縁の外側を流下する処理ガスは、載置台 48の下方に回り込んで開口 40へ流入する。有底円筒体 42の側壁の下部には、排気空間 38に面した排気口 50 が形成されており、排気口 50には真空排気系 52が接続されている。真空排気系 52 は、排気口 50に接続されるとともに真空ポンプ(図示せず)が介設された排気管 54を 有し、排気空間 38内の雰囲気を吸引排気することができる。
[0020] 排気管 54には図示しない圧力調整弁が介設されている。圧力調整弁の開度は、 処理容器 24内の圧力を一定値に維持したり、或いは所望する圧力へ迅速に変化さ せるために、自動的に調整される。載置台 48には、例えばカーボンワイヤ等の抵抗 加熱ヒータよりなる加熱手段 56が埋め込まれている。加熱手段 56には、支柱 46内に 配設された給電線 58を介して、制御された電力が供給され、これにより載置台 48の 上面に載置された半導体ウェハ wが加熱される。
[0021] 載置台 48には、載置台 48を上下方向に貫通する複数、本例では 3本(図 1におい ては 2つのみ示す)のピン揷通孔 60が形成されている。各ピン揷通孔 60には、上下 移動可能に押し上げピン 62が遊嵌されている。押し上げピン 62の下端には、アルミ ナ等のセラミックス製の押し上げリング 64が配置されている。各押し上げピン 62の下 端は押し上げリング 64に固着されることなく押し上げリング 64により支持されている。 押し上げリング 64から延びるアーム 66が、処理容器底壁 36を貫通するロッド 68に連 結され、ロッド 68はァクチユエータ 70により昇降可能である。これにより、載置台 48と 図示しないウェハ搬送アームとの間でウエノ、 Wを受け渡す際に、各押し上げピン 62 を各ピン揷通孔 60の上端から上方へ突出させることができる。処理容器底壁 36とァ クチユエータ 70との間にロッド 68を囲む伸縮可能なベローズ 72が介設されており、こ れにより、処理容器 24内の気密性を維持しつつロッド 68を昇降させることができる。
[0022] 次に、図 2—図 4を参照してシャワーヘッド構造 26について詳述する。シャワーへッ ド構造 26は、ヘッド取付枠体 76と、シャワーヘッド本体 78と、シャワーヘッド本体 78 内に収容されてガス拡散室を形成する拡散室区画板 82A、 82B、 82Cとを有する。 ヘッド取付枠体 76は、処理容器 24の天井部の周縁部に配置されており、図示例に おいては、処理容器 24の側周壁の上端に取り付けられている。シャワーヘッド本体 7 8は、複数のガス噴射口 30が形成された底壁 78Aと、底壁 78Aの周縁から上方に起 立した側壁 (側周壁) 78Bとを有し、全体として浅 、カップ形な 、し有底円筒状に形 成されている。シャワーヘッド本体 78は、拡散室区画板 82Aおよび 82Bと一緒に、 底壁 78A側力もシャワーヘッド本体 78に挿入されるねじ付きボルト 80により、ヘッド 取付枠体 76に固定されている。最上段の拡散室区画板 82Cには、シャワーヘッド構 造 26内に処理ガスを導入するためのガス導入口 83Aおよび 83Bが設けられている。 シャワーヘッド本体 78の側壁 78Bの上端には、シャワーヘッド本体 78を冷却するた めの冷却機構 84が設けられている。上述のシャワーヘッド構造 26の構成部品は、二 ッケル若しくはハステロィ (登録商標)等のニッケル合金、或いはアルミニウム若しくは 或いはアルミニウム合金により形成されて 、る。
[0023] ヘッド取付枠体 76は、図 3に示すように、平面視で、全体として矩形に形成されて いる。ヘッド取付枠体 76の中央部には、大口径の円形のヘッド取付開口 74 (図 4参 照)が形成されている。ヘッド取付枠体 76は、処理容器 24の側周壁の上端に、 Oリン グ等のシール部材 86を介して、ねじ付きボルト 88により気密に固着されている。ボル ト 88は、図 2においては 1本しか記載していないが、実際には、周方向に等間隔で複 数本設けられている。ヘッド取付枠体 76の一端側には、ヘッド取付枠体 76を処理容 器 24の側周壁に旋回可能に連結するヒンジ 90がねじ付きボルト 91により取り付けら れている。ボルト 88を外した状態で、図示しないァクチユエータによりヒンジ 90を駆動 することにより、シャワーヘッド構造 26をヒンジ 90の旋回軸線を中心として一体的に 旋回(例えば 180度の旋回)させ、シャワーヘッド構造 26の処理容器 24の処理空間 Sに面した部分を処理容器 24外に露出させることができる。
[0024] ヘッド取付枠体 76には、ヘッド取付開口 74の中心に向力つて突出するとともに周 方向に延在するリング状の取付フランジ 92が設けられている。取付フランジ部 92に は、その周方向に沿って間隔を空けて配置された複数(図示例では 4つ)の円弧状の シャワーヘッド本体挿入孔(貫通孔) 94が形成されて 、る(図 3を参照)。シャワーへッ ド本体揷入孔 94は、ヘッド取付枠体 76を上下方向に貫通している。シャワーヘッド 本体 78は、底板 78Aと側壁 78Bとが一体不可分のワンピース構造を有する。前述し たように、底板 78Aに多数のガス噴射口 30が形成されて 、る。ガス噴射口 30は、 2 つのグループに分けられており、一方のグループのガス噴射口 30Aは原料ガスを噴 射し、他方のグループのガス噴射口 30Bは O等の酸化ガスを噴射する。
2
[0025] シャワーヘッド本体 78の円筒状の側壁 78Bの上部には、ヘッド取付枠体 76の各シ ャヮーヘッド本体挿入孔 94にそれぞれ離脱可能に嵌合する平面視で円弧状の凸部
96が、側壁 78Bの周方向に沿って 4つ形成されている(図 3および図 4参照)。シャヮ 一ヘッド本体 78の凸部 96をヘッド取付枠体 76の下方からシャワーヘッド本体挿入 孔 94に嵌合させれば、シャワーヘッド本体 78の一部である凸部 96の上端面が大気 側に露出する。側壁 78Bの外周面から、リング状のシール用フランジ 98が外側に向 けて突出している。組み立て時には、シール用フランジ 98の上面とヘッド取付枠体 7 6の下面との間に Oリング等のシール部材 100 (図 2参照)が介設され、両者の間が気 密にシールされる。 [0026] 図 2に示すように、ガス噴射面 28の周縁領域において、ガス噴射面 28の下方から 上方に向けて底壁 78Aに設けられた孔に揷入され、 2枚の拡散室区画板 82A、 82B を貫通し、そしてその先端が取付フランジ部 92に螺合するねじ付きボルト 80により、 シャワーヘッド本体 78が下の 2つの拡散室区画板 82Aおよび 82Bと一緒にヘッド取 付枠体 76の取付フランジ 92に固定される。底壁 78Aの上面の周縁部と最下段の拡 散室区画板 82Aの下面の周辺部との間には、 Oリング等のシール部材 104が介設さ れており、両者間を気密にシールしている。図 2及び図 4においては、ボルト 80は 1 本しか記載されていないが、実際には、シャワーヘッド本体 78の周方向に沿って複 数本設けられている。
[0027] 3枚の拡散室区画板 82A、 82Bおよび 82Cのうち、下の 2つの拡散室区画板 82A および 82Bの外径はともに、拡散室区画板 82Aおよび 82Bがシャワーヘッド本体 78 内に収容されたときに、これらがシャワーヘッド本体 78の側壁 78Bの内周面に実質 的に接するような大きさになっている。最上段の拡散室区画板 82Cの直径は、拡散 室区画板 82Aおよび 82Bの直径よりもかなり小さい。最下段の拡散室区画板 82Aの 下面の周縁領域において、拡散室区画板 82Aに形成された孔に拡散室区画板 82 Aの下方から上方に向けて挿入され、中段の拡散室区画板 82Bを貫通し、そしてそ の先端が取付フランジ部 92に螺合するねじ付きボルト 106により、拡散室区画板 82 Aが拡散室区画板 82Bと一緒にヘッド取付枠体 76の取付フランジ 92に固定される。 取付フランジ部 92に形成された孔に取付フランジ部 92の上方から下方に向けて挿 入され、その先端が中段の拡散室区画板 82Bに螺合するねじ付きボルト 108により、 拡散室区画板 82Bがヘッド取付枠体 76の取付フランジ 92に固定される。最上段の 拡散室区画板 82Cに形成された孔に拡散室区画板 82Cの上方から下方に向かって 挿入され、その先端が中段の拡散室区画板 82Bに螺合するねじ付きボルト 110によ り、拡散室区画板 82Cが拡散室区画板 82Bに固定される。尚、ボルト 106、 108およ び 110は、図 2においては、 1本或いは 2本のみ見えている力 実際には、シャワーへ ッド本体 78の周方向に沿って複数本設けられて 、る。
[0028] 最下段および中段の拡散室区画板 82Aおよび 82Bの接合面の周辺部、並びに中 段および最上段の拡散室区画板 82Bおよび 82Cの接合面の周辺部には、それぞれ Oリング等のシール部材 112および 114が介設されており、これら接合面を気密にシ ールしている。また中段および最上段の拡散室区画板 82Bおよび 82Cの接合面の 中央部にも、 Oリング等のシール部材 116が介設されており、後述するガス流路 130 とガス拡散室 126 (128)との間をシールして!/、る。
[0029] 最下段の拡散室区画板 82Aの下面には、同心円状に配置された複数の溝 118が 形成されて 、る。組み付けにより拡散室区画板 82Aとシャワーヘッド本体 78が密着 すると、溝 118により酸ィ匕ガス用のガス拡散室 120が形成される。ガス拡散室 120に は酸ィ匕ガス用のガス噴射口 30Bが連通する。最下段の拡散室区画板 82Aの上面に は、幅広の溝 122が形成されている。組み付けにより拡散室区画板 82Aと中段の拡 散室区画板 82Bが密着すると、溝 122により原料ガス用のガス拡散室 124が形成さ れる。ガス拡散室 124には原料ガス用のガス噴射口 30Aが連通する。最上段の拡散 室区画板 82Cの下面には、幅広の溝 126が形成されている。組み付けにより拡散室 区画板 82Cと中段の拡散室区画板 82Bが密着すると、溝 126により酸ィ匕ガス用のガ ス拡散室 128が形成される。最上段、中段および最下段の拡散室区画板 82C、 82B および 82Aには、原料ガス用の導入口 83 Aを原料ガス用のガス拡散室 124に接続 するガス流路 130が形成され、酸化ガス用の導入口 83Bを酸化ガス用のガス拡散室 128および 120に接続するガス流路 132が形成されている。これらのガス流路 130お よび 132は、例えば、穿孔カ卩ェによって形成することができる。なお、各ガス流路 130 および 132並びに各ガス拡散室 120、 124および 128の構成は実際にはもつと複雑 であるが、図 2では理解を容易にするため簡略ィ匕して示してある。
[0030] また、中段および最上段の拡散室区画板 82Bおよび 82Cの上面のうちの大気に晒 される部分の全面にわたって、シャワーヘッド構造 26内に導入された原料ガスの再 液ィ匕を防止するために拡散室区画板を加熱するヒータ 134が設けられている。組み 付けによりシャワーヘッド本体揷入孔 94に挿入されるシャワーヘッド本体 78の側壁 7 8Bすなわち凸部 96の大気に晒される上端面には、冷却機構 84が設けられる。冷却 機構 84は、前記上端面に直接に取り付けられるペルチェ素子 138と、このペルチェ 素子 138で発生した熱を排出するためにシャワーヘッド本体 78の周方向に沿って配 設された冷却ジャケット 140とから構成することができる。冷却ジャケット 140には冷媒 として例えば冷却水が流され、これによりシャワーヘッド本体 78を効率よく冷却できる
[0031] 次に、以上のように構成された成膜装置の動作について、処理ガスとして Hf (ハフ ユウム)を含む有機金属材料ガスカゝらなる原料ガスと Oガスカゝらなる酸ィ匕ガスを用い
2
て半導体ウェハ Wの表面に Hf酸ィ匕物 (HfO )の薄膜を堆積させる場合を例にとって
2
説明する。まず、未処理の半導体ウェハ wが、図示しない搬送アームに保持されて 開状態となったゲートバルブ 34および搬出入口 32を介して処理容器 24内へ搬入さ れる。ウエノ、 Wは、上昇された押し上げピン 62に渡された後に、押し上げピン 62を降 下させることにより、載置台 48の上面に載置される。
[0032] 次に、シャワーヘッド構造 26へ Hf有機金属含有ガスと Oガスとを流量制御しつつ
2
供給して、これらのガスをそれぞれガス噴射口 30A、 30Bカゝら処理空間 Sに噴射する 。尚、この Hf有機金属含有ガスは、常温では液体若しくは固体の有機金属材料を溶 剤例えばオクタンに溶かし、これを気化器にて気化させることによって作られる。排気 管 54に設けた真空ポンプ(図示せず)の駆動により処理容器 24内および排気空間 3 8を真空引きするとともに排気管 54に設けた圧力調整弁 (図示せず)の弁開度を調 整して、処理空間 Sの雰囲気を所定のプロセス圧力に維持する。この時、ウェハ Wの 温度は、載置台 48内に設けた加熱手段 56により所定のプロセス温度に維持されて いる。これにより、半導体ウェハ Wの表面に HfOの薄膜が形成される。
2
[0033] Hf有機金属含有ガスは、活性が非常に高くて分解し易ぐ処理空間 S内に導入さ れると比較的短時間で分解し、また、この Hf有機金属材料自体に酸素原子が含まれ て!、ることから、主にこの酸素原子と Hf原子とが化合してウェハ表面に CVD ( Chemical Vapor Deposition)により HfO膜が堆積する。酸化ガスである Oガスは、上
2 2 記反応を支援する。
[0034] このとき、ガス噴射口 30Aを処理空間 Sに向けて流れる Hf有機金属含有ガスすな わち原料ガスが過度に高温状態になると、この原料ガスが直ちに分解され、ガス噴射 口 30A内に堆積物が析出してこれを閉塞したり、ガス噴射面 28に多量の堆積物が 付着する。この現象は、冷却機構 84によりガス噴射面 28の部分を冷却することにより 抑制される。図 7に示す従来装置にあっては、積層され機械的に結合された複数枚 のヘッド板を介して熱伝導が行われて 、るため、熱伝導効率が低く効率的な冷却が できない。しかしながら、本実施例に係るシャワーヘッド構造においては、シャワーへ ッド本体 78の底板 78Aと冷却機構 84が取り付けられた側壁 78Bとが一体的に成形 されており、言い換えれば、底板 78Aから冷却機構 84に至る熱伝達経路に機械的 継手構造は存在しない。このため、底板 78Aから冷却機構 84への熱伝導効率が優 れるため、冷却機構 84による底板 78Aの高精度の温度制御が可能となる。また、側 壁 78Bの冷却機構 84が取り付けられる部分は大気に晒されるため、冷却機構 84は その冷却能力を充分に発揮することができる。従って、底板 78Aすなわちガス噴射 面 28を、原料ガスが再液化せず、かつ、堆積物の付着が防止される温度に維持する ことができる。
[0035] 上述したように堆積物の発生は大幅に低減できる力 半導体ウェハ Wを複数枚処 理するうちに、ガス噴射面 28にパーティクル等の原因となる不要な膜 (堆積物)が次 第に付着することは避けられない。このため、ガス噴射面 28を必要に応じてタリー- ングしなければならない。この場合、図 7に示す従来のシャワーヘッド構造において は、ガス噴射面をクリーニングするためにはシャワーヘッド構造全体を分解する必要 があるため、メンテナンス作業が非常に煩雑で長時間を要する。し力しながら、本実 施例においては、シャワーヘッド構造 26の全体を分解する必要はなぐクリーニング 対象であるガス噴射面 28を有するシャワーヘッド本体 78のみを取り外すことができる ので、メンテナンス作業を迅速に容易に行うことができる。
[0036] ガス噴射面 28のクリーニングを行う場合には、まず、シャワーヘッド構造 26のシャヮ 一ヘッド本体 78に取り付けられている冷却機構 84を取り外す。また、このシャワーへ ッド構造 26のヘッド取付枠体 76を処理容器 24に固定している全てのボルト 88を取り 外して、処理容器 24からシャワーヘッド構造 26を分離可能な状態とする。
[0037] 次に、ヘッド取付枠体 76の一側に設けたヒンジ 90を回転中心として、シャワーへッ ド構造 26の全体を、 90度或いは 180度旋回させて、処理容器 24から離し、シャワー ヘッド本体 78のガス噴射面 28を露出させる。この状態で、図 4に示すように、シャヮ 一ヘッド本体 78をヘッド取付枠体 76の取付フランジ部 92へ固定していた全てのボ ルト 80を取り外し、図 4中の白抜き矢印に示すようにシャワーヘッド本体 78をヘッド取 付枠体 76から引き抜く。これにより、シャワーヘッド本体 78のみを、シャワーヘッド構 造 26の他の部品を分解することなぐシャワーヘッド構造 26の他の部分から分離す ることができる。他の部品、例えば 3枚の拡散室区画板 82A— 82Cは、ヘッド取付枠 体 76へ固定されたままであり、これらを分解する必要はない。したがって、タリーニン グ処理等のメンテナンス作業は大幅に簡素化される。
[0038] [第 2実施例]
次に、図 5および図 6を参照して、本発明の第 2実施例について説明する。この第 2 実施例に力かるシャワーヘッド構造は、第 1実施例のようにシャワーヘッド本体を単独 で分解できるようには構成されてはいないが、第 1実施例と同様にシャワーヘッド本 体を効率的に冷却できるように構成されている。図 5および図 6において、図 1乃至図 4に示す構成部品と同一部品については同一符号を付して重複説明を省略する。こ の第 2実施例では、シャワーヘッド本体 78の側壁 78Bの上部の外周面に、外側に突 出するとともに周方向に延在するリング状のシール用フランジ 152が設けられている 。ヘッド取付枠体 76の内周面には係合段部 154が設けられている。フランジ 152は、 係合段部 154に載置されて支持される。フランジ 152と係合段部 154との接触面に は Oリング等のシール部材 100が介在し、両者間を気密にシールしている。フランジ 152の上方力も差し込んだボルト 156を締め付けることにより、フランジ 152と係合段 部 154とが結合される。尚、この第 2実施例では、第 1実施例で必要とされたシール 用フランジ 98 (図 2参照)は必要ない。
[0039] この第 2実施例においても、第 1実施例と同様に、シャワーヘッド本体 78の上端面 は大気に晒されており、この上端面に冷却機構 84が取り付けられる。また、この第 2 実施例では、最下段の拡散室区画板 82Aはボルト 158によりシャワーヘッド本体 78 の底板 78Aに固定される。中段の拡散室区画板 82Bは、その上方より挿通されるボ ルト 160により、最下段の拡散室区画板 82Aと一緒にシャワーヘッド本体 78の底板 7 8Aに固定される。この第 2実施例においても、シャワーヘッド本体 78の底板 78Aと 側壁 78Bとが 1ピースで形成され、側壁 78Bの上端部が大気に晒され、かつ、側壁 7 8Bの上端部に冷却機構 84が取り付けられている。従って、第 2実施例は、シャワー ヘッド本体 78の底板 78Aすなわちガス噴射面 28の温度制御性に関しては、第 1実 施例と同様である。
[0040] 第 2実施例に係るシャワーヘッド構造 26のクリーニング等のメンテナンス作業を行う 場合には、図 6に示すように、冷却機構 84を取り外した後に、シャワーヘッド本体 78 とヘッド取付枠体 76の係合段部 154とを連結して ヽる全てのボルト 156を取り外し、 シャワーヘッド本体 78およびその内側に収容された全ての拡散室区画板 82A— 82 Cを一体的にヘッド取付枠体 76から上方に取り外せばよい(図 6中白抜き矢印参照)
[0041] 例示された実施例により本発明を説明してきた力 本発明は上述した実施例に限 定されるものではない。本発明は、 Hfを含む有機金属材料ガスと Oガスとを用いて
2
Hf酸化物膜を成膜する処理を行う場合のみならず、シャワーヘッド表面への処理ガ ス由来の堆積物が問題となりうる処理を行う場合に特に有効である。し力しながら、本 発明は、処理ガス由来の堆積物の問題の有無にかかわらず、シャワーヘッドガス噴 射面の高精度の温度制御が求められる場合、或いはシャワーヘッドの容易なメンテ ナンスが求められる場合にも有効である。また、例示された実施例においては、処理 容器 24自体が天井板を有しておらずシャワーヘッド構造 26が処理容器の天井をな す構造であつたが、これには限定されず、処理容器 24がシャワーヘッド構造 26を装 着するための開口を有する天井板を有していてもよい。この場合、ヘッド取付枠体 76 は天井板と別部品であってもよ 、し、ヘッド取付枠体 76および天井板が統合された 単一の部品であってもよい。また、シャワーヘッド構造の具体的構成も例示された実 施例に限定されるものではなぐ拡散室区画板の数、ガス拡散室の数、および用いら れる処理ガスの種類および数も必要に応じて適宜変更される。むろん、被処理体は 半導体ウェハに限定されず、ガラス基板および LCD基板等であってもよ 、。

Claims

請求の範囲
[1] 処理容器と、被処理体を載置するために前記処理容器内に設けられた載置台と、 前記処理容器の天井部に設けられて前記処理容器内へ処理ガスを導入するシャヮ 一ヘッド構造とを有する処理装置において、
前記シャワーヘッド構造は、
複数のガス噴射口が形成された底壁と前記底壁の周縁部から起立する側壁とを有 する全体としてカップ形に形成されたシャワーヘッド本体と、
前記処理容器の天井部に設けられて前記シャワーヘッド本体を支持するヘッド取 付枠体であって、前記シャワーヘッド本体の側壁の上側部分が挿入される少なくとも
1つの貫通孔を有しているヘッド取付枠体と、
前記ヘッド取付枠体の前記貫通孔に挿入されて前記処理容器の外部に露出する 前記シャワーヘッド本体の前記側壁の上端部分に取り付けられた冷却機構と、 前記シャワーヘッド本体の前記底壁の下面側から前記シャワーヘッド本体の前記 底壁を貫通し、前記ヘッド取付枠体に螺合するねじ付きボルトと、
前記シャワーヘッド本体内に収容されて前記ヘッド取付枠体に結合され、前記ガス 噴射口に連通するとともに処理ガスが供給されるガス拡散室を形成する少なくとも 1 つの拡散室区画板と、
を有して!/ヽることを特徴とする処理装置。
[2] 前記ヘッド取付枠体は、前記ヘッド取付枠体が前記処理容器力 分離することが 可能なように、ヒンジを介して、前記処理容器に旋回可能に取り付けられていることを 特徴とすることを特徴とする請求項 1に記載の処理装置。
[3] 前記ヘッド取付枠体に、前記シャワーヘッド本体の側壁の上側部分を挿入するた めの貫通孔が円周方向に沿って間隔を空けて複数設けられていることを特徴とする
、請求項 1に記載の処理装置。
[4] 前記拡散室区画板は、前記シャワーヘッド本体内に積層されて複数設けられてい ることを特徴とする、請求項 1に記載の処理装置。
[5] 前記冷却機構は、ペルチェ素子および冷却媒体を流すことができる冷却ジャケット とを含むことを特徴とする、請求項 1に記載の処理装置。 処理容器と、被処理体を載置するために前記処理容器内に設けられた載置台と、 前記処理容器の天井部に設けられて前記処理容器内へ処理ガスを導入するシャヮ 一ヘッド構造とを有する処理装置において、
前記シャワーヘッド構造は、
複数のガス噴射口が形成された底壁と前記底壁の周縁部から起立する側壁とを有 する全体としてカップ形に形成されたシャワーヘッド本体と、
前記処理容器の天井部に設けられて前記シャワーヘッド本体を支持するヘッド取 付枠体であって、前記シャワーヘッド本体の前記側壁の上側部分が前記処理容器 外に露出するように前記シャワーヘッド本体が取り付けられるヘッド取付枠体と、 前記処理容器の外部に露出する前記シャワーヘッド本体の前記側壁の部分に取り 付けられた冷却機構と、
前記シャワーヘッド本体内に収容され、前記ガス噴射口に連通するとともに処理ガ スが供給されるガス拡散室を形成する少なくとも 1つの拡散室区画板と、
を有して!/ヽることを特徴とする処理装置。
PCT/JP2005/000395 2004-01-16 2005-01-14 処理装置 WO2005069360A1 (ja)

Priority Applications (3)

Application Number Priority Date Filing Date Title
CNB2005800025277A CN100499033C (zh) 2004-01-16 2005-01-14 处理装置
US10/586,050 US7651584B2 (en) 2004-01-16 2005-01-14 Processing apparatus
KR1020067015363A KR100758744B1 (ko) 2004-01-16 2005-01-14 처리장치

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2004-009505 2004-01-16
JP2004009505A JP4513329B2 (ja) 2004-01-16 2004-01-16 処理装置

Publications (1)

Publication Number Publication Date
WO2005069360A1 true WO2005069360A1 (ja) 2005-07-28

Family

ID=34792271

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/JP2005/000395 WO2005069360A1 (ja) 2004-01-16 2005-01-14 処理装置

Country Status (5)

Country Link
US (1) US7651584B2 (ja)
JP (1) JP4513329B2 (ja)
KR (1) KR100758744B1 (ja)
CN (1) CN100499033C (ja)
WO (1) WO2005069360A1 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102196654A (zh) * 2010-02-19 2011-09-21 东京毅力科创株式会社 罩固定工具及电感耦合等离子处理装置

Families Citing this family (370)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100731164B1 (ko) * 2005-05-19 2007-06-20 주식회사 피에조닉스 샤워헤드를 구비한 화학기상 증착 방법 및 장치
KR100629358B1 (ko) * 2005-05-24 2006-10-02 삼성전자주식회사 샤워 헤드
JP4749785B2 (ja) * 2005-07-19 2011-08-17 東京エレクトロン株式会社 ガス処理装置
KR100782292B1 (ko) 2006-08-10 2007-12-05 주식회사 아토 가스분리형 샤워헤드를 이용한 pecvd 장치 및 이를이용한 실리콘 산화막 증착 방법
JP5020650B2 (ja) * 2007-02-01 2012-09-05 東京エレクトロン株式会社 蒸着装置、蒸着方法および蒸着装置の製造方法
CN102084471B (zh) 2008-07-07 2012-11-28 朗姆研究公司 用于检测等离子体处理室中的等离子体不稳定的无源电容耦合静电(cce)探针装置
JP5661622B2 (ja) * 2008-07-07 2015-01-28 ラム リサーチ コーポレーションLam Research Corporation プラズマ処理チャンバで用いるための真空ギャップを備えたプラズマ対向プローブ装置
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
TWI437622B (zh) * 2008-11-26 2014-05-11 Ind Tech Res Inst 氣體噴灑模組
KR101336363B1 (ko) * 2009-01-29 2013-12-04 도쿄엘렉트론가부시키가이샤 성막 장치 및 가스 토출 부재
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
TWI473144B (zh) * 2009-07-31 2015-02-11 Ulvac Inc 成膜裝置
WO2011017222A2 (en) * 2009-08-04 2011-02-10 Applied Materials, Inc. Method and apparatus for dry cleaning a cooled showerhead
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US20110061810A1 (en) * 2009-09-11 2011-03-17 Applied Materials, Inc. Apparatus and Methods for Cyclical Oxidation and Etching
US20110061812A1 (en) * 2009-09-11 2011-03-17 Applied Materials, Inc. Apparatus and Methods for Cyclical Oxidation and Etching
US20110065276A1 (en) * 2009-09-11 2011-03-17 Applied Materials, Inc. Apparatus and Methods for Cyclical Oxidation and Etching
US9540731B2 (en) * 2009-12-04 2017-01-10 Applied Materials, Inc. Reconfigurable multi-zone gas delivery hardware for substrate processing showerheads
KR101249999B1 (ko) * 2010-08-12 2013-04-03 주식회사 디엠에스 화학기상증착 장치
JP5549552B2 (ja) * 2010-11-12 2014-07-16 東京エレクトロン株式会社 真空処理装置の組み立て方法及び真空処理装置
CN102732853B (zh) * 2011-04-08 2014-12-17 北京北方微电子基地设备工艺研究中心有限责任公司 腔室装置和具有它的基片处理设备
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
CN103014668B (zh) * 2011-09-23 2014-12-24 理想能源设备(上海)有限公司 化学气相沉积装置
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
JP6038618B2 (ja) * 2011-12-15 2016-12-07 株式会社ニューフレアテクノロジー 成膜装置および成膜方法
KR101327458B1 (ko) * 2012-01-10 2013-11-08 주식회사 유진테크 냉각 방식의 샤워헤드 및 이를 구비하는 기판 처리 장치
JP5898549B2 (ja) 2012-03-29 2016-04-06 株式会社Screenホールディングス 基板処理方法および基板処理装置
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
JP5977592B2 (ja) * 2012-06-20 2016-08-24 東京応化工業株式会社 貼付装置
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
DE102012110125A1 (de) 2012-10-24 2014-04-24 Aixtron Se Vorrichtung zum Behandeln von Substraten mit einer auswechselbaren Deckenplatte sowie Verfahren zum Auswechseln einer derartigen Deckenplatte
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
JP6078354B2 (ja) * 2013-01-24 2017-02-08 東京エレクトロン株式会社 プラズマ処理装置
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
JP5872089B1 (ja) * 2015-04-27 2016-03-01 中外炉工業株式会社 シャワープレート装置
JP5990626B1 (ja) * 2015-05-26 2016-09-14 株式会社日本製鋼所 原子層成長装置
JP6054471B2 (ja) 2015-05-26 2016-12-27 株式会社日本製鋼所 原子層成長装置および原子層成長装置排気部
JP6054470B2 (ja) 2015-05-26 2016-12-27 株式会社日本製鋼所 原子層成長装置
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2019053924A (ja) * 2017-09-15 2019-04-04 東京エレクトロン株式会社 プラズマ処理装置
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102633318B1 (ko) 2017-11-27 2024-02-05 에이에스엠 아이피 홀딩 비.브이. 청정 소형 구역을 포함한 장치
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
JP7066512B2 (ja) * 2018-05-11 2022-05-13 東京エレクトロン株式会社 プラズマ処理装置
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
WO2019244790A1 (ja) * 2018-06-20 2019-12-26 株式会社アルバック 真空処理装置、支持シャフト
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
JP2022534885A (ja) * 2019-05-24 2022-08-04 アプライド マテリアルズ インコーポレイテッド 基板処理チャンバ
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
JP2023543423A (ja) * 2020-09-21 2023-10-16 ラム リサーチ コーポレーション Tcpチャンバのガスプレートを浮遊させるためのキャリアリング
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US20220102117A1 (en) * 2020-09-25 2022-03-31 Applied Materials, Inc. Thread profiles for semiconductor process chamber components
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN116130325A (zh) * 2021-11-12 2023-05-16 中微半导体设备(上海)股份有限公司 安装底座、喷淋头组件、控温方法及等离子体处理装置

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH08291385A (ja) * 1995-04-20 1996-11-05 Tokyo Electron Ltd 処理装置のシャワーヘッド構造及び処理ガスの供給方法
JP2002155364A (ja) * 2000-09-08 2002-05-31 Tokyo Electron Ltd シャワーヘッド構造、成膜装置、成膜方法及びクリーニング方法

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6070551A (en) 1996-05-13 2000-06-06 Applied Materials, Inc. Deposition chamber and method for depositing low dielectric constant films
US6454860B2 (en) * 1998-10-27 2002-09-24 Applied Materials, Inc. Deposition reactor having vaporizing, mixing and cleaning capabilities
JP3205312B2 (ja) * 1999-03-17 2001-09-04 株式会社日立製作所 プラズマ処理装置及びプラズマ処理装置のメンテナンス方法
TWI297510B (ja) 2000-09-08 2008-06-01 Tokyo Electron Ltd
KR101004173B1 (ko) 2001-02-09 2010-12-24 도쿄엘렉트론가부시키가이샤 성막 장치
US6827815B2 (en) * 2002-01-15 2004-12-07 Applied Materials, Inc. Showerhead assembly for a processing chamber

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH08291385A (ja) * 1995-04-20 1996-11-05 Tokyo Electron Ltd 処理装置のシャワーヘッド構造及び処理ガスの供給方法
JP2002155364A (ja) * 2000-09-08 2002-05-31 Tokyo Electron Ltd シャワーヘッド構造、成膜装置、成膜方法及びクリーニング方法

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102196654A (zh) * 2010-02-19 2011-09-21 东京毅力科创株式会社 罩固定工具及电感耦合等离子处理装置

Also Published As

Publication number Publication date
CN1910739A (zh) 2007-02-07
JP4513329B2 (ja) 2010-07-28
KR100758744B1 (ko) 2007-09-14
US7651584B2 (en) 2010-01-26
KR20060129341A (ko) 2006-12-15
US20070158026A1 (en) 2007-07-12
CN100499033C (zh) 2009-06-10
JP2005203627A (ja) 2005-07-28

Similar Documents

Publication Publication Date Title
WO2005069360A1 (ja) 処理装置
KR100735932B1 (ko) 성막 장치
KR101543991B1 (ko) 프로세스 챔버로 세정 가스를 공급하기 위한 방법 및 시스템
JP3217798B2 (ja) 化学蒸着プロセスのための多目的プロセス室
WO2005041285A1 (ja) シャワーヘッド及びこれを用いた成膜装置
US20090250008A1 (en) Gas treatment apparatus
JP2007284717A (ja) 触媒体化学気相成長装置
TWI407494B (zh) 半導體處理裝置
CN110050333B (zh) 时间性原子层沉积处理腔室
WO2002061818A1 (fr) Dispositif de traitement thermique de type feuille et procede de traitement de semi-conducteurs
US20200370172A1 (en) Hard mask, substrate processing method, and substrate processing apparatus
TWI783445B (zh) 熱控制的蓋堆疊組件
JP2005054252A (ja) 薄膜製造装置及び製造方法
JP2004063661A (ja) 半導体製造装置
US20240043999A1 (en) Single process gas feed line architecture
JPH11172420A (ja) 処理装置

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A1

Designated state(s): AE AG AL AM AT AU AZ BA BB BG BR BW BY BZ CA CH CN CO CR CU CZ DE DK DM DZ EC EE EG ES FI GB GD GE GH GM HR HU ID IL IN IS KE KG KP KR KZ LC LK LR LS LT LU LV MA MD MG MK MN MW MX MZ NA NI NO NZ OM PG PH PL PT RO RU SC SD SE SG SK SL SY TJ TM TN TR TT TZ UA UG US UZ VC VN YU ZA ZM ZW

AL Designated countries for regional patents

Kind code of ref document: A1

Designated state(s): GM KE LS MW MZ NA SD SL SZ TZ UG ZM ZW AM AZ BY KG KZ MD RU TJ TM AT BE BG CH CY CZ DE DK EE ES FI FR GB GR HU IE IS IT LT LU MC NL PL PT RO SE SI SK TR BF BJ CF CG CI CM GA GN GQ GW ML MR NE SN TD TG

121 Ep: the epo has been informed by wipo that ep was designated in this application
WWE Wipo information: entry into national phase

Ref document number: 2007158026

Country of ref document: US

Ref document number: 10586050

Country of ref document: US

Ref document number: 200580002527.7

Country of ref document: CN

NENP Non-entry into the national phase

Ref country code: DE

WWW Wipo information: withdrawn in national office

Country of ref document: DE

WWE Wipo information: entry into national phase

Ref document number: 1020067015363

Country of ref document: KR

WWP Wipo information: published in national office

Ref document number: 1020067015363

Country of ref document: KR

122 Ep: pct application non-entry in european phase
WWP Wipo information: published in national office

Ref document number: 10586050

Country of ref document: US