KR101543991B1 - 프로세스 챔버로 세정 가스를 공급하기 위한 방법 및 시스템 - Google Patents

프로세스 챔버로 세정 가스를 공급하기 위한 방법 및 시스템 Download PDF

Info

Publication number
KR101543991B1
KR101543991B1 KR1020117001438A KR20117001438A KR101543991B1 KR 101543991 B1 KR101543991 B1 KR 101543991B1 KR 1020117001438 A KR1020117001438 A KR 1020117001438A KR 20117001438 A KR20117001438 A KR 20117001438A KR 101543991 B1 KR101543991 B1 KR 101543991B1
Authority
KR
South Korea
Prior art keywords
processing
flapper
chamber
valve
gas
Prior art date
Application number
KR1020117001438A
Other languages
English (en)
Other versions
KR20110018458A (ko
Inventor
람프라카쉬 산카라크리쉬난
데일 두 보이스
가네쉬 발라서브라마니안
커틱 자나키라만
주안 카를로스 로차-알바레즈
토마스 노웍
비스웨스워렌 시바라마크리쉬난
히쳄 엠'사드
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20110018458A publication Critical patent/KR20110018458A/ko
Application granted granted Critical
Publication of KR101543991B1 publication Critical patent/KR101543991B1/ko

Links

Images

Classifications

    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F16ENGINEERING ELEMENTS AND UNITS; GENERAL MEASURES FOR PRODUCING AND MAINTAINING EFFECTIVE FUNCTIONING OF MACHINES OR INSTALLATIONS; THERMAL INSULATION IN GENERAL
    • F16KVALVES; TAPS; COCKS; ACTUATING-FLOATS; DEVICES FOR VENTING OR AERATING
    • F16K31/00Actuating devices; Operating means; Releasing devices
    • F16K31/02Actuating devices; Operating means; Releasing devices electric; magnetic
    • F16K31/06Actuating devices; Operating means; Releasing devices electric; magnetic using a magnet, e.g. diaphragm valves, cutting off by means of a liquid
    • F16K31/0644One-way valve
    • F16K31/0655Lift valves
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B5/00Cleaning by methods involving the use of air flow or gas flow
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B7/00Cleaning by methods not provided for in a single other subclass or a single group in this subclass
    • B08B7/0021Cleaning by methods not provided for in a single other subclass or a single group in this subclass by liquid gases or supercritical fluids
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4409Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber characterised by sealing means
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45561Gas plumbing upstream of the reaction chamber
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F16ENGINEERING ELEMENTS AND UNITS; GENERAL MEASURES FOR PRODUCING AND MAINTAINING EFFECTIVE FUNCTIONING OF MACHINES OR INSTALLATIONS; THERMAL INSULATION IN GENERAL
    • F16KVALVES; TAPS; COCKS; ACTUATING-FLOATS; DEVICES FOR VENTING OR AERATING
    • F16K1/00Lift valves or globe valves, i.e. cut-off apparatus with closure members having at least a component of their opening and closing motion perpendicular to the closing faces
    • F16K1/16Lift valves or globe valves, i.e. cut-off apparatus with closure members having at least a component of their opening and closing motion perpendicular to the closing faces with pivoted closure-members
    • F16K1/18Lift valves or globe valves, i.e. cut-off apparatus with closure members having at least a component of their opening and closing motion perpendicular to the closing faces with pivoted closure-members with pivoted discs or flaps
    • F16K1/22Lift valves or globe valves, i.e. cut-off apparatus with closure members having at least a component of their opening and closing motion perpendicular to the closing faces with pivoted closure-members with pivoted discs or flaps with axis of rotation crossing the valve member, e.g. butterfly valves
    • F16K1/221Lift valves or globe valves, i.e. cut-off apparatus with closure members having at least a component of their opening and closing motion perpendicular to the closing faces with pivoted closure-members with pivoted discs or flaps with axis of rotation crossing the valve member, e.g. butterfly valves specially adapted operating means therefor
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F16ENGINEERING ELEMENTS AND UNITS; GENERAL MEASURES FOR PRODUCING AND MAINTAINING EFFECTIVE FUNCTIONING OF MACHINES OR INSTALLATIONS; THERMAL INSULATION IN GENERAL
    • F16KVALVES; TAPS; COCKS; ACTUATING-FLOATS; DEVICES FOR VENTING OR AERATING
    • F16K1/00Lift valves or globe valves, i.e. cut-off apparatus with closure members having at least a component of their opening and closing motion perpendicular to the closing faces
    • F16K1/16Lift valves or globe valves, i.e. cut-off apparatus with closure members having at least a component of their opening and closing motion perpendicular to the closing faces with pivoted closure-members
    • F16K1/18Lift valves or globe valves, i.e. cut-off apparatus with closure members having at least a component of their opening and closing motion perpendicular to the closing faces with pivoted closure-members with pivoted discs or flaps
    • F16K1/22Lift valves or globe valves, i.e. cut-off apparatus with closure members having at least a component of their opening and closing motion perpendicular to the closing faces with pivoted closure-members with pivoted discs or flaps with axis of rotation crossing the valve member, e.g. butterfly valves
    • F16K1/224Details of bearings for the axis of rotation
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F16ENGINEERING ELEMENTS AND UNITS; GENERAL MEASURES FOR PRODUCING AND MAINTAINING EFFECTIVE FUNCTIONING OF MACHINES OR INSTALLATIONS; THERMAL INSULATION IN GENERAL
    • F16KVALVES; TAPS; COCKS; ACTUATING-FLOATS; DEVICES FOR VENTING OR AERATING
    • F16K31/00Actuating devices; Operating means; Releasing devices
    • F16K31/02Actuating devices; Operating means; Releasing devices electric; magnetic
    • F16K31/06Actuating devices; Operating means; Releasing devices electric; magnetic using a magnet, e.g. diaphragm valves, cutting off by means of a liquid
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F16ENGINEERING ELEMENTS AND UNITS; GENERAL MEASURES FOR PRODUCING AND MAINTAINING EFFECTIVE FUNCTIONING OF MACHINES OR INSTALLATIONS; THERMAL INSULATION IN GENERAL
    • F16KVALVES; TAPS; COCKS; ACTUATING-FLOATS; DEVICES FOR VENTING OR AERATING
    • F16K31/00Actuating devices; Operating means; Releasing devices
    • F16K31/02Actuating devices; Operating means; Releasing devices electric; magnetic
    • F16K31/06Actuating devices; Operating means; Releasing devices electric; magnetic using a magnet, e.g. diaphragm valves, cutting off by means of a liquid
    • F16K31/08Actuating devices; Operating means; Releasing devices electric; magnetic using a magnet, e.g. diaphragm valves, cutting off by means of a liquid using a permanent magnet
    • F16K31/086Actuating devices; Operating means; Releasing devices electric; magnetic using a magnet, e.g. diaphragm valves, cutting off by means of a liquid using a permanent magnet the magnet being movable and actuating a second magnet connected to the closing element
    • F16K31/088Actuating devices; Operating means; Releasing devices electric; magnetic using a magnet, e.g. diaphragm valves, cutting off by means of a liquid using a permanent magnet the magnet being movable and actuating a second magnet connected to the closing element the movement of the first magnet being a rotating or pivoting movement
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/905Cleaning of reaction chamber

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Engineering & Computer Science (AREA)
  • Mechanical Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

프로세스 챔버를 세정하기 위한 방법 및 장치가 제공된다. 일 실시예에서, 원격 플라즈마 공급원 및 둘 이상의 프로세싱 영역을 가지는 프로세스 챔버를 포함하는 프로세스 챔버가 제공된다. 각 프로세싱 영역은 프로세싱 영역 내에 배치된 기판 지지 조립체, 기판 지지 조립체 위의 프로세싱 영역 내로 가스를 제공하도록 구성된 가스 분배 시스템, 그리고 기판 지지 조립체 아래의 프로세싱 영역으로 가스를 제공하도록 구성된 가스 통로를 포함한다. 제 1 가스 도관은 원격 플라즈마 공급원으로부터 각 프로세싱 영역 내의 가스 분배 조립체를 통해서 세정 작용제를 유동시키도록 구성되는 한편, 제 2 가스 도관은 제 1 가스 도관으로부터 각 프로세싱 영역의 가스 통로로 상기 세정 작용제의 일부를 전향시키도록 구성된다.

Description

프로세스 챔버로 세정 가스를 공급하기 위한 방법 및 시스템{METHOD AND SYSTEM FOR SUPPLYING A CLEANING GAS INTO A PROCESS CHAMBER}
본원 발명의 실시예는 일반적으로 기판 프로세싱 장치의 프로세스 챔버를 세정하기 위한 방법 및 장치에 관한 것이다. 보다 구체적으로, 본원 발명의 실시예는 증착을 위해 사용되는 프로세스 챔버를 세정하기 위한 방법 및 장치에 관한 것이다.
다수의 증착 단계들을 프로세스 챔버 내에서 수행한 후에, 챔버 벽에 형성될 수 있는 바람직하지 못한 증착 잔류물을 제거하기 위해서 프로세스 챔버를 세정할 필요가 있을 것이다. 현재의 화학기상증착(CVD) 또는 플라즈마 강화 화학기상증착(PECVD) 프로세스 챔버를 세정하기 위한 하나의 통상적인 방식은 프로세스 챔버로부터 분리된 원격 플라즈마 공급원(RPS)으로부터 공급되는 세정 플라즈마를 이용하는 것이다. RPS는 일반적으로 불소계 세정 가스로부터 형성된 세정 플라즈마를 제공하고, 상기 세정 플라즈마는 가스 박스, 가스 매니폴드, 및 프로세스 챔버에 설치된 가스 분배 시스템을 포함하는 가스 순환 하드웨어를 통해서 증착 챔버 내로 유동된다.
세정 중에 보다 높은 에칭률을 달성하기 위해서, 일반적으로 세정 플라즈마가 원자 불소 라디칼로 이루어진 활성 형태로 공급된다. 그러나, 일반적으로, RPS로부터 증착 챔버까지의 복잡한 이송 경로로 인해서 원자 불소 라디칼이, 더 낮은 에칭률을 갖는 분자 가스로 조기에 재결합(recombination)된다. 결과적으로, 세정 가스의 전구체 분해 효율이 높은 경우에도 세정 효율이 낮을 수 있을 것이다. 또한, 300 mm 프로세스 챔버와 같은, 큰 부피와 복잡한 기하학적 형상을 가지는 챔버의 경우에, 일반적으로 챔버 펌핑 포트는 챔버로 세정 가스를 전달하기 위해서 이용되는 샤워헤드에 근접해 있다. 그에 따라, 샤워헤드와 펌핑 포트 사이에 위치된 기판 지지 조립체 아래의 열악한 가스 순환이 기판 지지 조립체 아래의 낮은 세정 효율을 초래한다.
그에 따라, 증착 챔버를 세정하기 위한 향상된 장치 및 방법에 대한 필요성이 있다 할 것이다.
프로세스 챔버를 세정하기 위한 방법 및 장치가 제공된다. 일 실시예에서, 원격 플라즈마 공급원 및 둘 이상의 프로세싱 영역을 가지는 프로세스 챔버를 포함하는 프로세스 챔버가 제공된다. 각 프로세싱 영역은 프로세싱 영역 내에 배치된 기판 지지 조립체, 기판 지지 조립체 위의 프로세싱 영역 내로 가스를 제공하도록 구성된 가스 분배 시스템, 그리고 기판 지지 조립체 아래의 프로세싱 영역으로 가스를 제공하도록 구성된 가스 통로를 포함한다. 제 1 가스 도관은 원격 플라즈마 공급원으로부터 각 프로세싱 영역 내의 가스 분배 조립체를 통해서 세정 작용제(agent)를 유동시키도록 구성되는 한편, 제 2 가스 도관은 제 1 가스 도관으로부터 각 프로세싱 영역의 가스 통로로 세정 작용제의 일부를 전향시키도록 구성된다.
다른 실시예에서, 로드록 챔버, 상기 로드록 챔버에 커플링된 이송 챔버, 원격 플라즈마 공급원, 및 상기 이송 챔버에 커플링된 프로세스 챔버를 포함하는 기판 프로세싱 시스템이 제공된다. 이러한 프로세스 챔버는, 적어도 제 1 프로세싱 영역을 가지는 챔버 본체, 상기 제 1 프로세싱 영역 내에 배치된 제 1 기판 지지 조립체, 상기 원격 플라즈마 공급원에 커플링되고 상기 원격 플라즈마 공급원으로부터 제 1 프로세싱 영역 내로 상기 기판 지지 조립체의 위로부터 가스를 제공하도록 구성된 제 1 가스 분배 조립체, 그리고 상기 원격 플라즈마 공급원에 커플링되고 상기 원격 플라즈마 공급원으로부터 제 1 프로세싱 영역 내로 기판 지지 조립체 아래로부터 가스를 제공하도록 구성된 가스 통로를 포함한다.
다른 실시예에서, 프로세스 챔버로 프로세싱 가스를 공급하기 위한 방법이 개시된다. 그러한 방법은 플라즈마 공급원을 제공하는 단계, 플라즈마 공급원으로부터 프로세스 챔버의 상단부를 통해서 프로세스 챔버의 내부 부피로 제 1 부피의 세정 작용제를 유동시키는 단계, 그리고 제 2 부피의 세정 작용제를 기판 지지 조립체의 아래로부터 내부 부피로 유동시키는 단계를 포함한다.
본원 발명의 전술한 특징들이 상세히 이해될 수 있도록, 첨부 도면에 일부가 도시된 실시예들을 참조하여, 간략히 앞서 요약된 본원 발명을 보다 특정하여 설명한다. 그러나, 첨부 도면들은 본원 발명의 단지 전형적인 실시예들을 도시한 것에 불과하고 그에 따라 본원 발명의 범위를 제한하는 것으로 간주되지 않아야 할 것이며, 본원 발명은 다른 균등한 효과의 실시예들도 인정할 것임이 주목되어야 한다.
도 1은 세정 시스템을 가지는 프로세싱 시스템의 일 실시예를 도시한 개략 평면도이다.
도 2는 트윈(twin) 프로세스 챔버의 일 실시예를 도시한 개략 단면도이다.
도 3a는 도 2의 프로세스 챔버에서 사용된 밸브의 일 실시예를 도시한 수평 방향 단면도이다.
도 3b는 도 3a의 밸브를 도시한 부분적인 등축적(isometric) 개략 절개도이다.
도 3c는 도 3a의 밸브를 도시한 단면도이다.
도 4는 도 2의 프로세스 챔버 내에서 수행될 수 있는 증착 시퀀스의 일 실시예에 대한 방법의 단계들을 기재한 흐름도이다.
도 5는 플래퍼(flapper)의 다른 실시예의 전개 단면도이다.
도 6 및 도 7은 도 5의 플래퍼의 부분 단면도 및 평면도이다.
도 8a-8b는 밸브 본체의 다른 실시예의 평면도 및 저면도이다.
도 8c는 도 8b의 절단선 8C-8C를 따라서 취한 밸브 본체의 단면도이다.
도 8d는 도 8c의 절단선 8D-8D를 따라 취한 밸브 본체의 단면도이다.
이해를 돕기 위해서, 가능한 경우에, 도면들에서 공통되는 동일한 구성요소를 나타내기 위해 동일한 참조 부호를 사용하였다. 특별한 언급이 없더라도, 일 실시예에서 개시된 구성요소들이 다른 실시예에서 유리하게 이용될 수 있다는 것을 이해할 수 있을 것이다.
본원 명세서에 기재된 실시예는 하나 또는 둘 이상의 기판 상에서 플라즈마 프로세스(예를 들어, 에칭, CVD, PECVD 등)를 수행하도록 작동될 수 있는 기판 프로세싱 시스템에 관한 것이고, 증착 프로세스 동안에 형성된 잔류물을 제거하기 위해서 플라즈마 세정을 거치게 된다. 기판 프로세싱 시스템의 하나의 도시된 예는 비제한적으로 팩토리 인터페이스(factory interface), 로드록 챔버, 이송 챔버, 및 서로 격리될 수 있고 공통 가스 공급부 및 공통 배기 펌프를 공유할 수 있는 둘 또는 셋 이상의 프로세싱 영역을 구비하는 하나 이상의 프로세스 챔버를 포함한다. 프로세스 챔버의 내부로부터 증착 잔류물을 제거하기 위해서, 원격 플라즈마 공급원은 프로세스 챔버의 상단부 및 하단부로부터 프로세스 챔버의 내부로 공급되는 세정 플라즈마를 생성하도록 작동가능하다. 그에 따라, 프로세스 챔버의 내부가 보다 효율적인 방식으로 세정될 수 있을 것이다.
도 1은 기판 프로세싱 시스템(100)의 실시예를 도시한 개략도이다. 기판 프로세싱 시스템(100)은 기판들이 하나 이상의 로드록 챔버(140)로 로딩되고 하나 이상의 로드록 챔버(140)로부터 언로딩되는 팩토리 인터페이스(110), 기판 핸들링을 위한 로봇(172)을 수용하는 기판 이송 챔버(170), 그리고 상기 이송 챔버(170)에 연결된 하나 이상의 프로세스 챔버(200)를 포함한다. 상기 프로세스 챔버(200)는 에칭, CVD 또는 PECVD 프로세스와 같은, 다양한 플라즈마 프로세스를 수용하고 챔버 하드웨어를 지원할 수 있도록 구성된다.
도 1에 도시된 바와 같이, 팩토리 인터페이스(110)는 기판 카셋트(113) 및 기판-핸들링 로봇(115)을 포함할 수 있다. 각 카셋트(113)는 프로세싱을 위해 대기하는 기판들을 담고 있다. 기판-핸들링 로봇(115)은 기판을 로드록 챔버(140) 내로 로딩하기 위한 준비 중에 각 카셋트(113) 내의 기판들을 인덱싱(index)하기 위한 기판 맵핑(mapping) 시스템을 포함할 수 있다.
로드록 챔버(140)는 팩토리 인터페이스(110)와 이송 챔버(170) 사이의 진공 인터페이스를 제공한다. 각 로드록 챔버(140)는 로드록 챔버(140) 내에 적층된 상부 기판 지지부(도시하지 않음) 및 하부 기판 지지부(도시하지 않음)를 포함할 수 있다. 상부 기판 지지부 및 하부 기판 지지부는 그 상부에 유입 기판 및 배출 기판을 지지하도록 구성된다. 기판은 슬릿 밸브(146)를 통해서 팩토리 인터페이스(110)와 로드록 챔버(140) 사이에서, 그리고 슬릿 밸브(148)를 통해서 로드록 챔버(140)와 이송 챔버(170) 사이에서 이송될 수 있다. 상부 기판 지지부 및 하부 기판 지지부는 내장형 히터 또는 쿨러와 같이 이송 중에 기판을 가열 또는 냉각하기 위한 온도 제어용 장치(features)를 포함할 수 있다.
이송 챔버(170)는 로드록 챔버(140)와 프로세스 챔버(200) 사이에서 기판을 이송하도록 작동가능한 기판-핸들링 로봇(172)을 포함한다. 보다 구체적으로, 기판-핸들링 로봇(172)은 두 개의 기판을 하나의 챔버로부터 다른 챔버로 동시에 이송하기에 적합한 듀얼 기판-핸들링 블레이드(174)를 구비할 수 있다. 블레이드(174)들은 또한 서로 독립적으로 이동하도록 구성될 수도 있을 것이다. 기판은 슬릿 밸브(176)를 통해서 이송 챔버(170)와 프로세스 챔버(200) 사이에서 이송될 수 있을 것이다. 기판-핸들링 로봇(172)의 이동은 서보 모터 또는 스텝퍼 모터를 포함할 수 있는 모터 구동 시스템(도시하지 않음)에 의해서 제어될 수 있을 것이다.
도 2는 프로세스 챔버(200)의 일 실시예를 도시한 개략 단면도이다. 프로세스 챔버(200)는 2개의 프로세싱 영역(202)을 포함하며, 그러한 프로세싱 영역들에서는 기판들(204)이 동시적인(concurrent) 방식으로 플라즈마 프로세싱될 수 있을 것이다. 각 프로세싱 영역(202)은 프로세스 부피(216)를 부분적으로 한정하는 측벽(212) 및 하단부(214)를 구비한다. 프로세스 부피(216)는 기판(204)이 각 프로세싱 영역(202) 내외로 용이하게 이동할 수 있게 하는 밸브(176)에 의해서 선택적으로 밀봉됨에 따라, 벽(212)에 형성된 접근 포트(도시하지 않음)를 통해서 접근할 수 있을 것이다. 각 프로세싱 영역(202)의 벽(212) 및 하단부(214)가 알루미늄 또는 프로세싱과 양립가능한(compatible) 기타 물질의 일체형 블록으로부터 제조될 수 있을 것이다. 각 프로세싱 영역(202)의 벽(212)은 리드(lid) 조립체(222)를 지지하고, 그리고 또한 배기 포트(226)를 가지는 라이너(224)의 조립체를 포함하며, 상기 배기 포트를 통해서 프로세싱 영역(202)이 진공 펌프(도시하지 않음)에 의해서 균일하게 배기될 수 있을 것이다.
기판 지지 조립체(230)는 각 프로세싱 영역(202) 내의 중심에 배치된다. 일 실시예에서, 지지 조립체(230)의 온도가 제어될 수 있을 것이다. 지지 조립체(230)는 지지 조립체(230) 및 그 상부에 배치된 기판(204)을 사전결정된 온도로 제어가능하게 가열하도록 작동될 수 있는 하나 이상의 매립형 히터(234)를 캡슐화(encapsulate)할 수 있는 알루미늄으로 제조된 지지 베이스(232)를 포함한다. 일 실시예에서, 지지 조립체(230)는 프로세싱되는 물질의 프로세싱 파라미터에 따라서 기판(204)을 약 150 ℃ 내지 약 1000 ℃ 사이의 온도에서 유지하도록 작동될 수 있을 것이다.
각 지지 베이스(232)는 기판(204)을 지지하기 위한 상부측(upper side; 236)를 구비하는 한편, 지지 베이스(232)의 하부측은 스템(stem; 238)에 커플링된다. 스템(238)은 지지 조립체(230)를 승강 시스템(240)에 커플링하며, 상기 승강 시스템은 상승된 프로세싱 위치와, 프로세싱 영역(202) 내외로의 기판 이송을 용이하게 하는 하강된 위치 사이에서 수직으로 지지 조립체(230)를 이동시킨다. 스템(238)은 전기적 및 서모커플(thermocouple) 리드(leads)를 위한 도관을 지지 조립체(230)와 챔버(200)의 다른 부품들 사이에 추가적으로 제공한다. 벨로우즈(242)가 각 프로세싱 영역(202)의 하단부(214)와 스템(238) 사이에 커플링될 수 있을 것이다. 벨로우즈(242)는 프로세스 부피(216)와 각 프로세싱 영역(202) 외부의 대기 사이에 진공 밀봉부를 제공하는 한편 지지 조립체(230)의 수직 이동을 용이하게 한다.
기판(204)의 이송을 용이하게 하기 위해서, 각 지지 베이스(232)가 또한 다수의 개구부(246)를 구비하고, 그러한 개구부를 통해서 승강 핀(248)이 이동가능하도록 장착된다. 승강 핀(248)은 제 1 위치와 제 2 위치 사이에서 이동하도록 작동될 수 있다. 도 2에 도시된 제 1 위치는 기판(204)이 지지 베이스(232)의 상부측(236) 상에 놓일 수 있게 허용한다. 제 2 위치(도시하지 않음)는 기판(204)이 접근 포트(도시하지 않음)를 통해서 들어오는 기판-핸들링 로봇(172)으로 이송될 수 있도록 지지 베이스(232) 위로 기판(204)을 상승시킨다. 승강 핀(248)의 상향/하향 이동이 가동형(movable) 플레이트(250)에 의해서 구동될 수 있을 것이다.
리드 조립체(lid assembly: 222)는 각 프로세싱 영역(202) 내에서 프로세스 부피(216)에 대한 상부 경계를 제공한다. 리드 조립체(222)는 프로세싱 영역(202)의 서비스를 위해서 분리되거나 개방될 수 있을 것이다. 일 실시예에서, 리드 조립체(222)가 알루미늄으로 제조될 수 있다.
리드 조립체(222)가 입구 포트(260)를 포함할 수 있고, 그러한 입구 포트를 통해서 프로세싱 가스가 프로세싱 영역(202)으로 도입될 수 있을 것이다. 프로세싱 가스는 가스 공급원(261)으로부터 제공되는 증착(또는 에칭) 가스, 또는 원격 플라즈마 공급원(RPS)(262)으로부터 제공되는 세정 플라즈마를 포함할 수 있다. 가스 분배 조립체(270)가 리드 조립체(222)의 내측에 커플링될 수 있다. 가스 분배 조립체(270)는 페이스플레이트(faceplate)(또는 샤워헤드)(276)에 대한 중간에 배치되는 블록커(blocker) 플레이트(274)를 가지는 환형 베이스 플레이트(272)를 포함한다. 블록커 플레이트(274)는 페이스플레이트(276)의 후방면으로 균일한 가스 분배를 제공한다. 입구 포트(260)를 통해서 공급되는 프로세싱 가스가 환형 베이스 플레이트(272)와 블록커 플레이트(274) 사이에서 부분적으로 한정되는 제 1 중공형 부피(278)로 도입되고, 그 다음 상기 블록커 플레이트(274) 내에 형성된 다수의 통로(280)를 통해서 블록커 플레이트(274)와 페이스플레이트(276) 사이의 제 2 부피(282)로 유동한다. 이어서, 프로세싱 가스는 페이스플레이트(276)에 형성된 다수의 통로(284)를 통해서 제 2 부피(282)로부터 프로세스 부피(216)로 도입된다. 페이스플레이트(276)는 절연체 물질(286)을 통해서 챔버 벽(212)과 블록커 플레이트(274)(또는 베이스 플레이트(272))로부터 격리된다. 환형 베이스 플레이트(272), 블록커 플레이트(274) 및 페이스플레이트(276)는 염소계 세정 가스, 불소계 세정 가스, 이들의 조합물 또는 그 외의 선택된 세정 화학물질과 같은 플라즈마로 세정될 수 있는 스테인리스 스틸, 알루미늄, 양극처리된 알루미늄, 니켈, 또는 다른 양립가능형 금속 합금으로 제조될 수 있다.
프로세싱 가스를 각 프로세싱 영역(202)으로 전달하기 위해서, 가스 순환 시스템이 각 프로세싱 영역(202)과, 가스 공급원(261) 및 RPS(262) 사이에 설치된다. 가스 순환 시스템은 각 프로세싱 영역(202) 상부에서 입구 포트(260)를 가스 공급원(261) 및 RPS(262)에 각각 연결하는 제 1 가스 도관들(290), 그리고 밸브(300)를 통해서 상기 제 1 가스 도관(290)과 연결된 하나 이상의 제 2 가스 도관(294)을 포함한다. 챔버 벽을 통해서 하향 연장되고, 그리고 각 프로세싱 영역(202)의 하단 부분 내로 각각 개방된 하나 또는 둘 이상의 교차-채널(296)을 가로지르는 하나 또는 둘 이상의 통로(292)에 제 2 가스 도관(294)이 커플링된다. 도 2에 도시된 실시예에서, 개별적인 통로(292, 296)가 각 영역(202)을 밸브(300)에 개별적으로 커플링하는데 이용된다. 또한, 각 영역(202)은, 영역(202)들 중 하나로 세정 가스를 전달하고 그리고 다른 영역들로는 전달하지 않는 것을 포함하여, 세정 가스의 유동이 각 영역(202)으로 선택적으로 그리고 독립적으로 전달될 수 있도록 개별적인 전용 밸브(300)에 의해서 제어되는 상기 각 영역(202)으로의 가스 전달을 가질 수 있음이 또한 고려된다. 즉, 원격 플라즈마 공급원은 제 1 및 제 2 프로세싱 영역들의 상부 부분들 및 바닥 부분들 중 하나 이상을 향하는 세정 플라즈마를 제공할 수 있다. 세정 플라즈마가 RPS(262)로부터 제공될 때, 밸브(300)가 개방될 수 있으며, 그에 따라 각 프로세싱 영역(202)의 상단부를 통해서 전달되는 세정 플라즈마의 일부가 또한 각 프로세싱 영역(202)의 바닥 부분으로 전향될 수 있을 것이다. 그에 따라, 기판 지지 조립체(230) 아래에서 세정 플라즈마가 정체하는 것이 실질적으로 방지될 수 있고 그리고 기판 지지 조립체(230) 아래의 영역에 대한 세정 효율이 개선될 수 있을 것이다.
도 3a-3b는 밸브(300)의 일 실시예를 도시한 수평 단면도 및 부분적인 개략 등축도이다. 도시된 바와 같이, 밸브(300)는 밸브 본체(330), 플래퍼(302), 밀봉 컵(304), 및 커플링 기구(308)를 포함한다. 밸브 본체(330)는 세정 및 프로세스 화학물질과 함께 사용하기에 적합한 고온 물질로 제조될 수 있을 것이다. 적합한 물질의 예에는 다른 것들 가운데 알루미늄, 알루미늄 산화물, 알루미늄 질화물, 사파이어 및 세라믹이 포함된다. 적합한 물질의 다른 예에는 불소 및 산소 라디칼에 의한 부식에 내성을 가지는 물질이 포함된다. 하나의 실시예에서, 밸브 본체(330)가 알루미늄으로 제조된다. 밸브 본체(330)는 유동이 밸브 본체(330)의 유입구(399)와 한 쌍의 배출구 포트(332) 사이를 통과하는 것을 실질적으로 방지하기 위해서 선택적으로 회전될 수 있는 플래퍼(302)를 수용한다. 유입구(399)는 RPS 공급원(262)에 커플링되도록 구성되는 한편, 배출구 포트(332)는 제 2 가스 도관(294) 및 통로(292)를 통해서 영역(202)으로 커플링되도록 구성된다. 유입구(399) 및 배출 포트(332)가 도관(290, 294)에 대한 누설-방지 연결부를 만들기에 적합한 피팅(fitting)을 수용하도록 구성될 수 있을 것이다.
플래퍼(302)의 액츄에이터 부분은 컵 밀봉부(304)에 의해서 둘러싸이고, 그것은 컵 밀봉부(304)를 밸브 본체(330)에 단단히 고정하기 위해서 사용된다. 플래퍼(302)는 대체로 원통형 형상인 외측 본체(310)와 상기 외측 본체(310)의 대향 측부에 부착되는 유동-방해 플레이트(312)로 분할된다. 일 실시예에서, 외측 본체(310) 및 방해 플레이트(312)를 포함하는 플래퍼(302)가 알루미늄 또는 전술한 바와 같은 다른 물질로 제조된 하나의 분할되지 않은 본체일 수 있을 것이다. 플래퍼(302) 및 본체(330)는 그들 사이에 최소 누설이 발생되도록 정밀 공차(close tolerances)로 제조된다. 그에 따라, 플래퍼(302) 및 본체(330)는 세정 가스 및/또는 기타 종(species)에 의해서 부착 및/또는 마모될 수 있는 개별적인 동적(dynamic) 밀봉부를 필요로 하지 않도록 디자인될 수 있다. 사용시에, 외측 본체(310)를 실질적으로 캡슐화하는 밀봉 컵(304)이 플래퍼(302)의 상대적인 회전을 허용하도록 구성되고, 그리고 가스 순환 시스템의 내부에 상응하는 유동-방해 플레이트(312)의 측부를 외부 환경으로부터 실질적으로 밀봉한다.
플래퍼(302)의 회전은 커플링 기구(308)를 통해서 구동된다. 일 실시예에서, 커플링 기구(308)는 2개의 자화된 단부 부분(318)을 가지는 대체로 U-자 형상이다. 자화된 단부 부분(318)은 매립된 자석들을 구비하고, 그러한 매립된 자석들은 부식성 가스들이 매립된 자석에 직접적으로 접촉하는 것을 방지하도록 플래퍼(302)의 내부에서 완전히 둘러싸이게 된다. 커플링 기구(308)가 밀봉 컵(304)의 위에 배치되고, 이때 2개의 자화된 단부 부분(318)이 외측 본체(310)에 매립된 자석(322)의 2개의 반대 극(320)과 각각 마주한다. 자석(322)은 영구 자석 및/또는 전자석일 수 있다. 밀봉 컵(304)과 커플링 기구(308) 사이에 갭이 존재함에 따라, 밀봉 컵(304)이 커플링 기구(308)와의 고온 접촉으로부터 보호된다. 커플링 기구(308)가 회전될 때, 자화된 단부 부분(318)과 자석(322)의 반대 극(320)들 사이의 자기적 인력이 플래퍼(302)를 회전시킨다. 이러한 방식에서, 유동-방해 플레이트(312)의 배향이 회전에 의해서 가스 유동 통로를 허용하거나(개방 상태, 도 3a에 도시됨) 가스 유동 통로를 폐쇄하도록(폐쇄 상태, 도 3a의 점선으로 도시됨) 변경될 수 있다.
도 3c는 도 3a의 절단선 C-C을 따라 취한 제 2 도관(294)에 커플링된 밸브(300)의 일 실시예를 도시한 단면도이다. 컵 밀봉부(304)는 플래퍼(302)를 유지하기 위해서 밸브 본체(330)에 체결될 수 있는 칼라(306)를 포함한다. 정지(static) 밀봉부(314)가 밸브 본체(330)와 칼라(306) 사이에 제공되어 누설을 방지할 수 있을 것이다. 정지 밀봉부(314)가 프로세스 및 세정 화학물질과 함께 사용하기에 적합한 물질로 제조될 수 있을 것이며, 불소계 세정 가스를 이용하는 실시예에서 그 물질은 VITON일 수 있다. 밸브(300)는, 이동하는 샤프트 또는 동적인 밀봉부를 구비하지 않기 때문에, 밸브의 서비스 수명이 종래의 디자인에 비해서 크게 연장될 것이고 밸브 부품들의 실질적인 마모가 없이 섭씨 250 도를 넘는 온도에서도 작동될 수 있을 것이다.
플래퍼(302)의 회전은 유동 방해 플레이트(312)의 단부와 밸브 본체(330)의 벽(335) 사이에서 인터페이싱하는 볼 베어링(334), 그리고 외측 본체(310)와 밀봉 컵(304) 사이에서 인터페이싱하는 볼 베어링(336)을 통해서 용이하게 이루어질 수 있을 것이다. 커플링 기구(308)를 통해서 구동됨으로써, 유동 방해 플레이트(312)의 배향이 유입 가스 유동(340)의 통로를 차단 또는 허용하도록 배향될 수 있을 것이고, 예를 들어 세정 가스가 제 2 가스 도관(294) 내로 지향될 수 있을 것이다.
볼 베어링(334, 336) 대신에, 또는 추가적으로, 도 3d에 도시된 바와 같이 베어링(398)이 플래퍼(302)와 밸브 본체(330) 사이에 배치될 수 있을 것이다. 베어링(398)은 불소 및 산소 라디칼에 의한 부식에 대해서 내성을 가지는 물질로 제조될 수 있을 것이며, 일 실시예에서 그러한 물질은 세라믹 물질이다. 베어링(398)은 다수의 롤러(396)를 통해서 하부 레이스(397) 상에서 회전하는 상부 레이스(395)를 포함한다. 상부 레이스(395)는 플래퍼(302)와 접촉한다. 일 실시예에서, 상부 레이스(395)가 플래퍼(302)에 압입 끼워맞춤(press-fit)된다. 하부 레이스(397)가 밸브 본체(330)와 접촉한다. 일 실시예에서, 하부 레이스(397)가 밸브 본체(330)에 대해서 압입 끼워맞춤된다. 롤러(396)는 원통형, 볼 형태, 테이퍼형, 원뿔형 또는 다른 적절한 형상을 가질 수 있을 것이다.
대안적으로, 도 3e에 도시된 바와 같이 하나 또는 둘 이상의 자석 베어링(390)을 이용하여 플래퍼(302)와 밸브 본체(330) 사이에 베어링을 제공할 수 있을 것이다. 자석 베어링(390)은 한 쌍의 척력 자석들을 포함한다. 도 3e에 도시된 실시예에서, 자석 베어링(390)은 플래퍼(302)의 대향 단부에 배치된 두 쌍의 척력 자석들, 즉, 제 1 쌍(392A, 394A) 및 제 2 쌍(392B, 394B)을 포함한다. 자석(394A, 394B)은 플래퍼(302) 내에서 캡슐화되며, 그에 따라 그 자석들은 세정 가스 내에 존재하는 불소 및 산소 라디칼로부터 보호된다. 자석(392A, 392B)은 영구 자석 또는 전자석일 수 있다. 자석 쌍(392A, 394A 및 392B, 394B)은 밸브 본체(330) 내에서 플래퍼(302)를 부양시키는 역할을 하며, 그에 따라 플래퍼(302)는 커플링 기구(308)와의 자기적 상호작용에 의해서 자유롭게 회전될 수 있을 것이다.
커플링 기구(308)가 액츄에이터(390)에 의해서 회전되어 밸브(300)를 개방 및 폐쇄한다. 액츄에이터(390)가 솔레노이드, 공기 모터, 전기 모터, 공압식 실린더, 또는 커플링 기구(308)의 회전 운동을 제어하기에 적합한 다른 액츄에이터일 수 있을 것이다. 액츄에이터(390)가 밸브(300), 프로세스 챔버(200) 또는 다른 적합한 구조물에 장착될 수 있을 것이다.
도 4는 프로세스 챔버(200)의 작동 시퀀스의 일 실시예의 방법 단계들을 도시한 흐름도이다. 시작 단계(402)에서, 에칭 또는 증착 프로세스와 같은 플라즈마 프로세스가 가해지도록 기판이 프로세스 챔버(200)의 프로세싱 영역(202) 내로 도입된다. 단계(404)에서, 밸브(300)가 폐쇄되는 동안에, 프로세스 가스가 가스 공급원(261)으로부터 제 1 도관(290) 및 각 프로세싱 영역(202)의 상단부에 위치하는 가스 분배 플레이트 조립체(270)를 통해서 프로세스 부피(216) 내로 전달된다. 단계(406)에서, 플라즈마 프로세스가 완료된 후에, 기판이 프로세싱 영역(202)으로부터 제거된다. 단계(408)에서, 밸브(300)가 폐쇄 상태에 있는 동안에, 염소계 세정 가스, 불소계 세정 가스, 또는 이들의 조합과 같은, RPS(262)로부터의 세정 작용제가 제 1 도관(290) 및 각 프로세싱 영역(202)의 상단부에 위치하는 가스 분배 플레이트 조립체(270)를 통해서 전달된다. 일 실시예에서, 세정 가스는 NF3, F2, SF6, Cl2, CF4, C2F6, CCl4 또는 C2Cl6 중 하나 이상을 포함할 수 있다. 각 프로세싱 영역(202)의 상단부를 통해서 세정 가스가 도입되는 동안에, 공급된 세정 플라즈마의 일부를 통로(292)를 통해서 기판 지지 조립체(230) 아래의 각 프로세싱 영역(202)의 하단부(214)로 전향시키기 위하여 일 시간 기간 동안에, 단계(410)에서 밸브(300)가 개방된다. 이러한 부가적인 세정 플라즈마의 유동은 불소 라디칼의 재결합을 감소시키고, 그리고 지지 조립체(230) 아래의 유동 정체를 제거한다. 또한, 전향된 세정 가스를 채널(196)을 통해서 도입하는 것은 챔버(200)의 외부로 펌핑되기에 앞서서 기판 지지 조립체(230) 아래에서 잘-혼합된 난류 유동을 생성한다. 결과적으로, 각 프로세싱 영역(202) 내의 세정률이 개선될 수 있을 것이다. 단계(410)에서 밸브(300)의 개방이 단계(408)에서의 세정 가스의 도입 이전에 또는 그와 동시에 이루어질 수 있음이 고려된다. 단계(412)에서, 일단 세정 작업이 완료되면, 세정 가스의 공급이 종료된다. 또한, 밸브(300)는 RPS 공급원(262)으로부터 도관(290, 294)을 통한 상대적인 유동을 제어하기에 적합한 다른 타입의 밸브일 수 있고, 그러한 제어에는 유동 및 비-유동 조건들 사이에서 도관(290, 294)을 통한 유동들 사이를 전환하는 것, 또는 도관(290, 294)을 통해 선택된 유동 비율의 범위를 제공하는 것이 포함될 수 있음이 고려된다.
그리하여, 전술한 바와 같이, 기판 프로세싱 시스템은 프로세스 챔버의 상단부 및 하단부 양자를 통해서 프로세싱 가스들을 제어가능하게 유동시킬 수 있게 된다. 세정 동안에, 프로세스 챔버의 상단부 및 하단부를 통해 프로세스 부피로(즉, 기판 지지부의 상단측 및 하단측 모두로부터) 동시에 세정 플라즈마의 제어된 공급을 하는 것은 프로세스 부피 내부에서 화학물질 라디칼들이 재결합되는 것을 감소시킬 수 있을 것이다. 지지 조립체 아래에서 세정 가스를 수평 도입하는 것은 챔버 세정을 촉진하는 난류 유동을 생성한다. 또한, 더 낮은 총 질량 유량은 세정 작용제의 더 높은 중량비가 프로세싱 챔버의 하단부 내로 유동하게 한다. 예를 들어, 5000 sccm의 전체 플라즈마 유량하에서 세정 작용제의 42.67의 질량 백분율이 도관(294) 및 통로(292)를 통해서 프로세싱 챔버의 하단부로 지향되는 한편, 15,000 sccm의 전체 플라즈마 유량 하에서 세정 작용제의 28.8 질량 백분율 만이 프로세싱 챔버의 하단부로 유동한다. 결과적으로, 더 낮은 총 플라즈마 유량이 보다 높은 백분율의 세정 작용제를 프로세스 챔버의 하단부로 전향시킬 수 있으며, 그에 따라 프로세스 챔버가 보다 효과적으로 세정될 수 있을 것이다.
도 5는 플래퍼(500)의 다른 실시예를 도시한 전개도이다. 도 6은 플래퍼(500)의 평면도이다. 도 5 및 도 6 양쪽 모두를 참조하면, 플래퍼(500)는 본체(502), 캡(504), 그리고 하나 또는 둘 이상의 자석(506)을 포함한다. 외측 본체(310) 및 방해 플레이트(312)를 포함하는 플래퍼(302)는 알루미늄 또는 전술한 바와 같은 다른 물질로 제조된 하나의 분할되지 않은 본체가 될 수 있을 것이다. 본체(502) 및 캡(504)은 전술한 물질로부터 제조될 수 있을 것이다.
본체(502)는 외측 본체(534) 및 방해 플레이트(538)를 포함한다. 외측 본체(534)는 제 1 단부(530) 내에 형성된 리세스(528)를 구비하고, 상기 리세스(528)는 적어도 캡(504)의 일부를 수용하도록 크기가 결정된다. 일 실시예에서, 캡(504)이 리세스(528) 내로 가압되어 끼워 맞춰지고 그에 따라 캡(504)은 리세스(528) 내에서 회전하지 못한다. 대안적으로, 캡(504)이 회전을 방지하는 방식으로 본체(502)에 대해서 피닝(pinned), 부착, 본딩, 용접되거나 또는 기타 다른 방식으로 체결될 수 있을 것이다.
방해 플레이트(538)는 본체(502)의 제 2 단부(540)로부터 디스크(536)까지 연장된다. 디스크(536)는 플래퍼(500)의 회전을 용이하게 하기 위해서 밸브 본체 내에 형성된 리세스와 인터페이싱하도록 크기가 정해진다. 일반적으로, 디스크(536)는 외측 본체(534)의 직경보다 작은 직경을 갖는다. 디스크(536)의 하단부 표면(532)은 플래퍼(500)의 회전을 돕는 볼 베어링(도시하지 않음)을 수용하기 위한 포켓(520)을 포함한다.
본체(502)의 제 2 단부(540)는 또한 제 2 단부(540)에 형성된 다수의 함몰부(542)를 포함한다. 일 실시예에서, 함몰부(542)는 방사상으로 배향되고 그리고 폴라 어레이(polar array)를 중심으로 균등하게 이격된다. 상부 레이스(395)가 회전 시 플래퍼(500)와 록킹되도록, 함몰부(542)는 상부 레이스(395)로부터 연장되는 돌출부(도시하지 않음)와 정합되도록 구성된다.
도 7은 포켓(520)을 통한 디스크(536)의 부분 단면도이다. 포켓(520)은 본체(502)의 중심선에 대해서 동심적으로 형성된 한쪽이 막힌 홀(blind hole)(606)을 포함한다. 대응 싱크(countersink; 604)가 홀(606)과 동심적으로 형성된다. 대응 싱크(604)는 포켓(520) 내의 볼 베어링의 유지를 돕는 각도로 형성된다.
도 5 및 도 6을 다시 참조하면, 캡(504)은 상단부(516) 및 하단부(518)를 구비하는 원통형 본체(510)를 포함한다. 원통형 본체(510)는 본체(502)의 리세스(528) 내에 끼워지는 직경을 가진다. 본체(502)의 단부(530)가 립(508)에 의해 형성되는 렛지(ledge; 512) 상에 안착되고, 그에 따라 본체(510)가 본체(502) 내로 사전결정된 깊이로 침투되는 것을 셋팅하도록, 본체(510)의 상단부(516)에 립(508)이 형성된다. 또한, 플래퍼(500)의 중심 축선 상에서 볼 베어링(도시하지 않음)이 유지되는 것을 돕기 위해서, 포켓(520) 또한 캡(504) 내에 형성될 수 있을 것이다.
하나 또는 둘 이상의 자석(506)을 수용하기 위해서 교차-홀(514)이 본체(510)를 통해서 형성된다. 교차-홀(514)은 플래퍼(500)의 중심선에 대해서 수직으로 형성된다. 캡(504)이 본체(502)의 리세스(528) 내로 삽입될 때, 하나 또는 둘 이상의 자석(506)이 교차-홀(514) 내에 포획된다.
일 실시예에서, 하나 또는 둘 이상의 자석(506)은 선형 배열로(in a linear arrangement) 적층된 다수의 자석을 포함한다. 도 5의 실시예에서, 하나 또는 둘 이상의 자석(506)은 북극(524), 남극(522) 및 그 사이에 적층된 하나 이상의 자석(526)을 포함한다.
도 8a-8b는 밸브 본체(800)의 다른 실시예의 평면도 및 저면도이다. 일반적으로, 밸브 본체(800)는 일체형 알루미늄 또는 세라믹 부재이나, 밸브 본체(800)는 다른 적절한 물질로도 제조될 수 있을 것이다. 밸브 본체(800)는 상단부 표면(802) 및 하단부 표면(804)을 포함한다. 제 1 보어(810)가 상단부 표면(802)으로부터 본체(800) 내로 형성된다. 제 1 보어(810)가 연장 부분(806) 내에 적어도 부분적으로 위치된다. 연장 부분(806)은 관통하여 형성된 제 1 통로(812)(점선으로 도시함)를 구비한다. 제 1 통로(812)의 단부는 밸브 본체(800)를 원격 플라즈마 공급원(262)으로 연장되는 도관들에 연결하는 역할을 한다. 제 1 통로(812)의 제 2 단부가 제 2 통로(824)(또한 점선으로 도시됨) 내로 T-자형을 이루게 된다(teed). 제 1 보어(810)가 제 1 통로(812)와 정렬되고 그리고 제 1 통로(812)를 통한 제 2 통로(824)로의 유체 유동을 제어하기 위해서 플래퍼를 수용하도록 크기가 정해진다. 다수의 한쪽이 막힌 스레드(threaded) 장착 홀(816)이 밸브 본체(800)의 제 1 측부(802) 내에 형성되어 밀봉 컵(도시하지 않음)을 밸브 본체(800)에 대해서 유지한다.
밸브 본체(800)의 제 2 측부(804)는 제 2 및 제 3 보어(818)를 포함한다. 제 2 및 제 3 보어(818)는 제 1 및 제 2 통로(812, 824)의 교차부의 양 측부 상에서 제 2 통로(824)와 소통한다. 밸브(800)로부터 챔버 본체로 연장되는 도관이 밸브(800)에 대해서 밀봉식으로 커플링될 수 있도록 허용하기 위해서 o-링 홈(820)이 각 보어(818)를 둘러싼다. 본체(800)를 통해서 형성된 장착 홀(822)을 통과하는 체결기구(도시하지 않음)를 이용하여, o-링을 압착하여 제 2 및 제 3 보어(818)에 커플링된 피팅을 밀봉할 수 있을 것이다. 도 8a-8b에 도시된 실시예에서, 4개의 장착 홀(822)이 각 보어(818)와 연관된다.
이제 도 8c의 단면도를 참조하면, 제 2 통로(824)가 플러그(830)에 의해서 양 단부가 밀봉될 수 있을 것이다. 플러그(830)는 압입 끼워맞춤, 용접, 본딩, 부착, 나사 관통되거나, 또는 다른 적절한 수단에 의해서 본체(800)에 대해서 밀봉식으로 커플링될 수 있을 것이다.
이제 도 8d의 단면도를 참조하면, 제 1 보어(810)는 렛지(832)를 포함하고, 상기 렛지(832)는 플래퍼의 외측 본체와 인터페이싱하고/하거나 베어링(398)의 하부 레이스(397)를 지지한다. 플래퍼의 방해 플레이트가 보어(810) 내로 연장되고 그리고 제 1 통로(812)를 통한 유동을 제어하기 위해서 회전될 수 있을 것이다. 또한, 플래퍼와 본체(800) 사이에 배치된 볼(도시하지 않음)의 유지를 돕기 위해서, 제 1 보어(810)의 하단부가 포켓(520)을 포함할 수 있을 것이다. 베어링(398)이 이용되는 실시예에서, 플래퍼(500)가 회전하는 동안에 하부 레이스(397)가 본체(800)에 고정되도록 베어링(398)의 하부 레이스(397)로부터 연장되는 돌출부와 정합되게끔 구성된 다수의 함몰부(840)를 렛지(832)가 포함할 수 있을 것이다.
도 1을 다시 참조하면, 압력 센서(297)가 원격 플라즈마 공급원(262)의 출력 압력의 미터(metric) 표시값을 탐지할 수 있도록 하기 위해서 플랜지 지지부(299)가 원격 플라즈마 공급원(262)의 배출구와 정렬되어 커플링된다. 센서(297)는 마노미터, 압력 게이지 또는 원격 플라즈마 공급원(262)을 빠져나오는 세정 작용제의 압력의 미터 표기값을 획득하기에 적합한 다른 센서의 형태일 수 있다.
도 9는 플랜지 지지부(299)의 일 실시예를 도시한다. 플랜지 지지부(299)는 유입구(902) 및 2개의 배출구(904, 906)를 포함한다. 유입구(902)는 원격 플라즈마 공급원(262)의 배출구에 커플링되고 그리고 플랜지 지지부(299)를 통해서 연장되는 메인 통로(920)를 통해서 제 1 배출구(904)에 유체적으로 커플링된다. 제 1 배출구(904)는 원격 플라즈마 공급원(262)으로부터 밸브(300)로 그리고 입구 포트(260)로 세정 작용제를 제공하는 도관에 커플링된다. 제 2 배출구(906)는 제 2 통로(922)에 의해서 유입구(902)를 제 1 배출구(904)에 커플링하는 메인 통로(920)에 유체적으로 커플링된다. 제 2 배출구(906)는 센서(297)를 수용하도록 구성된다.
일 실시예에서, 플랜지 지지부(299)는 압력 기밀 조립체로서 조립되는 플랜지 베이스(912), 파이프(914), 엘보우(916) 및 플랜지(918)를 포함한다. 일 실시예에서, 플랜지 베이스(912), 파이프(914), 엘보우(916), 및 플랜지(918)가 알루미늄 또는 스테인리스 스틸로 제조되고 그리고, 예를 들어, 연속적인 용접에 의해서 함께 용접된다. 플랜지 베이스(912)는 원통형 본체(926)를 포함하고, 그 원통형 본체를 통해서 메인 통로(920)가 형성된다. 원통형 본체(926)는 제 1 단부에서 주요 플랜지(928)를 가지고 제 2 단부를 통해서 보조(minor) 플랜지(930)를 가진다.
유입구(902)는 보조 플랜지(930)를 통해서 형성되고 그리고 보조 플랜지(930)의 면(934) 상에서 o-링 홈(932)에 의해서 둘러싸이게 된다. 보조 플랜지(930)의 면(934)은 또한 다수의 장착 홀(도시하지 않음)을 포함하며, 일 실시예에서 그러한 장착 홀은 다수의 관통 홀의 형태를 가진다.
제 1 배출구(904)는 주요 플랜지(928)를 통해서 형성된다. 주요 플랜지(928)의 면(936)은 밀봉 표면을 제공하도록 마무리된다. 주요 플랜지(928)의 면(936)은 또한 다수의 장착 홀(도시하지 않음)을 포함하며, 일 실시예에서 그러한 장착 홀은 다수의 관통 홀의 형태를 가진다.
원통형 본체(926)는 메인 통로(920) 내로 침입하는 홀(938)을 포함한다. 일 실시예에서, 홀(938)은 메인 통로(920)의 중심선과 동축인 본체(926)의 중심선에 대해서 실질적으로 수직으로 형성된다.
파이프(914)를 통해서 형성된 통로(940)가 홀(938)과 유체적으로 커플링되도록 하는 방식으로 원통형 본체(926)에 밀봉 커플링되도록 파이프(914)가 구성된다. 일 실시예에서, 본체(926)에 대한 파이프(914)의 커플링을 용이하도록 하기 위해서, 파이프(914)의 제 1 단부는 테이퍼를 구비하거나 홀(938)로 삽입되는 감소된 외측 직경을 가진다. 파이프(914)를 엘보우(916)에 대해서 커플링하는 것을 돕기 위해서, 파이프(914)의 제 2 단부는 테이퍼를 구비하거나 엘보우(916)로 삽입되는 감소된 외측 직경을 가진다.
플랜지(918)는 통로(960)가 관통하여 형성된 원통형 스템(950)을 포함한다. 스템(950)의 일 단부는 립(952)을 구비한다. 립(952)은 제 2 배출구(906)를 형성하는 포트(954)를 둘러싼다. 포트(954)는 센서(297)를 플랜지 지지부(299)에 커플링하기에 적합한 방식으로 구성된다.
일 실시예에서, 립(952)의 면(956)은 스템(950)을 통한 통로(960)와 동심적인 리세스(958)를 포함한다. 립(952)의 면(956)은 통로(960)의 중심선에 대해서 실질적으로 수직인 배향을 가질 수 있다. 센서(297)를 고정하기 위해서 이용되는 피팅(도시하지 않음)의 커플링을 돕도록, 립(952)의 후방면(962)이 테이퍼링될 수 있다. 일 실시예에서, 립의 후방면이 스템과 약 205도의 각도를 형성한다. 플랜지(918)를 통해서 형성된 통로(960), 엘보우(916)를 통해서 형성된 통로(964), 파이프(914)를 통해서 형성된 통로(940) 및 지지 플랜지(912) 내에 형성된 홀(938)이 제 2 통로(922)를 형성한다.
그에 따라, 플랜지 지지부(299)는, 바람직하지 못하게 재결합을 촉진할 수 있는 방해를 최소화하면서, 원격 플라즈마 공급원(262)으로부터의 세정 작용제를 직접적으로 전달할 수 있게 허용한다. 추가적으로, 플랜지 지지부(299)는 챔버의 상단부로 루팅된(routed) 다른 설비로부터 이격된 편리한 위치에서 센서(297)를 커플링하는 것을 돕는다.
이상에서, 본원 발명의 특정 실시예들을 설명하였지만, 본원 발명의 추가적인 다른 실시예들도 본원 발명의 기본 범위를 벗어나지 않고 안출될 수 있을 것이고, 본원 발명의 범위는 이하의 특허청구범위에 의해서 결정된다.

Claims (15)

  1. 프로세싱 챔버로서:
    적어도 제 1 프로세싱 영역 및 제 2 프로세싱 영역을 규정하는 챔버 본체,
    제 1 및 제 2 프로세싱 영역들의 상부 부분들을 향하여 플라즈마를 제공하는 원격 플라즈마 공급원,
    상기 원격 플라즈마 공급원을 제 1 및 제 2 입구 포트들에 연결하는 가스 도관, 및
    상기 가스 도관에 커플링되는 유입구 그리고 제 1 및 제 2 수직 통로들에 커플링되는 하나 이상의 배출구를 갖는 밸브를 포함하며,
    상기 챔버 본체는
    제 1 프로세싱 영역 위에 형성되고 제 1 프로세싱 영역의 상부 부분 위에 배치되는 제 1 가스 분배 조립체에 커플링되는 제 1 입구 포트,
    제 2 프로세싱 영역 위에 형성되고 제 2 프로세싱 영역의 상부 부분 위에 배치되는 제 2 가스 분배 조립체에 커플링되는 제 2 입구 포트,
    챔버 벽을 통하여 하향 연장하는 제 1 수직 통로,
    제 1 프로세싱 영역의 바닥 부분으로 개방되고 제 1 수직 통로를 가로지르는 하나 또는 둘 이상의 제 1 교차 채널들,
    챔버 벽을 통하여 하향 연장하는 제 2 수직 통로, 및
    제 2 프로세싱 영역의 바닥 부분으로 개방되고 제 2 수직 통로를 가로지르는 하나 또는 둘 이상의 제 2 교차 채널들을 포함하고,
    상기 밸브의 개방에 의해 원격 플라즈마 공급원으로부터 제 1 및 제 2 프로세싱 영역들의 바닥 부분들로 플라즈마의 일부가 선택적으로 전환되며, 상기 밸브는,
    밸브 본체,
    상기 밸브 본체에 의해 수납되는 가동형 플래퍼, 및
    상기 가동형 플래퍼를 회전시키도록 작동될 수 있는 밸브 본체의 외측에 배치되는 커플링 기구를 포함하는,
    프로세싱 챔버.
  2. 삭제
  3. 제 1 항에 있어서,
    상기 플래퍼는
    유동 방해 플레이트, 및
    상기 유동 방해 플레이트에 부착되는 외부 본체로서, 하나 이상의 자석이 플래퍼의 외부 본체 내에 매립되는, 외부 본체를 포함하는,
    프로세싱 챔버.
  4. 제 3 항에 있어서,
    상기 밸브는 밸브 본체에 단단히 고정되는 밀봉 컵을 더 포함하고, 상기 플래퍼의 외부 본체는 원통형이고 밀봉 컵에 의해 둘러싸이는,
    프로세싱 챔버.
  5. 제 4 항에 있어서,
    상기 커플링 기구는 자화된 단부 부분들을 갖는 U 형상 본체를 포함하고, 커플링 기구는 외부 본체 내에 매립되는 자석의 2개의 반대 극들을 마주하는 2 개의 자화된 단부 부분들을 갖는 밀봉 컵 외측에 위치되는,
    프로세싱 챔버.
  6. 제 1 항에 있어서,
    상기 원격 플라즈마 공급원은 제 1 및 제 2 프로세싱 영역들의 상부 부분들 및 바닥 부분들 중 하나 이상을 향하는 세정 플라즈마를 제공하고, 제 1 및 제 2 프로세싱 영역들의 바닥 부분들은 제 1 및 제 2 프로세싱 영역들 내에 배치되는 기판 지지부들 아래에 있는,
    프로세싱 챔버.
  7. 프로세싱 챔버로서,
    제 1 프로세싱 영역 및 제 2 프로세싱 영역을 규정하는 측벽들, 챔버 리드 그리고 챔버 바닥을 포함하는 챔버 본체,
    상기 제 1 및 제 2 프로세싱 영역들의 입구 포트들에 가스 공급원을 연결하는 가스 도관,
    상기 가스 도관과 제 1 및 제 2 프로세싱 영역들의 수직 통로들 사이에 커플링되는 밸브를 포함하며,
    상기 제 1 및 제 2 프로세싱 영역들 각각은
    챔버 리드를 통하여 형성되는 입구 포트, 및
    챔버 벽을 통하여 하향 연장하고 대응 프로세싱 영역으로 개방되는 수직 통로를 포함하고,
    상기 가스 도관은 가스 공급원으로부터의 하나 또는 둘 이상의 프로세싱 가스들을 입구 포트들을 통하여 제 1 및 제 2 프로세싱 영역들로 전달하고,
    상기 밸브의 개방에 의해 가스 공급원으로부터의 하나 또는 둘 이상의 프로세싱 가스들의 일부가 수직 통로들을 통하여 제 1 및 제 2 프로세싱 영역들로 선택적으로 전환되며, 상기 밸브는,
    밸브 본체,
    상기 밸브 본체에 의해 수납되는 가동형 플래퍼, 및
    상기 가동형 플래퍼를 회전시키도록 작동될 수 있는 밸브 본체의 외측에 배치되는 커플링 기구를 포함하는,
    프로세싱 챔버.
  8. 제 7 항에 있어서,
    상기 플래퍼는
    유동 방해 플레이트, 및
    상기 유동 방해 플레이트에 부착되는 외부 본체로서, 하나 이상의 자석이 플래퍼의 외부 본체 내에 매립되는, 외부 본체를 포함하는,
    프로세싱 챔버.
  9. 제 8 항에 있어서,
    상기 커플링 기구는 자기의 상호작용을 통해서 플래퍼를 회전시키는,
    프로세싱 챔버.
  10. 제 9 항에 있어서,
    상기 밸브는 밸브 본체에 단단히 고정되는 밀봉 컵을 더 포함하고, 상기 플래퍼의 외부 본체는 원통형이고 밀봉 컵에 의해 둘러싸이는,
    프로세싱 챔버.
  11. 제 10 항에 있어서,
    상기 커플링 기구는 자화된 단부 부분들을 갖는 U 형상 본체를 포함하고, 커플링 기구는 외부 본체 내에 매립되는 자석의 2개의 반대 극들을 마주하는 2 개의 자화된 단부 부분들을 갖는 밀봉 컵 외측에 위치되는,
    프로세싱 챔버.
  12. 제 7 항에 있어서,
    상기 가스 공급원은 NF3, F2, SF6, Cl2, CF4, C2F6, CCl4 또는 C2Cl6 중 하나 이상을 포함하고, 상기 수직 통로는 대응 프로세싱 영역 내에 배치되는 기판 지지부 아래의 영역을 개방하는,
    프로세싱 챔버.
  13. 제 1 가스 도관과 제 2 가스 도관 사이의 유동을 선택적으로 제어하기 위한 밸브로서,
    밸브 본체,
    상기 밸브 본체에 의해 수납되는 가동형 플래퍼를 포함하며, 상기 플래퍼는,
    유동 방해 플레이트, 및
    상기 유동 방해 플레이트에 부착되는 외부 본체로서, 하나 이상의 자석이 플래퍼의 외부 본체 내에 매립되는, 외부 본체,
    상기 플래퍼의 중심선을 중심으로 외부 본체 및 유동 방해 플레이트를 회전시키도록 작동될 수 있는 밸브 본체의 외측에 배치되어 유동 방해 플레이트가 밸브 본체를 통하는 유동을 차단하는 제 1 위치와 유동 방해 플레이트가 밸브 본체를 통하는 유동을 허용하는 제 2 위치 사이에서 플래퍼가 회전하게 하는 커플링 기구를 포함하는,
    밸브.
  14. 제 13 항에 있어서,
    상기 커플링 기구는 자기의 상호작용을 통해서 플래퍼를 회전시키도록 구성되는,
    밸브.
  15. 제 13 항에 있어서,
    상기 플래퍼의 회전을 촉진하기 위해 유동 방해 플레이트의 단부와 밸브 본체의 벽 사이에서 인터페이싱하는 베어링을 더 포함하는,
    밸브.
KR1020117001438A 2008-06-19 2009-05-28 프로세스 챔버로 세정 가스를 공급하기 위한 방법 및 시스템 KR101543991B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US12/142,402 US7699935B2 (en) 2008-06-19 2008-06-19 Method and system for supplying a cleaning gas into a process chamber
US12/142,402 2008-06-19

Publications (2)

Publication Number Publication Date
KR20110018458A KR20110018458A (ko) 2011-02-23
KR101543991B1 true KR101543991B1 (ko) 2015-08-13

Family

ID=41429991

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020117001438A KR101543991B1 (ko) 2008-06-19 2009-05-28 프로세스 챔버로 세정 가스를 공급하기 위한 방법 및 시스템

Country Status (6)

Country Link
US (5) US7699935B2 (ko)
JP (1) JP5538376B2 (ko)
KR (1) KR101543991B1 (ko)
CN (2) CN102067279B (ko)
TW (1) TW201009977A (ko)
WO (1) WO2009155028A1 (ko)

Families Citing this family (270)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7699935B2 (en) 2008-06-19 2010-04-20 Applied Materials, Inc. Method and system for supplying a cleaning gas into a process chamber
CN105895517A (zh) * 2008-10-07 2016-08-24 应用材料公司 用于从蚀刻基板有效地移除卤素残余物的设备
JP2013526778A (ja) * 2010-05-12 2013-06-24 アプライド マテリアルズ インコーポレイテッド 限定プロセス容積pecvdチャンバ
WO2011159690A2 (en) 2010-06-15 2011-12-22 Applied Materials, Inc. Multiple precursor showerhead with by-pass ports
WO2012112187A1 (en) * 2011-02-15 2012-08-23 Applied Materials, Inc. Method and apparatus for multizone plasma generation
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
KR101312922B1 (ko) * 2011-12-14 2013-10-01 주식회사 테스 플라즈마 처리장치 및 처리방법
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8772055B1 (en) * 2013-01-16 2014-07-08 Applied Materials, Inc. Multizone control of lamps in a conical lamphead using pyrometers
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
JP6432507B2 (ja) * 2013-04-30 2018-12-05 東京エレクトロン株式会社 成膜装置
US10672591B2 (en) * 2013-06-21 2020-06-02 Applied Materials, Inc. Apparatus for removing particles from a twin chamber processing system
US9490149B2 (en) * 2013-07-03 2016-11-08 Lam Research Corporation Chemical deposition apparatus having conductance control
US20150030766A1 (en) * 2013-07-25 2015-01-29 Novellus Systems, Inc. Pedestal bottom clean for improved fluorine utilization and integrated symmetric foreline
KR102167594B1 (ko) 2013-12-04 2020-10-19 삼성전자주식회사 기판 처리 방법 및 이를 수행하기 위한 장치
JP2017517380A (ja) * 2014-03-06 2017-06-29 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 重原子を含有する化合物のプラズマ軽減
JP5764228B1 (ja) * 2014-03-18 2015-08-12 株式会社日立国際電気 基板処理装置、半導体装置の製造方法、プログラム及び記録媒体
JP2015201646A (ja) 2014-04-07 2015-11-12 ラム リサーチ コーポレーションLam Research Corporation 構成独立型のガス供給システム
US9580360B2 (en) 2014-04-07 2017-02-28 Lam Research Corporation Monolithic ceramic component of gas delivery system and method of making and use thereof
US9460915B2 (en) * 2014-09-12 2016-10-04 Lam Research Corporation Systems and methods for reducing backside deposition and mitigating thickness changes at substrate edges
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US10557197B2 (en) 2014-10-17 2020-02-11 Lam Research Corporation Monolithic gas distribution manifold and various construction techniques and use cases therefor
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11384432B2 (en) * 2015-04-22 2022-07-12 Applied Materials, Inc. Atomic layer deposition chamber with funnel-shaped gas dispersion channel and gas distribution plate
US10022689B2 (en) 2015-07-24 2018-07-17 Lam Research Corporation Fluid mixing hub for semiconductor processing tool
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10118263B2 (en) 2015-09-02 2018-11-06 Lam Researech Corporation Monolithic manifold mask and substrate concepts
US9581087B1 (en) * 2015-09-17 2017-02-28 Siemens Energy, Inc. Method and system for thermal expansion compensation in heated flow characterization
KR102477302B1 (ko) * 2015-10-05 2022-12-13 주성엔지니어링(주) 배기가스 분해기를 가지는 기판처리장치 및 그 배기가스 처리방법
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US9879795B2 (en) 2016-01-15 2018-01-30 Lam Research Corporation Additively manufactured gas distribution manifold
US10215317B2 (en) 2016-01-15 2019-02-26 Lam Research Corporation Additively manufactured gas distribution manifold
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
CN105650288B (zh) * 2016-03-25 2018-11-16 上海交通大学 泵阀一体流量主动控制装置及控制方法
US10770272B2 (en) * 2016-04-11 2020-09-08 Applied Materials, Inc. Plasma-enhanced anneal chamber for wafer outgassing
US10428426B2 (en) * 2016-04-22 2019-10-01 Applied Materials, Inc. Method and apparatus to prevent deposition rate/thickness drift, reduce particle defects and increase remote plasma system lifetime
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
KR102156390B1 (ko) * 2016-05-20 2020-09-16 어플라이드 머티어리얼스, 인코포레이티드 반도체 처리를 위한 가스 분배 샤워헤드
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9797521B1 (en) 2016-08-09 2017-10-24 Edward P Davis Rotary magnetic coupling actuated valve with external magnets and internal magnetic flux path
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) * 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
WO2018154577A1 (en) * 2017-02-22 2018-08-30 Ham-Let (Israel - Canada ) Ltd. Encapsulated leak-proof valve with permanent magnet actuator
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
CN107056580B (zh) * 2017-05-05 2020-10-30 乐山师范学院 一种电离制备全氯乙烷和全氯丁烷的方法
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10998172B2 (en) * 2017-09-22 2021-05-04 Applied Materials, Inc. Substrate processing chamber having improved process volume sealing
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
SG11202001807XA (en) * 2017-09-29 2020-04-29 Applied Materials Inc Dual port remote plasma clean isolation valve
JP2020536374A (ja) * 2017-09-29 2020-12-10 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 閉じ機構式真空チャンバ用分離デバイス及びサブシステム
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
CN109868459B (zh) * 2017-12-05 2022-11-25 北京北方华创微电子装备有限公司 一种半导体设备
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
JP6990121B2 (ja) * 2018-03-06 2022-01-12 株式会社Screenホールディングス 基板処理装置
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US10844490B2 (en) * 2018-06-11 2020-11-24 Hermes-Epitek Corp. Vapor phase film deposition apparatus
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
JP6906490B2 (ja) * 2018-09-14 2021-07-21 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法およびプログラム
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11270898B2 (en) * 2018-09-16 2022-03-08 Applied Materials, Inc. Apparatus for enhancing flow uniformity in a process chamber
CN110899271B (zh) * 2018-09-17 2021-10-15 北京北方华创微电子装备有限公司 远程等离子源的调整装置及远程等离子源清洗系统
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
CN109943829A (zh) * 2018-11-16 2019-06-28 黄剑鸣 一种rpecvd的多室沉積系统
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
EP4013905B1 (en) 2019-08-12 2023-02-22 Kurt J. Lesker Company Ultra high purity conditions for atomic scale processing
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP7026086B2 (ja) * 2019-09-25 2022-02-25 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法及び基板処理装置の洗浄方法
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11236424B2 (en) * 2019-11-01 2022-02-01 Applied Materials, Inc. Process kit for improving edge film thickness uniformity on a substrate
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
CN111107324A (zh) * 2019-12-31 2020-05-05 上海陛通半导体能源科技股份有限公司 晶圆传输系统的监控装置及其监控方法
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11881416B2 (en) 2020-12-14 2024-01-23 Applied Materials, Inc. Gas delivery system for a shared gas delivery architecture
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US20220307129A1 (en) * 2021-03-23 2022-09-29 Applied Materials, Inc. Cleaning assemblies for substrate processing chambers
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN114574837B (zh) * 2022-03-07 2023-03-21 苏州迈为科技股份有限公司 等离子体工艺设备中用于解决寄生等离子体的结构及方法
CN114975066B (zh) * 2022-07-25 2022-10-25 拓荆科技(北京)有限公司 沉积腔室清洁方法
US20240055230A1 (en) * 2022-08-15 2024-02-15 Applied Materials, Inc. System and method of cleaning process chamber components
KR102612086B1 (ko) * 2022-09-05 2023-12-11 주식회사 셀비드 파티클 프리 원격플라즈마소스 차단밸브

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002025914A (ja) * 2000-07-04 2002-01-25 Hitachi Ltd 基板処理装置
US20030079757A1 (en) 2001-03-22 2003-05-01 Koji Shibata Method of cleaning cvd device and cleaning device therefor
JP2008509560A (ja) * 2004-08-03 2008-03-27 アプライド マテリアルズ インコーポレイテッド Pecvd用途のための加熱型ガスボックス

Family Cites Families (57)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3134404A (en) * 1961-02-27 1964-05-26 William B Jaspert Electro-magnetically operated floating armature valves
US3347262A (en) * 1965-09-22 1967-10-17 Mark Associates Inc Magnet actuated sealed valve
US3665958A (en) * 1968-09-16 1972-05-30 Gpe Controls Inc Vent closure device
US3747892A (en) * 1972-01-27 1973-07-24 Steinen Mfg Co Wm Magnetic valve
US3826545A (en) * 1972-05-24 1974-07-30 Borden Corp Readily assembled temperature compensated dual angular contact bearing assembly
FR2260041B1 (ko) * 1974-02-06 1976-10-08 Amri
US4106825A (en) * 1976-12-13 1978-08-15 Autoclave Engineers, Inc. High pressure magnetic drive including magnetic thrust bearings
US4146206A (en) * 1977-03-11 1979-03-27 Garlock Inc. Valve closure member thrust and load compensator
CA1092589A (en) * 1978-11-21 1980-12-30 Patrick M. Taiani Torque transmitting assembly for rotary valve member
US4284262A (en) * 1979-05-17 1981-08-18 Autoclave Engineers, Inc. Magnetically actuated stopper valve
US4327892A (en) * 1980-09-08 1982-05-04 Autoclave Engineers, Inc. Normally magnetically actuated valve with novel nonmagnetic override
US4384703A (en) * 1981-01-21 1983-05-24 Autoclave Engineers, Inc. Handle for magnetically actuated valve
DE3814359C2 (de) * 1988-04-28 1994-04-28 Cornelius Dipl Ing Lungu Magnetventil mit permanentmagnetischer Schließkraft
CN1014174B (zh) * 1989-06-01 1991-10-02 毛沛琦 流体管道的磁控开关
US4936289A (en) * 1989-02-21 1990-06-26 Peterson George A Usage responsive hot water recirculation system
US5083744A (en) * 1991-03-08 1992-01-28 Morotta Scientific Controls, Inc. Motor-operated valve
GB9113963D0 (en) * 1991-06-28 1991-08-14 Alpha Thames Eng Valve actuator
US5301848A (en) * 1991-10-18 1994-04-12 Conrad Larry M Electromagnetic meter for closed dispensing container
US5609721A (en) * 1994-03-11 1997-03-11 Fujitsu Limited Semiconductor device manufacturing apparatus and its cleaning method
EP0681130B1 (en) * 1994-05-02 1999-07-07 Korea Atomic Energy Research Institute Valve having magnetic force transmission apparatus
JPH0893931A (ja) * 1994-09-22 1996-04-12 Tokyo Kakoki Kk 流体の給排出量調節機
JP3279919B2 (ja) 1996-05-14 2002-04-30 東京応化工業株式会社 同時放電化装置
CA2220308A1 (en) * 1996-11-05 1998-05-05 Douglas P. Arduini A smart automatic safety valve having remote electromagnetic shut-off protection and reset control from seismic or other sensors
US6433448B1 (en) * 1998-11-17 2002-08-13 Eaton Corporation Integrated torque motor and throttle body
KR100467082B1 (ko) * 2000-03-02 2005-01-24 주성엔지니어링(주) 반도체소자 제조장치 및 그 클리닝방법
US6835278B2 (en) * 2000-07-07 2004-12-28 Mattson Technology Inc. Systems and methods for remote plasma clean
JP2002057106A (ja) 2000-08-08 2002-02-22 Tokyo Electron Ltd 処理装置のクリーニング方法及び処理装置
US6648012B2 (en) * 2001-06-13 2003-11-18 Applied Materials, Inc. Non-return valve override device
US6591850B2 (en) * 2001-06-29 2003-07-15 Applied Materials, Inc. Method and apparatus for fluid flow control
US6710489B1 (en) * 2001-08-30 2004-03-23 Indigo Energy, Inc. Axially free flywheel system
JP2003086672A (ja) 2001-09-06 2003-03-20 Applied Materials Inc リフロー方法及び装置、並びに、膜形成方法及び装置
WO2003065424A2 (en) * 2002-01-25 2003-08-07 Applied Materials, Inc. Apparatus for cyclical deposition of thin films
JP2003264186A (ja) * 2002-03-11 2003-09-19 Asm Japan Kk Cvd装置処理室のクリーニング方法
JP3527915B2 (ja) * 2002-03-27 2004-05-17 株式会社ルネサステクノロジ Cvd装置およびそれを用いたcvd装置のクリーニング方法
JP3855081B2 (ja) * 2002-07-01 2006-12-06 株式会社日立国際電気 フッ素ガスによるクリーニング機構を備えたcvd装置およびcvd装置のフッ素ガスによるクリーニング方法
DE20302274U1 (de) * 2003-02-12 2004-06-24 Voss Automotive Gmbh Anbausatz für ein Fahrzeug-Luftfedersystem mit einem zuschaltbaren Zusatz-Luftfedervolumen
TWI265380B (en) * 2003-05-06 2006-11-01 Asml Netherlands Bv Lithographic projection apparatus
JP3940095B2 (ja) 2003-05-08 2007-07-04 忠弘 大見 基板処理装置
JP2005072446A (ja) * 2003-08-27 2005-03-17 Chi Mei Electronics Corp プラズマ処理装置及び基板の表面処理装置
JP2005090419A (ja) * 2003-09-19 2005-04-07 Denso Corp 内燃機関用スロットル装置
US7622005B2 (en) 2004-05-26 2009-11-24 Applied Materials, Inc. Uniformity control for low flow process and chamber to chamber matching
US7572337B2 (en) 2004-05-26 2009-08-11 Applied Materials, Inc. Blocker plate bypass to distribute gases in a chemical vapor deposition system
JP2006128485A (ja) * 2004-10-29 2006-05-18 Asm Japan Kk 半導体処理装置
US20060090773A1 (en) 2004-11-04 2006-05-04 Applied Materials, Inc. Sulfur hexafluoride remote plasma source clean
US7198677B2 (en) * 2005-03-09 2007-04-03 Wafermasters, Inc. Low temperature wafer backside cleaning
US7534469B2 (en) * 2005-03-31 2009-05-19 Asm Japan K.K. Semiconductor-processing apparatus provided with self-cleaning device
US20060249175A1 (en) 2005-05-09 2006-11-09 Applied Materials, Inc. High efficiency UV curing system
US7387291B2 (en) * 2005-05-16 2008-06-17 Honeywell International Inc. Collapsible shaft and control assembly
US20060266288A1 (en) 2005-05-27 2006-11-30 Applied Materials, Inc. High plasma utilization for remote plasma clean
GB0516500D0 (en) * 2005-08-11 2005-09-14 Active Technologies Ltd Valve assembly
US7884032B2 (en) * 2005-10-28 2011-02-08 Applied Materials, Inc. Thin film deposition
US7678662B2 (en) * 2005-12-13 2010-03-16 Applied Materials, Inc. Memory cell having stressed layers
US20070207275A1 (en) * 2006-02-21 2007-09-06 Applied Materials, Inc. Enhancement of remote plasma source clean for dielectric films
JP4739128B2 (ja) * 2006-06-28 2011-08-03 愛三工業株式会社 吸気制御弁
US7527069B2 (en) * 2006-08-28 2009-05-05 Honeywell International Inc. Electromagnetic split flapper pressure relief valve
US7699935B2 (en) * 2008-06-19 2010-04-20 Applied Materials, Inc. Method and system for supplying a cleaning gas into a process chamber
US9185856B1 (en) * 2014-06-28 2015-11-17 Frank August Barcatta Liquid flow rate modulator

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002025914A (ja) * 2000-07-04 2002-01-25 Hitachi Ltd 基板処理装置
US20030079757A1 (en) 2001-03-22 2003-05-01 Koji Shibata Method of cleaning cvd device and cleaning device therefor
JP2008509560A (ja) * 2004-08-03 2008-03-27 アプライド マテリアルズ インコーポレイテッド Pecvd用途のための加熱型ガスボックス

Also Published As

Publication number Publication date
CN103170478A (zh) 2013-06-26
KR20110018458A (ko) 2011-02-23
US20160084400A1 (en) 2016-03-24
US7699935B2 (en) 2010-04-20
US20130213574A1 (en) 2013-08-22
CN102067279B (zh) 2013-03-27
US8591699B2 (en) 2013-11-26
CN102067279A (zh) 2011-05-18
JP2011525054A (ja) 2011-09-08
US10094486B2 (en) 2018-10-09
US9206511B2 (en) 2015-12-08
JP5538376B2 (ja) 2014-07-02
US20090314309A1 (en) 2009-12-24
WO2009155028A1 (en) 2009-12-23
TW201009977A (en) 2010-03-01
US20100012273A1 (en) 2010-01-21
US20140076236A1 (en) 2014-03-20

Similar Documents

Publication Publication Date Title
KR101543991B1 (ko) 프로세스 챔버로 세정 가스를 공급하기 위한 방법 및 시스템
US7651584B2 (en) Processing apparatus
US8444926B2 (en) Processing chamber with heated chamber liner
US11149345B2 (en) Cryogenically cooled rotatable electrostatic chuck
US20020069970A1 (en) Temperature controlled semiconductor processing chamber liner
US20070028838A1 (en) Gas manifold valve cluster
KR102269479B1 (ko) 시간적 원자 층 증착 프로세싱 챔버
CN207353216U (zh) 基板处理装置
US11584993B2 (en) Thermally uniform deposition station
TW201638502A (zh) 用於次世代先進電漿技術的腔室主體設計架構
JP2022543570A (ja) 分離バルブ
US20220223367A1 (en) Reduced substrate process chamber cavity volume
US11610799B2 (en) Electrostatic chuck having a heating and chucking capabilities
US20180114706A1 (en) Wafer boat assembly and substrate processing apparatus including the same
US11674227B2 (en) Symmetric pump down mini-volume with laminar flow cavity gas injection for high and low pressure
JP2006049489A (ja) 基板処理装置
US20220076981A1 (en) Sealing device for a pedestal assembly

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20180801

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20190801

Year of fee payment: 5