CN103170478A - 供应清洁气体至工艺腔室的方法和系统 - Google Patents

供应清洁气体至工艺腔室的方法和系统 Download PDF

Info

Publication number
CN103170478A
CN103170478A CN2013100550647A CN201310055064A CN103170478A CN 103170478 A CN103170478 A CN 103170478A CN 2013100550647 A CN2013100550647 A CN 2013100550647A CN 201310055064 A CN201310055064 A CN 201310055064A CN 103170478 A CN103170478 A CN 103170478A
Authority
CN
China
Prior art keywords
gas
plasma source
remote plasma
fin
valve
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN2013100550647A
Other languages
English (en)
Inventor
R·萨卡拉克利施纳
D·杜鲍斯
G·巴拉苏布拉马尼恩
K·杰纳基拉曼
J·C·罗查-阿尔瓦雷斯
T·诺瓦克
V·斯瓦拉马克瑞希楠
H·姆萨德
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN103170478A publication Critical patent/CN103170478A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F16ENGINEERING ELEMENTS AND UNITS; GENERAL MEASURES FOR PRODUCING AND MAINTAINING EFFECTIVE FUNCTIONING OF MACHINES OR INSTALLATIONS; THERMAL INSULATION IN GENERAL
    • F16KVALVES; TAPS; COCKS; ACTUATING-FLOATS; DEVICES FOR VENTING OR AERATING
    • F16K31/00Actuating devices; Operating means; Releasing devices
    • F16K31/02Actuating devices; Operating means; Releasing devices electric; magnetic
    • F16K31/06Actuating devices; Operating means; Releasing devices electric; magnetic using a magnet, e.g. diaphragm valves, cutting off by means of a liquid
    • F16K31/0644One-way valve
    • F16K31/0655Lift valves
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B5/00Cleaning by methods involving the use of air flow or gas flow
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B7/00Cleaning by methods not provided for in a single other subclass or a single group in this subclass
    • B08B7/0021Cleaning by methods not provided for in a single other subclass or a single group in this subclass by liquid gases or supercritical fluids
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4409Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber characterised by sealing means
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45561Gas plumbing upstream of the reaction chamber
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F16ENGINEERING ELEMENTS AND UNITS; GENERAL MEASURES FOR PRODUCING AND MAINTAINING EFFECTIVE FUNCTIONING OF MACHINES OR INSTALLATIONS; THERMAL INSULATION IN GENERAL
    • F16KVALVES; TAPS; COCKS; ACTUATING-FLOATS; DEVICES FOR VENTING OR AERATING
    • F16K1/00Lift valves or globe valves, i.e. cut-off apparatus with closure members having at least a component of their opening and closing motion perpendicular to the closing faces
    • F16K1/16Lift valves or globe valves, i.e. cut-off apparatus with closure members having at least a component of their opening and closing motion perpendicular to the closing faces with pivoted closure-members
    • F16K1/18Lift valves or globe valves, i.e. cut-off apparatus with closure members having at least a component of their opening and closing motion perpendicular to the closing faces with pivoted closure-members with pivoted discs or flaps
    • F16K1/22Lift valves or globe valves, i.e. cut-off apparatus with closure members having at least a component of their opening and closing motion perpendicular to the closing faces with pivoted closure-members with pivoted discs or flaps with axis of rotation crossing the valve member, e.g. butterfly valves
    • F16K1/221Lift valves or globe valves, i.e. cut-off apparatus with closure members having at least a component of their opening and closing motion perpendicular to the closing faces with pivoted closure-members with pivoted discs or flaps with axis of rotation crossing the valve member, e.g. butterfly valves specially adapted operating means therefor
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F16ENGINEERING ELEMENTS AND UNITS; GENERAL MEASURES FOR PRODUCING AND MAINTAINING EFFECTIVE FUNCTIONING OF MACHINES OR INSTALLATIONS; THERMAL INSULATION IN GENERAL
    • F16KVALVES; TAPS; COCKS; ACTUATING-FLOATS; DEVICES FOR VENTING OR AERATING
    • F16K1/00Lift valves or globe valves, i.e. cut-off apparatus with closure members having at least a component of their opening and closing motion perpendicular to the closing faces
    • F16K1/16Lift valves or globe valves, i.e. cut-off apparatus with closure members having at least a component of their opening and closing motion perpendicular to the closing faces with pivoted closure-members
    • F16K1/18Lift valves or globe valves, i.e. cut-off apparatus with closure members having at least a component of their opening and closing motion perpendicular to the closing faces with pivoted closure-members with pivoted discs or flaps
    • F16K1/22Lift valves or globe valves, i.e. cut-off apparatus with closure members having at least a component of their opening and closing motion perpendicular to the closing faces with pivoted closure-members with pivoted discs or flaps with axis of rotation crossing the valve member, e.g. butterfly valves
    • F16K1/224Details of bearings for the axis of rotation
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F16ENGINEERING ELEMENTS AND UNITS; GENERAL MEASURES FOR PRODUCING AND MAINTAINING EFFECTIVE FUNCTIONING OF MACHINES OR INSTALLATIONS; THERMAL INSULATION IN GENERAL
    • F16KVALVES; TAPS; COCKS; ACTUATING-FLOATS; DEVICES FOR VENTING OR AERATING
    • F16K31/00Actuating devices; Operating means; Releasing devices
    • F16K31/02Actuating devices; Operating means; Releasing devices electric; magnetic
    • F16K31/06Actuating devices; Operating means; Releasing devices electric; magnetic using a magnet, e.g. diaphragm valves, cutting off by means of a liquid
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F16ENGINEERING ELEMENTS AND UNITS; GENERAL MEASURES FOR PRODUCING AND MAINTAINING EFFECTIVE FUNCTIONING OF MACHINES OR INSTALLATIONS; THERMAL INSULATION IN GENERAL
    • F16KVALVES; TAPS; COCKS; ACTUATING-FLOATS; DEVICES FOR VENTING OR AERATING
    • F16K31/00Actuating devices; Operating means; Releasing devices
    • F16K31/02Actuating devices; Operating means; Releasing devices electric; magnetic
    • F16K31/06Actuating devices; Operating means; Releasing devices electric; magnetic using a magnet, e.g. diaphragm valves, cutting off by means of a liquid
    • F16K31/08Actuating devices; Operating means; Releasing devices electric; magnetic using a magnet, e.g. diaphragm valves, cutting off by means of a liquid using a permanent magnet
    • F16K31/086Actuating devices; Operating means; Releasing devices electric; magnetic using a magnet, e.g. diaphragm valves, cutting off by means of a liquid using a permanent magnet the magnet being movable and actuating a second magnet connected to the closing element
    • F16K31/088Actuating devices; Operating means; Releasing devices electric; magnetic using a magnet, e.g. diaphragm valves, cutting off by means of a liquid using a permanent magnet the magnet being movable and actuating a second magnet connected to the closing element the movement of the first magnet being a rotating or pivoting movement
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/905Cleaning of reaction chamber

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Engineering & Computer Science (AREA)
  • Mechanical Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • Plasma Technology (AREA)

Abstract

本发明提出一种用以清洁工艺腔室的方法与设备。在一个实施例中,本发明提供一种工艺腔室,包括远程等离子源与工艺腔室,所述工艺腔室具有至少两个工艺区域。各工艺区域包括:基材支撑组件,设置在所述工艺区域中;气体散布系统,配置以提供气体到所述基材支撑组件上方的所述工艺区域内;以及气体通道,配置以提供气体到所述基材支撑组件下方的所述工艺区域内。第一气体导管是配置以将清洁试剂从所述远程等离子源经由所述气体散布组件流入各所述工艺区域,而第二气体导管是配置以将来自所述第一气体导管的所述清洁试剂的一部分转向到各所述工艺区域的所述气体通道。

Description

供应清洁气体至工艺腔室的方法和系统
本申请是提交于2009年5月28日,申请号为200980124391.5,题为“供应清洁气体至工艺腔室的方法和系统”的专利申请的分案申请。
技术领域
本发明的实施例大致上关于用以清洁基材处理设备的工艺腔室的设备与方法。特别是,本发明的实施例是关于用以清洁工艺腔室的设备与方法,所述工艺腔室用于沉积。
背景技术
在工艺腔室中已经执行许多沉积步骤后,工艺腔室可能需要清洁以移除可能已形成在腔室壁上的不希望的沉积残余物。一种用以清洁目前化学气相沉积(CVD)或等离子增强化学气相沉积(PECVD)工艺腔室的传统方式是使用由远程等离子源(remote plasma source,RPS)供应的清洁等离子,其中RPS是远离工艺腔室。RPS提供清洁等离子(通常是由氟基清洁气体形成),所述清洁等离子经由气体循环硬件(包括装设在工艺腔室中的气体箱、气体岐管与气体散布系统)流入沉积腔室。
为了在清洁期间获得更高的蚀刻速率,清洁等离子通常是以含有原子氟基团的活跃形式来供应。然而,从RPS到沉积腔室的复杂传送路径时常导致原子氟基团与分子气体的预成熟再结合,所述分子气体具有低蚀刻速率。结果,尽管清洁气体的前体分解效率是高的,清洁效率也可能是低的。再者,对于具有大容积和精密几何形态的腔室而言(诸如300mm工艺腔室),腔室泵送端口通常靠近喷头,所述喷头用来输送清洁气体到腔室。所以,喷头与泵送端口间的基材支撑组件下方不佳的气体循环造成了基材支撑组件下方低的清洁效率。
因此,亟需一种用以清洁沉积腔室的改善的设备与方法。
发明内容
本发明提供一种用以清洁工艺腔室的方法与设备。在一个实施例中,本发明提供一种工艺腔室,包括远程等离子源与工艺腔室,所述工艺腔室具有至少两个工艺区域。各工艺区域包括:基材支撑组件,设置在所述工艺区域中;气体散布系统,配置以提供气体到所述基材支撑组件上方的所述工艺区域内;以及气体通道,配置以提供气体到所述基材支撑组件下方的所述工艺区域内。第一气体导管是配置以将清洁试剂从所述远程等离子源经由所述气体散布组件流入各所述工艺区域,而第二气体导管是配置以将来自所述第一气体导管的所述清洁试剂的一部分转向到各所述工艺区域的所述气体通道。
在另一实施例中,本发明提供一种基材处理系统,包括:负载闭锁腔室;传送腔室,耦接到所述负载闭锁腔室;远程等离子源;以及工艺腔室,耦接到所述传送腔室。所述工艺腔室包含:腔室主体,具有至少一个第一工艺区域;第一基材支撑组件,设置在所述第一工艺区域中;第一气体散布组件,耦接到所述远程等离子源,并且所述第一气体散布组件是配置以从所述远程等离子源由所述基材支撑组件上方提供气体到所述第一工艺区域内;以及气体通道,耦接到所述远程等离子源,并且所述气体通道是配置以从所述远程等离子源由所述基材支撑组件下方提供气体到所述第一工艺区域内。
在另一实施例中,本发明公开一种用以供应工艺气体到工艺腔室的方法。所述方法包含:提供等离子源;使第一体积的清洁试剂从所述等离子源经由所述工艺腔室的顶部流入所述工艺腔室的内部容积中;以及使第二体积的清洁试剂从基材支撑组件下方流入所述内部容积。
附图说明
为了可以详细地理解本发明的前述特征,上文简要概述的本发明的更特定描述可以通过参照实施例来详细地了解,其中一些实施例是图示在附图中。然而,值得注意的是,附图仅示出本发明的典型实施例,并且因此不会限制本发明范围,本发明允许其它等效的实施例。
图1为图示处理系统的一个实施例的示意性平面图,所述处理系统一具有清洁系统。
图2为双工艺腔室的一个实施例的示意性横截面图。
图3A为水平横截面图,所述水平横截面图图示阀的一个实施例,所述阀用在图2的工艺腔室中。
图3B为图3A的阀的部分等角剖视图。
图3C为图3A的阀的横截面图。
图3D为替换实施例中阀的横截面图。
图3E为替换实施例中阀的横截面图。
图4为沉积顺序的一个实施例的方法步骤的流程图,其中所述沉积顺序可以被执行在图2的工艺腔室中。
图5为翼片的另一实施例的分解横截面图。
图6至图7为图5的翼片的部分截面图与俯视图。
图8A至图8B为阀主体的另一实施例的俯视图与仰视图。
图8C为沿着图8B的线8C-8C绘制的阀主体的横截面图。
图8D为沿着图8C的线8D-8D绘制的阀主体的横截面图。
图9为凸缘支撑件的一个实施例的截面图。
为了促进了解,在可能的情况下,在图式中使用相同的组件符号来指称相同的组件。设想在于,一个实施例的组件可以有益地被用在其它实施例中,而不需赘述。
具体实施方式
本文描述的实施例关于基材处理系统,所述基材处理系统是可操作以在一或多个基材上执行等离子工艺(例如蚀刻、CVD、PECVD等),并进行等离子清洁以移除在沉积工艺期间所形成的残余物。基材处理系统的一个示范性实例包含(但不限于)工厂界面、负载闭锁腔室、传送腔室以及至少一个工艺腔室(所述至少一个工艺腔室具有二或更多个工艺区域,所述二或更多个工艺区域彼此分离且共享公用气体供应器和公用排气泵)。为了自工艺腔室内部移除沉积残余物,远程等离子源是可操作以产生清洁等离子,清洁等离子从工艺腔室的顶部与底部被供应到工艺腔室内部。藉此,工艺腔室的内部能够以更有效率的方式来清洁。
图1是图示基材处理系统100的一个实施例的示意图。基材处理系统100包含工厂界面110(基材在所述工厂界面处被装载到至少一个负载闭锁腔室140且自所述至少一个负载闭锁腔室140卸载)、基材传送腔室170(所述基材传送腔室容纳机械手臂172以用于操纵基材)以及至少一个工艺腔室200(所述至少一个工艺腔室连接到传送腔室170)。处理系统100是适于进行各种等离子工艺(例如蚀刻、CVD或PECVD工艺)并支撑腔室硬件。
如图1所示,工厂界面110可以包括基材匣件113与基材操纵机械手臂115。各匣件113包含即将处理的基材。基材操纵机械手臂115可以包含基材映对系统以将各匣件113中的基材作索引,用于准备将所述基材装载到负载闭锁腔室140内。
负载闭锁腔室140提供真空界面于工厂界面110与传送腔室170之间。各负载闭锁腔室140可以包含上基材支撑件(未示出)与下基材支撑件(未示出),所述上基材支撑件和所述下基材支撑件堆叠在负载闭锁腔室140内。上基材支撑件与下基材支撑件是配置以支撑所述上基材支撑件与所述下基材支撑件上的进入与退出的基材。基材可以经由狭缝阀146被传送在工厂界面110与负载闭锁腔室140之间,并且基材可经由狭缝阀148被传送在负载闭锁腔室140与传送腔室170之间。上基材支撑件与下基材支撑件可以包含用于温度控制的特征,例如埋设式加热器或冷却器以在传送期间加热或冷却基材。
传送腔室170包括基材操纵机械手臂172,基材操纵机械手臂172是可操作以传送基材于负载闭锁腔室140与工艺腔室200之间。更详细地说,基材操纵机械手臂172可以具有双基材操纵叶片174,双基材操纵叶片174适于从一个腔室同时传送两个基材到另一腔室。叶片174也可以配置以独立地移动。基材可以经由狭缝阀176被传送于传送腔室170与工艺腔室200之间。基材操纵机械手臂172的移动可以通过马达驱动系统(未示出)来控制,马达驱动系统可包括伺服或步进马达。
图2为图示工艺腔室200的一个实施例的示意性横截面图。工艺腔室200包含两个工艺区域202,基材可以在所述两个工艺区域202中同时地进行等离子处理。各工艺区域202具有侧壁212与底部214,侧壁212与底部214部分地界定工艺容积216。工艺容积216可以经由形成在壁212中的接取端口(未示出)来接取而选择性地被阀176密封,阀176用以使基材204移动进出各工艺区域202。各工艺区域202的壁212与底部214可以由单一的铝块或与工艺兼容的其它材料制成。各工艺区域202的壁212是支撑盖组件222并包括内衬224的组件,内衬224的组件具有排气端口226,工艺区域202可以通过真空泵(未示出)经由排气端口226来排空。
基材支撑组件230设置在各工艺区域202的中央。在一个实施例中,支撑组件230可以受温度控制。支撑组件230包含由铝制成的支撑基座232,支撑基座232可内封至少一个埋设的加热器234,加热器234是可操作以可控制地加热支撑组件230与定位在支撑组件230上的基材204到预定温度。在一个实施例中,支撑组件230可以操作以维持基材204于约150℃至约1000℃的温度,这取决于对于所处理的材料的工艺参数。
各支撑基座232具有上侧236以用于支撑基材204,而支撑基座232的下侧耦接到杆238。杆238将支撑组件230耦接到升降系统240,升降系统240将支撑组件230垂直地移动于升高处理位置与下降位置之间,而可使基材传送进出工艺区域202。此外,杆238提供导线管,所述导线管是用于支撑组件230与腔室200的其它部件间的电气与热电耦导线(lead)。风箱(bellows)242可耦接在各工艺区域202的杆238与底部214间。风箱242是提供工艺容积216与各工艺区域202外面的大气间的真空密封,同时促进支撑组件230的垂直移动。
为了促进基材204的传送,各支撑基座232也具有数个开口246,多个升降梢248是可移动地被装设通过所述数个开口246。升降梢248是可操作以移动于第一位置与第二位置之间。如图2所示,第一位置允许基材204停置在支撑基座232的上侧236上。第二位置(未示出)将基材204举升于支撑基座232的上方,从而使基材204可得以被传送到基材操纵机械手臂172,基材操纵机械手臂172来自接取端口(未示出)。升降梢248的向上/向下移动可以由可移动板250来驱动。
盖组件222提供上边界予各工艺区域202中的工艺容积216。盖组件222可以被移除或开启,以维护工艺区域202。在一个实施例中,盖组件222可以由铝制成。
盖组件222可以包括入口端口260,工艺气体可以经由所述入口端口260被导入工艺区域202中。工艺气体可以包含由气体源261提供的沉积(或蚀刻)气体,或由远程等离子源(RSP)262提供的清洁等离子。气体散布组件270包括环状基板272,环状基板272具有阻隔板274,阻隔板274设置在面板(或喷头)276中。阻隔板274提供均匀的气体分布到面板276的背侧。经由入口端口260供应的工艺气体是进入第一中空容积278(第一中空容积278部分地被限制在环状基板272与阻隔板274之间),并接着流动通过数个通道280(数个通道280形成在阻隔板274中),而进入介于阻隔板274与面板276间的第二容积282。然后,工艺气体从第二容积282经由数个形成在面板276中的通道284进入工艺容积216。面板276通过绝缘质材料286与腔室壁212和阻隔板274(或基板272)隔离。环状基板272、阻隔板274及面板276可以由不锈钢、铝、阳极化铝、镍或其它兼容金属合金制成,所述其它兼容金属合金能够以等离子(例如氯基清洁气体、氟基清洁气体、上述物质的组合或其它经选择的清洁化学物)来清洁。
为了输送工艺气体到各工艺区域202,气体循环系统装设在各工艺区域202与气体源261和RPS262之间。气体循环系统包含第一导管290(第一导管290分别将各工艺区域202的顶部处的入口端口260连接到气体源261与RPS262)以及至少一个第二气体导管294(至少一个第二气体导管294经由阀300与第一气体导管290连接)。第二气体导管294耦接到一或多个通道292,所述通道292是向下延伸穿过腔室壁并与一或多个横向通道296相交,所述横向通道296是各自开放到各工艺区域202的底部内。在图2中所示的实施例中,个别的通道292、296是用来将各区域202个别地耦接到阀300。设想在于,各区域202可以具有由个别的专用阀300所控制而向所述各区域连接的气体输送,以便清洁气体的流量得以可选择地且独立地被输送到各区域202,包括输送清洁气体到区域202中的一者且不输送清洁气体到另一区域。当从RPS262提供清洁等离子时,可以开启阀300,以便经由各工艺区域202的顶部来输送的清洁等离子的一部分也可以被转向到各工艺区域的底部。因此,可以实质避免基材支撑组件230下方的清洁等离子的停滞,并且可以改善对于基材支撑组件230下方的区域的清洁效率。
图3A至图3B为图示阀300的一个实施例的水平截面图与示意性部分等角视图。如图所示,阀300包含阀主体330、翼片302、密封杯304与耦接机构308。阀主体330可以由高温材料制成,所述高温材料制成适合与清洁及工艺化学物一起使用。适当的材料的实例包括铝、氧化铝、氮化铝、蓝宝石(sapphire)与陶瓷等。适当的材料的其它实例包括可抵抗氟及氧基团腐蚀的材料。在一个实施例中,阀主体330是由铝制成。阀主体330容纳翼片302,翼片302可以可选择地旋转以实质避免流动通过阀主体330的入口399与一对出口端口332之间。入口399是配置以耦接到RPS源262,而出口端口332是配置以经由第二气体导管294与通道292耦接到区域202。入口399与出口端口332可以配置以接受接头,其中所述接头是适于对导管290、294进行防漏连接。
翼片302的致动器部分被杯密封件304围绕,这用以将密封杯304稳固地固定到阀主体330。翼片302可划分成外主体310(外主体310具有大致上圆柱形的形状)与阻流板312(阻流板312附接到外主体310的相对侧)。在一个实施例中,翼片302可以是单一而不可分且由铝或其它材料制成的本体,所述翼片302包括外主体310与阻流板312,如前所述。翼片302与主体330是被制造成具有相近的公差,从而使得在翼片302与主体330之间具有最小的泄漏。因此,翼片与主体330是设计以免除了个别动态密封(所述个别动态密封可能磨损且/或被清洁气体与/或其它物种附着)的需求。当使用时,密封杯304(密封杯304实质内封所述外主体310)是适于允许翼片302的相对转动,并且密封杯304实质密封阻流板312相应于气体循环系统内部的侧与外面环境分离。
翼片302的旋转是经由耦接机构308来驱动。在一个实施例中,耦接机构308具有大致上U形形状而含有两个磁化端部分318。磁化端部分318具有埋设的磁铁,所述埋设的磁铁完全地被内封在翼片302内,因而得以避免埋设的磁铁与腐蚀性气体的直接接触。耦接机构308位于密封杯304上方,其中两个磁化端部分318分别面对埋设在外主体310中的磁铁322的两相对磁极320。磁铁322可以是永久磁铁与/或电磁铁。当密封杯304与耦接机构308之间存在间隙时,可保护密封杯304免于与耦接机构308的高温接触。当耦接机构308旋转时,磁化端部分318与磁铁322的相对磁极320之间的磁性吸引使翼片302旋转。以此方式,阻流板312的方位可以通过旋转来改变,以允许气流通过(如图3A中所示的开启状态)或阻隔气流通过(如图3A中的虚线所示的关闭状态)。
图3C为阀300的一个实施例的横截面图,阀300耦接到第二导管294,所述横截面图是沿着图3A的线C-C绘制。密封杯304包括轴环306,轴环306可以被固定到阀主体330以保持翼片302。静态密封件314可以设置在阀主体330与轴环之间以避免泄漏。静态密封件314可以由适于供工艺与清洁化学使用的材料制成,所述材料在使用氟基清洁气体的实施例中可以是VITON。由于阀300不具有移动的轴或动态密封件,因此阀的维护寿命可比传统设计大幅延长,并且所述阀可以运作在250℃以上的温度而实质上不会腐蚀阀部件。
翼片302的旋转可以经由滚珠轴承334(滚珠轴承334设置在阻流板312的端与阀主体330的壁335之间)与滚珠轴承336(滚珠轴承336设置在外主体310与密封杯304之间)来促进。通过耦接机构308的驱动,可以因此将阻流板312的方位定位成阻隔或允许传入气流340(例如被导向至第二气体导管294中的清洁气体)的通过。
替代地,或除了滚珠轴承334、336以外,可以设置轴承398于翼片302与阀主体330之间,如图3D所示。轴承398可以由可抵抗氟与氧基团腐蚀的材料制成,所述材料在一个实施例中是陶瓷材料。轴承398包括上轴承环395,上轴承环395经由数个滚珠396旋转在下轴承环397上。上轴承环395是接触翼片302。在一个实施例中,上轴承环395是压扣到翼片302。下轴承环397是接触阀主体330。在一个实施例中,下轴承环397是压扣到阀主体330。滚珠396可以是圆柱形、球形、一端逐渐变细的形状、圆锥形或其它适当的形状。
替代地,可以使用一或多个磁性轴承390以在翼片302与阀主体330之间提供轴承,如图3E所示。磁性轴承390包括一对互斥的磁铁。在图3E所示的实施例中,磁性轴承390包括两对互斥的磁铁,即设置在翼片302的相对端的第一对392A、394A与第二对392B、394B。磁铁394A、394B是被内封在翼片302内,从而使得磁铁394A、394B可受保护而免于清洁气体中的氟与氧基团。磁铁392A、392B可以是永久磁铁或电磁铁。磁铁对392A、394A及磁铁对392B、394B是用以升高阀主体330内的翼片,以便翼片302可得以通过与耦接机构308的磁性交互作用而自由地被旋转。
耦接机构308是由致动器390来旋转,以开启与关闭阀300。致动器390可以是螺线管、气动马达、电动马达、气缸或其它致动器,所述其它致动器适于控制耦接机构308的旋转运动。致动器390可以装设到阀300、工艺腔室200或其它适当的结构。
图4是流程图,所述流程图图示用以运作工艺腔室200的顺序的一个实施例的方法步骤。在起始步骤402,将基材导入工艺腔室200的工艺区域202,以进行等离子工艺(例如蚀刻或沉积工艺)。在步骤404,当阀300关闭时,从气体源261经由第一导管290与各工艺区域202的顶部处的气体散布组件270输送工艺气体到工艺容积216内。在步骤406,在完成等离子工艺之后,基材被移出工艺区域202。在步骤408,当阀300位于关闭状态时,从RPS262经由第一导管290与各工艺区域202的顶部处的气体散布组件270输送清洁试剂(例如氯基清洁气体、氟基清洁气体或上述清洁气体的组合)。在一个实施例中,清洁气体可以包含NF3、F2、SF6、Cl2、CF4、C2F6、CCl4或C2Cl6中的至少一个。当经由各工艺区域202的顶部将清洁气体导入时,步骤410中的阀300是开启一时段,以将所供应清洁等离子的一部分经由通道292转向到基材支承组件230下方的各工艺区域202的底部214。此额外的清洁等离子流动减少氟基团的再结合,并且此额外的清洁等离子流动去除支撑组件230下方的流动停滞。此外,将经转向的清洁气体经由渠道196导入可在被泵送出腔室200之前于基材支撑组件230下方建立良好混合的紊流。因此,可以改善各工艺区域202中的清洁率。可以了解,可以在步骤408中将清洁气体导入之前或的同时,进行步骤410中的阀300的开启。在步骤412,一旦完成了清洁运作,清洁气体的供应终止。也可以了解,阀300可以是另一类型阀,所述另一类型阀适于控制来自RPS262经由导管290、294的相对流量(所述控制包括切换流经导管290、294的流量于流动及不流动状态间,或提供经选择的流经导管290、294的流量比范围)。
如前所述,基材处理系统因而得以可控制地将工艺气体经由工艺腔室的顶部与底部流入。在清洁期间,同时经由工艺腔室的顶部与底部流入工艺容积(即从基材支撑件的顶侧与底侧)的清洁等离子的经控制供应可以减少工艺容积内化学物基团的再结合。支撑组件下方的清洁气体的水平导入可产生紊流,所述紊流提升腔室清洁。再者,更低的总质量流率(mass flowrate)造成了更高的重量百分比的清洁试剂流入工艺腔室的底部。例如,在总等离子流速为5000sccm下可以将42.67质量百分比的清洁试剂经由导管294与通道292引导到工艺腔室的底部,而在总等离子流速为15000sccm下仅28.8质量百分比的清洁试剂流到工艺腔室的底部。故,更低的总等离子流速可以将更高百分比的清洁试剂转向到工艺腔室的底部,并且因此可以更有效率地清洁工艺腔室。
图5是翼片500的另一实施例的分解图。图6是翼片500的俯视图。参照图5至图6,翼片500包括主体502、盖504以及一或多个磁铁506。翼片500可以是单一而不可分且由铝或其它材料制成的本体,所述翼片500包括外主体310与阻流板312,如前所述。主体502与盖504可以由前述材料制成。
主体502包括外主体534与阻流板538。外主体534具有凹部528形成在第一端530,凹部528的尺寸可以容纳盖504的至少一部分。在一个实施例中,盖504是压扣到凹部528内,因此盖504无法在凹部528内旋转。替代地,盖504能够以避免旋转的方式被钉到、黏附到、黏结到、焊接到或固定到主体502。
阻流板538是从主体502的第二端540延伸到碟盘536。碟盘536的尺寸可与形成在阀主体中的凹部接合,以促进翼片500的旋转。碟盘536大致上具有小于外主体534的直径的直径。碟盘536的底表面532包括穴520,用于保持滚珠轴承(未示出),其中所述滚珠轴承可促进翼片500的旋转。
主体520的第二端540也包括数个凹处542形成在第二端540中。在一个实施例中,所述凹处542的方位是径向,并且所述凹处542绕着环形阵列而等距分隔。所述凹处542是配置以与从上轴承环395延伸的多个突出部(未示出)配合,从而使上轴承环395随着翼片500旋转被锁固住。
图7为碟盘536剖过穴520的部分截面图。穴520包括盲孔606,盲孔606形成为与主体506之中心线同心。锥坑(countersink)604形成为与孔606同心。锥坑604是形成为可促进穴520内滚珠轴承的保持的角度。
参照图5至图6,盖504包括圆柱形主体510,圆柱形主体510具有上端516及下端518。圆柱形主体510的直径可使圆柱形主体510嵌入主体502的凹部528内。唇部508形成在主体510的上端516以便主体502的端530可座落在唇部508所定义的突壁(ledge)512上,藉此能得以使主体510穿入到主体502内预定深度处。穴520也可以形成在盖504中,以使滚珠轴承(未示出)得以保持在翼片500的中心轴上。
横向孔514是形成穿过主体510,以容纳一或多个磁铁506。横向孔514是垂直于翼片500的中心线而形成。当盖504插入到主体502的凹部528时,所述一或多个磁铁506会占据在横向孔514中。
在一个实施例中,所述一或多个磁铁506包括以线性配置来堆叠的数个磁铁。在图5的实施例中,所述一或多个磁铁506包括北极524、南极522以及一或多个堆叠在北极524与南极522之间的磁铁526。
图8A至图8B为阀主体800的另一实施例的俯视图与仰视图。尽管阀主体800可以由其它适当的材料制成,阀主体800大致上为单一的铝或陶瓷构件。阀主体800包括顶表面802与底表面804。第一孔810从顶表面802形成到主体800内。第一孔810是至少部分位于延伸部分806中。延伸部分806具有第一通道812(以虚线图示)形成穿过所述延伸部分。第一通道812的端部是用以将阀主体800连接到与远程等离子源262连通的导管。第一通道812的第二端是以T字形连接到第二通道824(也以虚线图示)内。第一孔810对齐于第一通道812,并且第一孔810的尺寸可使所述第一孔容纳翼片,以控制流经第一通道812到第二通道824的流体流动。数个螺纹化盲装设孔816是形成在阀主体800的第一侧802中,以将密封杯(未示出)保持到阀主体800。
阀主体800的第二侧804包括第二与第三孔818。第二与第三孔818在第一与第二通道812、814的交会处的两侧连通于第二通道824。一O-环沟槽820围绕各孔818,以允许导管从阀800延伸到腔室主体内而密封地被耦接到阀800。通过使用通过装设孔822(装设孔822形成穿过主体800)的固定件(未示出),可以压缩O-环以密封接头,所述接头耦接到第二与第三孔818。在第8A至图8B图所示的实施例中,四个装设孔822是与各孔818相关联。
现参照图8C的截面图,第二通道824可以在两端以插塞830来密封。插塞830可以被压嵌到、焊接到、黏结到、黏附到、旋扭到或以其它适当方式密封地耦接到主体800。
现参照图8D的截面图,第一孔810包括突壁832,突壁832是与翼片外主体接合且/或支撑轴承398的下轴承环397。翼片的阻流板延伸到孔810内并可以旋转,以控制流经第一通道812的流量。第一孔810的底部也可以包括穴520,以促进设置在翼片与主体800间的滚珠(未示出)留置。在使用轴承398的实施例中,突壁832可以包括数个凹处840,所述凹处840是配置以与从轴承398的下轴承环397延伸的突出部配合,使得在翼片500旋转时下轴承环397被固定到主体800。
再参照图1,凸缘支撑件299是同轴地耦接于远程等离子源262的出口,以允许压力感应器297侦测可表明远程等离子源262的出口压力的测度。感应器297的形式可以是压力计(manometer)、压力表计(pressuregage)或其它感应器,所述其它感应器适合获得可表明离开远程等离子源262的清洁试剂压力的测度。
图9是图示凸缘支撑件299的一个实施例。凸缘支撑件299包括入口902与两个出口904、906。入口902是耦接到远程等离子源262的出口,并且入口902经由主通道920(主通道920延伸穿过凸缘支撑件299)流体地耦接到第一出口904。第一出口904耦接到导管,所述导管从远程等离子源262提供清洁试剂到阀300与入口端口260。第二出口906是经由次通道922流体地耦接到主通道920,其中所述主通道920将入口902耦接到第一出口904。第二出口906是配置以接受感应器297。
在一个实施例中,凸缘支撑件299包括凸缘基座912、管件914、肘部916及凸缘918,凸缘基座912、管件914、肘部916及凸缘918组装成压力密封组件。在一个实施例中,凸缘基座912、管件914、肘部916及凸缘918是由铝或不锈钢制成并被焊接(例如通过连续焊接的方式)在一起。凸缘基座912包括圆柱形主体926,主通道920是形成穿过圆柱形主体926。圆柱形主体926具有主凸缘928于第一端与次凸缘930于第二端。
入口902是形成穿过次凸缘930,并且入口902被所述入口的表面934上的O-环沟槽932围绕。次凸缘930的表面934亦包括数个装设孔(未示出),所述装设孔在一个实施例中的形式是数个穿孔。
第一出口904是形成穿过主凸缘928。主凸缘928的表面936被光滑化以提供密封表面。主凸缘928的表面936亦包括数个装设孔(未示出),所述装设孔在一个实施例中的形式是数个穿孔。
圆柱形主体926包括孔938,孔938是穿设到主通道920内。在一个实施例中,孔938是形成为实质上垂直主体926的中心线,其中主体926的中心线是与主通道920的中心线共轴。
管件914是配置以通过将通道940与孔938流体地耦接的方式,而密封地耦接到圆柱形主体926,所述通道940经界定穿过管件914。在一个实施例中,管件914的第一端具有一端逐渐变细的形状或具有减小的外径,其中所述外径是插入孔938内以促进管件914与主体926的耦接。管件914的第二端可以具有一端逐渐变细的形状或具有减小的外径,其中所述外径是插入肘部916内以促进管件914与肘部916的耦接。
凸缘918包括圆柱形杆950,圆柱形杆950具有通道960形成穿过所述圆柱形杆。杆950的一个端具有唇部952。唇部952围绕端口954,其中所述端口954是界定第二出口906。端口954是配置以适于将感应器297耦接到凸缘支撑件299。
在一个实施例中,唇部952的表面956包括凹部958,凹部958与穿过杆950的通道960同心。唇部952的表面956可以具有实质上垂直通道960的中心线的方位。唇部952的背侧962可以在一端逐渐变细,以耦接接头(未示出),所述接头用来固定感应器297。在一个实施例中,唇部的背侧是与杆形成约205°的角度。形成穿过凸缘918的通道960、形成穿过肘部916的通道964、形成穿过管件914的通道940以及形成在凸缘基座912中的孔938是界定次通道922。
故,凸缘支撑件299允许来自远程等离子源262的清洁试剂的直接输送而具有最少的阻隔,其中所述阻隔会不利地促使再结合。此外,凸缘支撑件299促进在传统位置的感应器297的耦接,其中所述感应器297是远离配置到腔室顶部的其它装置。
尽管前述说明是着重在本发明的某些实施例,但是在不脱离本发明的基本范围下,可以设想出本发明的其它与进一步实施例,并且本发明的范围是由权利要求书所决定。

Claims (11)

1.一种工艺腔室,包含:
远程等离子源;
工艺腔室,具有至少两个工艺区域,各工艺区域包含:
基材支撑组件,设置在所述工艺区域中;
气体散布系统,配置以提供气体到所述基材支撑组件上方的所述工艺区域内;
气体通道,配置以提供气体到所述基材支撑组件下方的所述工艺区域内;
第一气体导管,配置以将清洁试剂从所述远程等离子源经由所述气体散布组件流入各所述工艺区域;以及
第二气体导管,配置以将来自所述第一气体导管的所述清洁试剂的一部分转向到各所述工艺区域的所述气体通道;以及
阀,所述阀控制所述第一气体导管与所述第二气体导管间的流量,所述阀包括:
可移动的翼片,该可移动的翼片具有阻流板;
至少一个磁铁,设置在所述翼片中;以及
耦接机构,能操作以将所述翼片在第一位置与第二位置之间旋转,在所述第一位置时所述阻流板阻隔流经所述主体的流动,在所述第二位置时所述阻流板允许流经所述主体的流动。
2.如权利要求1所述的工艺腔室,其中所述耦接机构是配置以通过磁性交互作用来旋转所述翼片。
3.一种基材处理系统,包含:
负载闭锁腔室;
传送腔室,耦接到所述负载闭锁腔室;
远程等离子源;
工艺腔室,耦接到所述传送腔室,其中所述工艺腔室包含:
腔室主体,具有至少一个第一工艺区域;
第一基材支撑组件,设置在所述第一工艺区域中;
第一气体散布组件,耦接到所述远程等离子源,并且所述第一气体散布组件是配置以从所述远程等离子源由所述基材支撑组件上方提供气体到所述第一工艺区域内;
气体通道,耦接到所述远程等离子源,并且所述气体通道是配置以从所述远程等离子源由所述基材支撑组件下方提供气体到所述第一工艺区域内;以及
阀,具有入口与至少一个出口,所述入口耦接到所述远程等离子源,所述至少一个出口耦接到所述第一气体通道和所述第二气体通道,其中所述阀包含:
可移动的翼片,该可移动的翼片具有阻流板;以及
耦接机构,能操作以将所述翼片在第一位置与第二位置之间旋转,在所述第一位置时所述阻流板能阻隔流经所述阀的流动,在所述第二位置时所述阻流板能允许气体通过所述阀。
4.如权利要求3所述的基材处理系统,其中所述腔室主体进一步包含:
第二工艺区域;
第二基材支撑组件,设置在所述第二工艺区域中;
第二气体散布组件,耦接到所述远程等离子源,并且所述第二气体散布组件是配置以从所述远程等离子源由所述基材支撑组件上方提供气体到所述第二工艺区域内;以及
第二气体通道,耦接到所述远程等离子源,并且所述第二气体通道是配置以从所述远程等离子源由所述基材支撑组件下方提供气体到所述第二工艺区域内。
5.如权利要求4所述的基材处理系统,其中所述第一气体通道与所述第二气体通道是被定位用以产生流到内部容积的实质向内气流。
6.如权利要求3所述的基材处理系统,其中所述耦接机构是配置以通过磁性交互作用来旋转所述翼片。
7.一种用以供应等离子到工艺腔室的方法,所述方法包含:
提供远程等离子源;
使第一体积的清洁试剂从所述远程等离子源经由所述工艺腔室的顶部流入所述工艺腔室的内部容积中;以及
使用一阀,将经由所述工艺腔室的顶部所提供的清洁试剂的一部分转向到位于基材支撑组件下方的端口,使第二体积的清洁试剂从所述端口流入所述内部容积,所述阀连接到介于所述远程等离子源和位于所述基材支撑组件下方的所述端口之间的流量通路,其中所述阀包括:
可移动的翼片,该可移动的翼片具有阻流板;
至少一个磁铁,设置在所述翼片中;以及
耦接机构,能操作以将所述翼片在第一位置与第二位置之间旋转,在所述第一位置时所述阻流板阻隔流经所述主体的流动,在所述第二位置时所述阻流板允许流经所述主体的流动。
8.如权利要求7所述的方法,其中所述第二体积的清洁试剂是由所述远程等离子源来提供。
9.如权利要求7所述的方法,其中所述清洁试剂包含下述气体中的至少一者:NF3、F2、SF6、Cl2、CF4、C2F6、CCl4或C2Cl6,并且其中使所述第一体积的清洁试剂与所述第二体积的清洁试剂流入是同时进行。
10.如权利要求7所述的方法,其中使所述清洁试剂从所述远程等离子源经由所述工艺腔室的所述顶部流入所述工艺腔室的所述内部容积包含:使所述清洁试剂从所述远程等离子源经由第一气体导管并接着经由气体散布系统流入,所述气体散布系统设置在所述内部容积的顶部处。
11.如权利要求7所述的方法,其中操作所述阀以在所述阀位于完全开启状态时,将所述远程等离子源所供应的总清洁试剂量的少于约50%转向到所述端口。
CN2013100550647A 2008-06-19 2009-05-28 供应清洁气体至工艺腔室的方法和系统 Pending CN103170478A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US12/142,402 2008-06-19
US12/142,402 US7699935B2 (en) 2008-06-19 2008-06-19 Method and system for supplying a cleaning gas into a process chamber

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
CN2009801243915A Division CN102067279B (zh) 2008-06-19 2009-05-28 供应清洁气体至工艺腔室的方法和系统

Publications (1)

Publication Number Publication Date
CN103170478A true CN103170478A (zh) 2013-06-26

Family

ID=41429991

Family Applications (2)

Application Number Title Priority Date Filing Date
CN2009801243915A Expired - Fee Related CN102067279B (zh) 2008-06-19 2009-05-28 供应清洁气体至工艺腔室的方法和系统
CN2013100550647A Pending CN103170478A (zh) 2008-06-19 2009-05-28 供应清洁气体至工艺腔室的方法和系统

Family Applications Before (1)

Application Number Title Priority Date Filing Date
CN2009801243915A Expired - Fee Related CN102067279B (zh) 2008-06-19 2009-05-28 供应清洁气体至工艺腔室的方法和系统

Country Status (6)

Country Link
US (5) US7699935B2 (zh)
JP (1) JP5538376B2 (zh)
KR (1) KR101543991B1 (zh)
CN (2) CN102067279B (zh)
TW (1) TW201009977A (zh)
WO (1) WO2009155028A1 (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN107532297A (zh) * 2015-04-22 2018-01-02 应用材料公司 具有漏斗状气体分散通道及气体分配板的原子层沉积腔室
CN114975066A (zh) * 2022-07-25 2022-08-30 拓荆科技(北京)有限公司 沉积腔室及沉积腔室清洁方法

Families Citing this family (299)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7699935B2 (en) 2008-06-19 2010-04-20 Applied Materials, Inc. Method and system for supplying a cleaning gas into a process chamber
WO2010042410A2 (en) 2008-10-07 2010-04-15 Applied Materials, Inc. Apparatus for efficient removal of halogen residues from etched substrates
KR101924488B1 (ko) * 2010-05-12 2018-12-03 어플라이드 머티어리얼스, 인코포레이티드 프로세스 공간이 한정된 pecvd 챔버
WO2011159690A2 (en) 2010-06-15 2011-12-22 Applied Materials, Inc. Multiple precursor showerhead with by-pass ports
WO2012112187A1 (en) * 2011-02-15 2012-08-23 Applied Materials, Inc. Method and apparatus for multizone plasma generation
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
KR101312922B1 (ko) * 2011-12-14 2013-10-01 주식회사 테스 플라즈마 처리장치 및 처리방법
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8772055B1 (en) 2013-01-16 2014-07-08 Applied Materials, Inc. Multizone control of lamps in a conical lamphead using pyrometers
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
WO2014178160A1 (ja) * 2013-04-30 2014-11-06 東京エレクトロン株式会社 成膜装置
US10672591B2 (en) * 2013-06-21 2020-06-02 Applied Materials, Inc. Apparatus for removing particles from a twin chamber processing system
US9490149B2 (en) * 2013-07-03 2016-11-08 Lam Research Corporation Chemical deposition apparatus having conductance control
US20150030766A1 (en) * 2013-07-25 2015-01-29 Novellus Systems, Inc. Pedestal bottom clean for improved fluorine utilization and integrated symmetric foreline
KR102167594B1 (ko) 2013-12-04 2020-10-19 삼성전자주식회사 기판 처리 방법 및 이를 수행하기 위한 장치
CN106030755B (zh) * 2014-03-06 2020-01-03 应用材料公司 含有重原子的化合物的等离子体减量
JP5764228B1 (ja) * 2014-03-18 2015-08-12 株式会社日立国際電気 基板処理装置、半導体装置の製造方法、プログラム及び記録媒体
JP2015201646A (ja) 2014-04-07 2015-11-12 ラム リサーチ コーポレーションLam Research Corporation 構成独立型のガス供給システム
US9580360B2 (en) 2014-04-07 2017-02-28 Lam Research Corporation Monolithic ceramic component of gas delivery system and method of making and use thereof
US9460915B2 (en) * 2014-09-12 2016-10-04 Lam Research Corporation Systems and methods for reducing backside deposition and mitigating thickness changes at substrate edges
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US10557197B2 (en) 2014-10-17 2020-02-11 Lam Research Corporation Monolithic gas distribution manifold and various construction techniques and use cases therefor
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10022689B2 (en) 2015-07-24 2018-07-17 Lam Research Corporation Fluid mixing hub for semiconductor processing tool
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10118263B2 (en) 2015-09-02 2018-11-06 Lam Researech Corporation Monolithic manifold mask and substrate concepts
US9581087B1 (en) * 2015-09-17 2017-02-28 Siemens Energy, Inc. Method and system for thermal expansion compensation in heated flow characterization
KR102477302B1 (ko) * 2015-10-05 2022-12-13 주성엔지니어링(주) 배기가스 분해기를 가지는 기판처리장치 및 그 배기가스 처리방법
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10215317B2 (en) 2016-01-15 2019-02-26 Lam Research Corporation Additively manufactured gas distribution manifold
US9879795B2 (en) 2016-01-15 2018-01-30 Lam Research Corporation Additively manufactured gas distribution manifold
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
CN105650288B (zh) * 2016-03-25 2018-11-16 上海交通大学 泵阀一体流量主动控制装置及控制方法
US10770272B2 (en) * 2016-04-11 2020-09-08 Applied Materials, Inc. Plasma-enhanced anneal chamber for wafer outgassing
US10428426B2 (en) * 2016-04-22 2019-10-01 Applied Materials, Inc. Method and apparatus to prevent deposition rate/thickness drift, reduce particle defects and increase remote plasma system lifetime
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
KR102156390B1 (ko) * 2016-05-20 2020-09-16 어플라이드 머티어리얼스, 인코포레이티드 반도체 처리를 위한 가스 분배 샤워헤드
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9797521B1 (en) * 2016-08-09 2017-10-24 Edward P Davis Rotary magnetic coupling actuated valve with external magnets and internal magnetic flux path
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
WO2018154577A1 (en) * 2017-02-22 2018-08-30 Ham-Let (Israel - Canada ) Ltd. Encapsulated leak-proof valve with permanent magnet actuator
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
CN107056580B (zh) * 2017-05-05 2020-10-30 乐山师范学院 一种电离制备全氯乙烷和全氯丁烷的方法
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10998172B2 (en) * 2017-09-22 2021-05-04 Applied Materials, Inc. Substrate processing chamber having improved process volume sealing
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
CN111194474A (zh) * 2017-09-29 2020-05-22 应用材料公司 双端口远程等离子清洁隔离阀
CN111164730B (zh) 2017-09-29 2023-08-15 应用材料公司 关闭机构真空腔室隔离装置和子系统
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
CN109868459B (zh) * 2017-12-05 2022-11-25 北京北方华创微电子装备有限公司 一种半导体设备
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN116732497A (zh) 2018-02-14 2023-09-12 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
JP6990121B2 (ja) * 2018-03-06 2022-01-12 株式会社Screenホールディングス 基板処理装置
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US10844490B2 (en) * 2018-06-11 2020-11-24 Hermes-Epitek Corp. Vapor phase film deposition apparatus
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
TW202405221A (zh) 2018-06-27 2024-02-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR102707956B1 (ko) 2018-09-11 2024-09-19 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
JP6906490B2 (ja) * 2018-09-14 2021-07-21 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法およびプログラム
US11270898B2 (en) * 2018-09-16 2022-03-08 Applied Materials, Inc. Apparatus for enhancing flow uniformity in a process chamber
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
CN110899271B (zh) * 2018-09-17 2021-10-15 北京北方华创微电子装备有限公司 远程等离子源的调整装置及远程等离子源清洗系统
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
CN110970344B (zh) 2018-10-01 2024-10-25 Asmip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
CN109943829A (zh) * 2018-11-16 2019-06-28 黄剑鸣 一种rpecvd的多室沉積系统
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
TWI845607B (zh) 2019-02-20 2024-06-21 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
TWI842826B (zh) 2019-02-22 2024-05-21 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
KR20210010817A (ko) 2019-07-19 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 토폴로지-제어된 비정질 탄소 중합체 막을 형성하는 방법
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
US11631571B2 (en) 2019-08-12 2023-04-18 Kurt J. Lesker Company Ultra high purity conditions for atomic scale processing
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP7026086B2 (ja) * 2019-09-25 2022-02-25 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法及び基板処理装置の洗浄方法
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
TWI846953B (zh) 2019-10-08 2024-07-01 荷蘭商Asm Ip私人控股有限公司 基板處理裝置
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11236424B2 (en) * 2019-11-01 2022-02-01 Applied Materials, Inc. Process kit for improving edge film thickness uniformity on a substrate
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP7527928B2 (ja) 2019-12-02 2024-08-05 エーエスエム・アイピー・ホールディング・ベー・フェー 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
CN111107324A (zh) * 2019-12-31 2020-05-05 上海陛通半导体能源科技股份有限公司 晶圆传输系统的监控装置及其监控方法
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
JP2021111783A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー チャネル付きリフトピン
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210093163A (ko) 2020-01-16 2021-07-27 에이에스엠 아이피 홀딩 비.브이. 고 종횡비 피처를 형성하는 방법
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
KR20210127620A (ko) 2020-04-13 2021-10-22 에이에스엠 아이피 홀딩 비.브이. 질소 함유 탄소 막을 형성하는 방법 및 이를 수행하기 위한 시스템
KR20210128343A (ko) 2020-04-15 2021-10-26 에이에스엠 아이피 홀딩 비.브이. 크롬 나이트라이드 층을 형성하는 방법 및 크롬 나이트라이드 층을 포함하는 구조
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
JP2021172884A (ja) 2020-04-24 2021-11-01 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム含有層を形成する方法および窒化バナジウム含有層を含む構造体
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
TW202147543A (zh) 2020-05-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 半導體處理系統
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202146699A (zh) 2020-05-15 2021-12-16 荷蘭商Asm Ip私人控股有限公司 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202212620A (zh) 2020-06-02 2022-04-01 荷蘭商Asm Ip私人控股有限公司 處理基板之設備、形成膜之方法、及控制用於處理基板之設備之方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
TW202229601A (zh) 2020-08-27 2022-08-01 荷蘭商Asm Ip私人控股有限公司 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
KR20220045900A (ko) 2020-10-06 2022-04-13 에이에스엠 아이피 홀딩 비.브이. 실리콘 함유 재료를 증착하기 위한 증착 방법 및 장치
CN114293174A (zh) 2020-10-07 2022-04-08 Asm Ip私人控股有限公司 气体供应单元和包括气体供应单元的衬底处理设备
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11881416B2 (en) * 2020-12-14 2024-01-23 Applied Materials, Inc. Gas delivery system for a shared gas delivery architecture
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202226899A (zh) 2020-12-22 2022-07-01 荷蘭商Asm Ip私人控股有限公司 具匹配器的電漿處理裝置
TW202242184A (zh) 2020-12-22 2022-11-01 荷蘭商Asm Ip私人控股有限公司 前驅物膠囊、前驅物容器、氣相沉積總成、及將固態前驅物裝載至前驅物容器中之方法
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US12012653B2 (en) * 2021-03-23 2024-06-18 Applied Materials, Inc. Cleaning assemblies for substrate processing chambers
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
KR20230098062A (ko) * 2021-12-23 2023-07-03 에이에스엠 아이피 홀딩 비.브이. 에피택셜 반응기 시스템 및 이를 사용하는 방법
CN114574837B (zh) * 2022-03-07 2023-03-21 苏州迈为科技股份有限公司 等离子体工艺设备中用于解决寄生等离子体的结构及方法
US12014902B2 (en) 2022-08-15 2024-06-18 Applied Materials, Inc. System and method of cleaning process chamber components
KR102612086B1 (ko) * 2022-09-05 2023-12-11 주식회사 셀비드 파티클 프리 원격플라즈마소스 차단밸브

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030079757A1 (en) * 2001-03-22 2003-05-01 Koji Shibata Method of cleaning cvd device and cleaning device therefor
US20040055636A1 (en) * 2001-06-29 2004-03-25 Applied Materials Inc. Method and apparatus for fluid flow control
US20070207275A1 (en) * 2006-02-21 2007-09-06 Applied Materials, Inc. Enhancement of remote plasma source clean for dielectric films
CN102067279B (zh) * 2008-06-19 2013-03-27 应用材料公司 供应清洁气体至工艺腔室的方法和系统

Family Cites Families (56)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3134404A (en) * 1961-02-27 1964-05-26 William B Jaspert Electro-magnetically operated floating armature valves
US3347262A (en) * 1965-09-22 1967-10-17 Mark Associates Inc Magnet actuated sealed valve
US3665958A (en) * 1968-09-16 1972-05-30 Gpe Controls Inc Vent closure device
US3747892A (en) * 1972-01-27 1973-07-24 Steinen Mfg Co Wm Magnetic valve
US3826545A (en) * 1972-05-24 1974-07-30 Borden Corp Readily assembled temperature compensated dual angular contact bearing assembly
FR2260041B1 (zh) * 1974-02-06 1976-10-08 Amri
US4106825A (en) * 1976-12-13 1978-08-15 Autoclave Engineers, Inc. High pressure magnetic drive including magnetic thrust bearings
US4146206A (en) * 1977-03-11 1979-03-27 Garlock Inc. Valve closure member thrust and load compensator
CA1092589A (en) * 1978-11-21 1980-12-30 Patrick M. Taiani Torque transmitting assembly for rotary valve member
US4284262A (en) * 1979-05-17 1981-08-18 Autoclave Engineers, Inc. Magnetically actuated stopper valve
US4327892A (en) * 1980-09-08 1982-05-04 Autoclave Engineers, Inc. Normally magnetically actuated valve with novel nonmagnetic override
US4384703A (en) * 1981-01-21 1983-05-24 Autoclave Engineers, Inc. Handle for magnetically actuated valve
DE3814359C2 (de) * 1988-04-28 1994-04-28 Cornelius Dipl Ing Lungu Magnetventil mit permanentmagnetischer Schließkraft
CN1014174B (zh) * 1989-06-01 1991-10-02 毛沛琦 流体管道的磁控开关
US4936289A (en) * 1989-02-21 1990-06-26 Peterson George A Usage responsive hot water recirculation system
US5083744A (en) * 1991-03-08 1992-01-28 Morotta Scientific Controls, Inc. Motor-operated valve
GB9113963D0 (en) * 1991-06-28 1991-08-14 Alpha Thames Eng Valve actuator
US5301848A (en) * 1991-10-18 1994-04-12 Conrad Larry M Electromagnetic meter for closed dispensing container
US5609721A (en) * 1994-03-11 1997-03-11 Fujitsu Limited Semiconductor device manufacturing apparatus and its cleaning method
JP2788424B2 (ja) * 1994-05-02 1998-08-20 韓國原子力研究所 無漏れ磁気動力伝達装置型バルブ
JPH0893931A (ja) * 1994-09-22 1996-04-12 Tokyo Kakoki Kk 流体の給排出量調節機
JP3279919B2 (ja) 1996-05-14 2002-04-30 東京応化工業株式会社 同時放電化装置
CA2220308A1 (en) * 1996-11-05 1998-05-05 Douglas P. Arduini A smart automatic safety valve having remote electromagnetic shut-off protection and reset control from seismic or other sensors
US6433448B1 (en) * 1998-11-17 2002-08-13 Eaton Corporation Integrated torque motor and throttle body
KR100467082B1 (ko) * 2000-03-02 2005-01-24 주성엔지니어링(주) 반도체소자 제조장치 및 그 클리닝방법
JP2002025914A (ja) * 2000-07-04 2002-01-25 Hitachi Ltd 基板処理装置
US6835278B2 (en) * 2000-07-07 2004-12-28 Mattson Technology Inc. Systems and methods for remote plasma clean
JP2002057106A (ja) 2000-08-08 2002-02-22 Tokyo Electron Ltd 処理装置のクリーニング方法及び処理装置
US6648012B2 (en) * 2001-06-13 2003-11-18 Applied Materials, Inc. Non-return valve override device
US6710489B1 (en) * 2001-08-30 2004-03-23 Indigo Energy, Inc. Axially free flywheel system
JP2003086672A (ja) 2001-09-06 2003-03-20 Applied Materials Inc リフロー方法及び装置、並びに、膜形成方法及び装置
WO2003065424A2 (en) * 2002-01-25 2003-08-07 Applied Materials, Inc. Apparatus for cyclical deposition of thin films
JP2003264186A (ja) * 2002-03-11 2003-09-19 Asm Japan Kk Cvd装置処理室のクリーニング方法
JP3527915B2 (ja) * 2002-03-27 2004-05-17 株式会社ルネサステクノロジ Cvd装置およびそれを用いたcvd装置のクリーニング方法
JP3855081B2 (ja) 2002-07-01 2006-12-06 株式会社日立国際電気 フッ素ガスによるクリーニング機構を備えたcvd装置およびcvd装置のフッ素ガスによるクリーニング方法
DE20302274U1 (de) * 2003-02-12 2004-06-24 Voss Automotive Gmbh Anbausatz für ein Fahrzeug-Luftfedersystem mit einem zuschaltbaren Zusatz-Luftfedervolumen
TWI265380B (en) * 2003-05-06 2006-11-01 Asml Netherlands Bv Lithographic projection apparatus
JP3940095B2 (ja) 2003-05-08 2007-07-04 忠弘 大見 基板処理装置
JP2005072446A (ja) * 2003-08-27 2005-03-17 Chi Mei Electronics Corp プラズマ処理装置及び基板の表面処理装置
JP2005090419A (ja) * 2003-09-19 2005-04-07 Denso Corp 内燃機関用スロットル装置
US7622005B2 (en) 2004-05-26 2009-11-24 Applied Materials, Inc. Uniformity control for low flow process and chamber to chamber matching
US7572337B2 (en) 2004-05-26 2009-08-11 Applied Materials, Inc. Blocker plate bypass to distribute gases in a chemical vapor deposition system
US7628863B2 (en) 2004-08-03 2009-12-08 Applied Materials, Inc. Heated gas box for PECVD applications
JP2006128485A (ja) * 2004-10-29 2006-05-18 Asm Japan Kk 半導体処理装置
US20060090773A1 (en) 2004-11-04 2006-05-04 Applied Materials, Inc. Sulfur hexafluoride remote plasma source clean
US7198677B2 (en) * 2005-03-09 2007-04-03 Wafermasters, Inc. Low temperature wafer backside cleaning
US7534469B2 (en) * 2005-03-31 2009-05-19 Asm Japan K.K. Semiconductor-processing apparatus provided with self-cleaning device
US20060249175A1 (en) 2005-05-09 2006-11-09 Applied Materials, Inc. High efficiency UV curing system
US7387291B2 (en) * 2005-05-16 2008-06-17 Honeywell International Inc. Collapsible shaft and control assembly
US20060266288A1 (en) * 2005-05-27 2006-11-30 Applied Materials, Inc. High plasma utilization for remote plasma clean
GB0516500D0 (en) * 2005-08-11 2005-09-14 Active Technologies Ltd Valve assembly
US7884032B2 (en) * 2005-10-28 2011-02-08 Applied Materials, Inc. Thin film deposition
US7678662B2 (en) * 2005-12-13 2010-03-16 Applied Materials, Inc. Memory cell having stressed layers
JP4739128B2 (ja) * 2006-06-28 2011-08-03 愛三工業株式会社 吸気制御弁
US7527069B2 (en) * 2006-08-28 2009-05-05 Honeywell International Inc. Electromagnetic split flapper pressure relief valve
US9185856B1 (en) * 2014-06-28 2015-11-17 Frank August Barcatta Liquid flow rate modulator

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030079757A1 (en) * 2001-03-22 2003-05-01 Koji Shibata Method of cleaning cvd device and cleaning device therefor
US20040055636A1 (en) * 2001-06-29 2004-03-25 Applied Materials Inc. Method and apparatus for fluid flow control
US20070207275A1 (en) * 2006-02-21 2007-09-06 Applied Materials, Inc. Enhancement of remote plasma source clean for dielectric films
CN102067279B (zh) * 2008-06-19 2013-03-27 应用材料公司 供应清洁气体至工艺腔室的方法和系统

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN107532297A (zh) * 2015-04-22 2018-01-02 应用材料公司 具有漏斗状气体分散通道及气体分配板的原子层沉积腔室
US11384432B2 (en) 2015-04-22 2022-07-12 Applied Materials, Inc. Atomic layer deposition chamber with funnel-shaped gas dispersion channel and gas distribution plate
US11932939B2 (en) 2015-04-22 2024-03-19 Applied Materials, Inc. Lids and lid assembly kits for atomic layer deposition chambers
CN114975066A (zh) * 2022-07-25 2022-08-30 拓荆科技(北京)有限公司 沉积腔室及沉积腔室清洁方法
CN114975066B (zh) * 2022-07-25 2022-10-25 拓荆科技(北京)有限公司 沉积腔室清洁方法

Also Published As

Publication number Publication date
JP2011525054A (ja) 2011-09-08
JP5538376B2 (ja) 2014-07-02
US10094486B2 (en) 2018-10-09
TW201009977A (en) 2010-03-01
KR20110018458A (ko) 2011-02-23
US20100012273A1 (en) 2010-01-21
CN102067279A (zh) 2011-05-18
WO2009155028A1 (en) 2009-12-23
US20130213574A1 (en) 2013-08-22
US20090314309A1 (en) 2009-12-24
US7699935B2 (en) 2010-04-20
US9206511B2 (en) 2015-12-08
US8591699B2 (en) 2013-11-26
CN102067279B (zh) 2013-03-27
US20160084400A1 (en) 2016-03-24
KR101543991B1 (ko) 2015-08-13
US20140076236A1 (en) 2014-03-20

Similar Documents

Publication Publication Date Title
CN102067279B (zh) 供应清洁气体至工艺腔室的方法和系统
CN104278254B (zh) 多充气室的双温喷头
TW552626B (en) Chemical vapor deposition reactor
CN102308381B (zh) 非接触性基板处理
US20150030766A1 (en) Pedestal bottom clean for improved fluorine utilization and integrated symmetric foreline
KR102269479B1 (ko) 시간적 원자 층 증착 프로세싱 챔버
KR100902330B1 (ko) 반도체공정장치
CN107438896B (zh) 基板处理装置
CN208923035U (zh) 基板传送装置和基板处理装置
WO2007016701A2 (en) Deposition apparatus for semiconductor processing
US5613364A (en) Compact replaceable temperature control module
WO2023124250A1 (zh) 清洗装置和清洗系统
CN113728424A (zh) 具有对于晶片的空间性可调谐rf耦合的静电卡盘
US20220223367A1 (en) Reduced substrate process chamber cavity volume
JP2022543570A (ja) 分離バルブ
CN107978545A (zh) 晶片舟组件及包含晶片舟组件的衬底处理设备
US20220130649A1 (en) Semiconductor processing chamber architecture for higher throughput and faster transition time
US12043896B2 (en) Symmetric pump down mini-volume with laminar flow cavity gas injection for high and low pressure
CN209762555U (zh) 一种设有加强结构和隔离层的内衬式防腐管件
CN109075109A (zh) 全区域逆流热交换基板支撑件
US20240234167A1 (en) Modular precursor delivery and splitting for fast switching
KR101205417B1 (ko) 화학기상증착장치

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C05 Deemed withdrawal (patent law before 1993)
WD01 Invention patent application deemed withdrawn after publication

Application publication date: 20130626