JP5538376B2 - 洗浄ガスをプロセスチャンバーに供給するための方法およびシステム - Google Patents

洗浄ガスをプロセスチャンバーに供給するための方法およびシステム Download PDF

Info

Publication number
JP5538376B2
JP5538376B2 JP2011514671A JP2011514671A JP5538376B2 JP 5538376 B2 JP5538376 B2 JP 5538376B2 JP 2011514671 A JP2011514671 A JP 2011514671A JP 2011514671 A JP2011514671 A JP 2011514671A JP 5538376 B2 JP5538376 B2 JP 5538376B2
Authority
JP
Japan
Prior art keywords
gas
plasma source
remote plasma
valve
processing region
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2011514671A
Other languages
English (en)
Other versions
JP2011525054A (ja
Inventor
ランプラカッシュ サンカラクリシュナン,
ボワ, デール デュ
ガネシュ バラサブラマニアン,
カーティック ジャナキラマン,
フアン カルロス ロチャ−アルバレス,
トーマス ノワック,
ヴィスウェスウォレン シヴァラマクリシュナン,
ハイチェム マサード,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2011525054A publication Critical patent/JP2011525054A/ja
Application granted granted Critical
Publication of JP5538376B2 publication Critical patent/JP5538376B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B5/00Cleaning by methods involving the use of air flow or gas flow
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F16ENGINEERING ELEMENTS AND UNITS; GENERAL MEASURES FOR PRODUCING AND MAINTAINING EFFECTIVE FUNCTIONING OF MACHINES OR INSTALLATIONS; THERMAL INSULATION IN GENERAL
    • F16KVALVES; TAPS; COCKS; ACTUATING-FLOATS; DEVICES FOR VENTING OR AERATING
    • F16K31/00Actuating devices; Operating means; Releasing devices
    • F16K31/02Actuating devices; Operating means; Releasing devices electric; magnetic
    • F16K31/06Actuating devices; Operating means; Releasing devices electric; magnetic using a magnet, e.g. diaphragm valves, cutting off by means of a liquid
    • F16K31/0644One-way valve
    • F16K31/0655Lift valves
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B7/00Cleaning by methods not provided for in a single other subclass or a single group in this subclass
    • B08B7/0021Cleaning by methods not provided for in a single other subclass or a single group in this subclass by liquid gases or supercritical fluids
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4409Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber characterised by sealing means
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45561Gas plumbing upstream of the reaction chamber
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F16ENGINEERING ELEMENTS AND UNITS; GENERAL MEASURES FOR PRODUCING AND MAINTAINING EFFECTIVE FUNCTIONING OF MACHINES OR INSTALLATIONS; THERMAL INSULATION IN GENERAL
    • F16KVALVES; TAPS; COCKS; ACTUATING-FLOATS; DEVICES FOR VENTING OR AERATING
    • F16K1/00Lift valves or globe valves, i.e. cut-off apparatus with closure members having at least a component of their opening and closing motion perpendicular to the closing faces
    • F16K1/16Lift valves or globe valves, i.e. cut-off apparatus with closure members having at least a component of their opening and closing motion perpendicular to the closing faces with pivoted closure-members
    • F16K1/18Lift valves or globe valves, i.e. cut-off apparatus with closure members having at least a component of their opening and closing motion perpendicular to the closing faces with pivoted closure-members with pivoted discs or flaps
    • F16K1/22Lift valves or globe valves, i.e. cut-off apparatus with closure members having at least a component of their opening and closing motion perpendicular to the closing faces with pivoted closure-members with pivoted discs or flaps with axis of rotation crossing the valve member, e.g. butterfly valves
    • F16K1/221Lift valves or globe valves, i.e. cut-off apparatus with closure members having at least a component of their opening and closing motion perpendicular to the closing faces with pivoted closure-members with pivoted discs or flaps with axis of rotation crossing the valve member, e.g. butterfly valves specially adapted operating means therefor
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F16ENGINEERING ELEMENTS AND UNITS; GENERAL MEASURES FOR PRODUCING AND MAINTAINING EFFECTIVE FUNCTIONING OF MACHINES OR INSTALLATIONS; THERMAL INSULATION IN GENERAL
    • F16KVALVES; TAPS; COCKS; ACTUATING-FLOATS; DEVICES FOR VENTING OR AERATING
    • F16K1/00Lift valves or globe valves, i.e. cut-off apparatus with closure members having at least a component of their opening and closing motion perpendicular to the closing faces
    • F16K1/16Lift valves or globe valves, i.e. cut-off apparatus with closure members having at least a component of their opening and closing motion perpendicular to the closing faces with pivoted closure-members
    • F16K1/18Lift valves or globe valves, i.e. cut-off apparatus with closure members having at least a component of their opening and closing motion perpendicular to the closing faces with pivoted closure-members with pivoted discs or flaps
    • F16K1/22Lift valves or globe valves, i.e. cut-off apparatus with closure members having at least a component of their opening and closing motion perpendicular to the closing faces with pivoted closure-members with pivoted discs or flaps with axis of rotation crossing the valve member, e.g. butterfly valves
    • F16K1/224Details of bearings for the axis of rotation
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F16ENGINEERING ELEMENTS AND UNITS; GENERAL MEASURES FOR PRODUCING AND MAINTAINING EFFECTIVE FUNCTIONING OF MACHINES OR INSTALLATIONS; THERMAL INSULATION IN GENERAL
    • F16KVALVES; TAPS; COCKS; ACTUATING-FLOATS; DEVICES FOR VENTING OR AERATING
    • F16K31/00Actuating devices; Operating means; Releasing devices
    • F16K31/02Actuating devices; Operating means; Releasing devices electric; magnetic
    • F16K31/06Actuating devices; Operating means; Releasing devices electric; magnetic using a magnet, e.g. diaphragm valves, cutting off by means of a liquid
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F16ENGINEERING ELEMENTS AND UNITS; GENERAL MEASURES FOR PRODUCING AND MAINTAINING EFFECTIVE FUNCTIONING OF MACHINES OR INSTALLATIONS; THERMAL INSULATION IN GENERAL
    • F16KVALVES; TAPS; COCKS; ACTUATING-FLOATS; DEVICES FOR VENTING OR AERATING
    • F16K31/00Actuating devices; Operating means; Releasing devices
    • F16K31/02Actuating devices; Operating means; Releasing devices electric; magnetic
    • F16K31/06Actuating devices; Operating means; Releasing devices electric; magnetic using a magnet, e.g. diaphragm valves, cutting off by means of a liquid
    • F16K31/08Actuating devices; Operating means; Releasing devices electric; magnetic using a magnet, e.g. diaphragm valves, cutting off by means of a liquid using a permanent magnet
    • F16K31/086Actuating devices; Operating means; Releasing devices electric; magnetic using a magnet, e.g. diaphragm valves, cutting off by means of a liquid using a permanent magnet the magnet being movable and actuating a second magnet connected to the closing element
    • F16K31/088Actuating devices; Operating means; Releasing devices electric; magnetic using a magnet, e.g. diaphragm valves, cutting off by means of a liquid using a permanent magnet the magnet being movable and actuating a second magnet connected to the closing element the movement of the first magnet being a rotating or pivoting movement
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/905Cleaning of reaction chamber

Description

本発明の実施形態は一般に、基板処理装置のプロセスチャンバーを洗浄するための装置および方法に関する。より詳しくは、本発明の実施形態は、堆積のために使用されるプロセスチャンバーを洗浄するための装置および方法に関する。
多数の堆積ステップがプロセスチャンバーで行われた後、プロセスチャンバーは、チャンバー壁に形成された可能性がある望ましくない堆積残留物を除去するために洗浄を必要とすることもある。現在の化学的気相堆積(CVD)またはプラズマ強化化学的気相堆積(PECVD)プロセスチャンバーを洗浄するための1つの従来の手法は、プロセスチャンバーから分離した遠隔プラズマ源(RPS)から供給される洗浄プラズマを使用することである。RPSは、プロセスチャンバーに据え付けられたガスボックス、ガスマニホールド、およびガス分配システムを含むガス循環ハードウェアを介して堆積チャンバーに流される、フッ素をベースとする洗浄ガスから通常形成される洗浄プラズマを提供する。
洗浄の間により高いエッチング速度を得るために、洗浄プラズマは通常、原子状フッ素ラジカルから成る活性体で供給される。しかしながら、RPSから堆積チャンバーへの複雑な輸送経路は通常、より低いエッチング速度を有する分子状ガスへの原子状フッ素ラジカルの早すぎる再結合をもたらす。その結果、たとえ洗浄ガスの前駆体解離効率が高くても、洗浄効率は、低い可能性がある。さらに、300mmプロセスチャンバーなどの、大きい容積および入り組んだ幾何学形状を有するチャンバーについては、チャンバー排気ポートは通常、洗浄ガスをチャンバーに配送するために利用されるシャワーヘッドに接近している。従って、シャワーヘッドと排気ポートとの間に位置決めされる基板支持アセンブリの真下でのガスの循環不足は、基板支持アセンブリの真下での洗浄効率の減少をもたらす。
従って、堆積チャンバーを洗浄するための改善された装置および方法への必要性がある。
プロセスチャンバーを洗浄するための方法および装置が提供される。一実施形態では、遠隔プラズマ源と少なくとも2つの処理領域を有するプロセスチャンバーとを包含するプロセスチャンバーが提供される。各処理領域は、処理領域に配置された基板支持アセンブリと、ガスを基板支持アセンブリの上方の処理領域に提供するように構成されたガス分配システムと、ガスを基板支持アセンブリの下方の処理領域に提供するように構成されたガス通路とを包含する。第1のガス導管は、遠隔プラズマ源からガス分配アセンブリを通って各処理領域に洗浄剤を流すように構成され、一方第2のガス導管は、洗浄剤の一部分を第1のガス導管から各処理領域のガス通路に振り向けるように構成される。
別の実施形態では、ロードロックチャンバーと、ロードロックチャンバーに結合された移送チャンバーと、遠隔プラズマ源と、移送チャンバーに結合されたプロセスチャンバーとを包含する基板処理システムが提供される。プロセスチャンバーは、少なくとも第1の処理領域を有するチャンバー胴体と、第1の処理領域に配置された第1の基板支持アセンブリと、遠隔プラズマ源に結合され、ガスを遠隔プラズマ源から第1の処理領域に基板支持アセンブリの上方から提供するように構成された第1のガス分配アセンブリと、遠隔プラズマ源に結合され、ガスを遠隔プラズマ源から第1の処理領域に基板支持アセンブリの下方から提供するように構成されたガス通路とを包含する。
別の実施形態では、処理ガスをプロセスチャンバーに供給するための方法が、開示される。その方法は、プラズマ源を提供するステップと、第1の容積の洗浄剤をプラズマ源からプロセスチャンバーの上部を通ってプロセスチャンバーの内部容積部に流すステップと、第2の容積の洗浄剤を内部容積部に基板支持アセンブリの下方から流すステップとを含む。
本発明の上に列挙された特徴が詳細に理解できるように、上で簡潔に要約された本発明のより詳しい説明が、実施形態を参照してなされてもよく、それのいくつかは、添付の図面で例示される。しかしながら、本発明は、他の同等に有効な実施形態を認めてもよいので、添付の図面は、この発明の典型的な実施形態だけを例示し、従って、本発明の範囲を限定すると考えられるべきではないことに留意すべきである。
洗浄システムを有する処理システムの一実施形態を示す概略平面図である。 対のプロセスチャンバーの一実施形態の概略横断面図である。 図2のプロセスチャンバーで使用されるバルブの一実施形態を例示する水平横断面図である。 図3Aのバルブを例示する概略部分等角破断図である。 図3Aのバルブを例示する横断面図である。 図3Aのバルブの一変形例の部分断面図である。 図3Aのバルブの一変形例の上面図である。 図2のプロセスチャンバーで行われてもよい堆積順序の一実施形態のための方法ステップの流れ図である。 フラッパーの別の実施形態の分解横断面図である。 図5のフラッパーの部分断面図である。 図5のフラッパーの上面図である。 バルブ胴体の別の実施形態の上面図である。 バルブ胴体の別の実施形態の下面図である。 図8Bの切断線8C−−8Cに沿って得られるバルブ胴体の横断面図である。 図8Cの切断線8D−−8Dに沿って得られるバルブ胴体の横断面図である。 フランジ支持部の一実施形態を示している。
理解を容易にするために、同一の参照数字が、可能であれば、図に共通する同一の要素を指定するために使用された。一実施形態で開示される要素は、明確な列挙なしに他の実施形態で有益に利用されてもよいと熟考される。
本明細書で述べられる実施形態は、1つまたは複数の基板上でプラズマプロセス(エッチング、CVD、PECVDおよび同様のものなど)を行い、堆積プロセスの間に形成される残留物を取り除くためにプラズマ洗浄を受ける動作が可能な基板処理システムに関する。基板処理システムの例示される一例は、工場インターフェースと、ロードロックチャンバーと、移送チャンバーと、互いに分離可能で、共通ガス供給部および共通排気ポンプを共有する2つ以上の処理領域を有する少なくとも1つのプロセスチャンバーとを制限なく含む。堆積残留物をプロセスチャンバーの内部から除去するために、遠隔プラズマ源は、プロセスチャンバーの内部にプロセスチャンバーの上部および下部から供給される洗浄プラズマを発生させる動作が可能である。プロセスチャンバーの内部はそれによって、より効果的に洗浄できる。
図1は、基板処理システム100の実施形態を示す概略図である。基板処理システム100は、基板が少なくとも1つのロードロックチャンバー140に入れられ、そこから除かれる工場インターフェース110と、基板を取り扱うためのロボット172を収納する基板移送チャンバー170と、移送チャンバー170に接続される少なくとも1つのプロセスチャンバー200とを含む。処理チャンバー100は、エッチング、CVDまたはPECVDプロセスなどのさまざまなプラズマプロセスを引き受け、チャンバーハードウェアを支持するように適合される。
図1で示されるように、工場インターフェース110は、基板カセット113および基板取扱いロボット115を包含してもよい。カセット113の各々は、処理の準備ができている基板を含有する。基板取扱いロボット115は、基板をロードロックチャンバー140に入れるのに備えて各カセット113の基板に指標付けをするために基板マッピングシステムを含んでもよい。
ロードロックチャンバー140は、工場インターフェース110と移送チャンバー170との間に真空インターフェースを提供する。各ロードロックチャンバー140は、ロードロックチャンバー140内に積み重ねられた上部基板支持部(図示されず)および下部基板支持部(図示されず)を含んでもよい。上部基板支持部および下部基板支持部は、その上に入ってくるおよび出ていく基板を支持するように構成される。基板は、スリットバルブ146を介して工場インターフェース110とロードロックチャンバー140との間で、およびスリットバルブ148を介してロードロックチャンバー140と移送チャンバー170との間で移送されてもよい。上部基板支持部および下部基板支持部は、移送の間に基板を加熱するまたは冷却するために内蔵型の加熱器または冷却器などの、温度制御のための機構を含んでもよい。
移送チャンバー170は、ロードロックチャンバー140とプロセスチャンバー200との間で基板を移送する動作が可能な基板取扱いロボット172を包含する。より明確には、基板取扱いロボット172は、2つの基板を同時に1つのチャンバーからもう1つへ移送するのに適したデュアル基板取扱いブレード174を有してもよい。ブレード174はまた、互いに独立して動くように構成されてもよい。基板は、スリットバルブ176を介して移送チャンバー170とプロセスチャンバー200との間で移送されてもよい。基板取扱いロボット172の動きは、モータ駆動システム(図示されず)によって制御されてもよく、それは、サーボモータまたはステッピングモータを包含してもよい。
図2は、プロセスチャンバー200の一実施形態を例示する概略横断面図である。プロセスチャンバー200は、基板204が同時にプラズマ処理を受けることができる2つの処理領域202を含む。各処理領域202は、プロセス容積部216を部分的に規定する側壁212および底部214を有する。プロセス容積部216は、各処理領域202の中および外への基板204の移動を容易にするバルブ176によって選択的に密閉されるような壁212に形成されるアクセスポート(図示されず)を通ってアクセスされてもよい。各処理領域202の壁212および底部214は、アルミニウムまたは処理と両立できる他の材料の単一ブロックから製作されてもよい。各処理領域202の壁212は、蓋アセンブリ222を支持し、また処理領域202がそれを通って真空ポンプ(図示されず)によって均一に排気できる排気ポート226を有するライナー224のアセンブリも包含する。
基板支持アセンブリ230は、各処理領域202内の中心に配置される。一実施形態では、支持アセンブリ230は、温度制御されてもよい。支持アセンブリ230は、支持アセンブリ230およびその上に位置決めされる基板204を所定の温度に制御可能に加熱する動作が可能な少なくとも1つの埋め込み加熱器234を封入してもよいアルミニウムで作られている支持ベース232を含む。一実施形態では、支持アセンブリ230は、処理されている材料のための処理パラメータに応じて、基板204をセ氏約150度からセ氏約1000度の間の温度に維持する働きをしてもよい。
各支持ベース232は、基板204を支持するための上側236を有し、一方支持ベース232の下側は、ステム238に結合される。ステム238は、上昇した処理位置と処理領域202へのおよびそこからの基板移送を容易にする下降した位置との間で垂直に支持アセンブリ230を移動させるリフトシステム240に支持アセンブリ230を結合させる。ステム238は加えて、支持アセンブリ230とチャンバー200の他の構成部品との間の電気および熱電対の導線のための導管を提供する。ベローズ242は、ステム238と各処理領域202の底部214との間で結合されてもよい。ベローズ242は、支持アセンブリ230の垂直移動を容易にしながらプロセス容積部216と各処理領域202の外側の大気との間の真空シールを提供する。
基板204の移送を容易にするために、各支持ベース232はまた、リフトピン248がそれを通って移動可能に取り付けられる複数の穴246も有する。リフトピン248は、第1の位置と第2の位置との間を移動する動作が可能である。図2で示される第1の位置は、基板204が支持ベース232の上側236にあることを可能にする。第2の位置(図示されず)は、アクセスポート(図示されず)を通って来る基板取扱いロボット172へ基板204を移送できるように、基板204を支持ベース232の上方に持ち上げる。リフトピン248の上向き/下向きの移動は、可動プレート250によって駆動されてもよい。
蓋アセンブリ222は、各処理領域202のプロセス容積部216に上方境界を提供する。蓋アセンブリ222は、処理領域202の補修をするために取り除かれるまたは開かれてもよい。一実施形態では、蓋アセンブリ222は、アルミニウムから製作されてもよい。
蓋アセンブリ222は、処理ガスがそれを通って処理領域202に導入されてもよい流入ポート260を包含してもよい。処理ガスは、ガス源261から提供される堆積(もしくはエッチング)ガス、または遠隔プラズマ源(RSP)262から提供される洗浄プラズマを含んでもよい。ガス分配アセンブリ270は、蓋アセンブリ222の内側に結合されてもよい。ガス分配アセンブリ270は、フェイスプレート(またはシャワーヘッド)276までの中間に配置される遮断物プレート274を有する環状ベースプレート272を包含する。遮断物プレート274は、フェイスプレート276の裏側に均等なガス分配を提供する。流入ポート260を介して供給される処理ガスは、環状ベースプレート272と遮断物プレート274との間に部分的に制限される第1の中空容積部278に入り、次いで遮断物プレート274に形成される通路280を通って遮断物プレート274とフェイスプレート276との間の第2の容積部282に流れる。処理ガスは次いで、第2の容積部282からフェイスプレート276に形成される複数の通路284を通ってプロセス容積部216に入る。フェイスプレート276は、絶縁体材料286を介してチャンバー壁212および遮断物プレート274(またはベースプレート272)から絶縁される。環状ベースプレート272、遮断物プレート274およびフェイスプレート276は、ステンレス鋼、アルミニウム、陽極酸化アルミニウム、ニッケルまたは塩素をベースにした洗浄ガス、フッ素をベースにした洗浄ガス、それらの組合せまたは他の選択された洗浄化学物質などのプラズマと両立できる洗浄可能な他の金属合金から製作されてもよい。
処理ガスを各処理領域202へ配送するために、ガス循環システムが、各処理領域2020とガス源261およびRPS262との間に据え付けられる。ガス循環システムは、各処理領域202の上部の流入ポート260をガス源261およびRPS262にそれぞれ連結する第1のガス導管290、ならびにバルブ300を介して第1のガス導管290と接続される少なくとも1つの第2のガス導管294を含む。第2のガス導管294は、チャンバー壁を通って下向きに延び、各処理領域202の底部分にそれぞれ開口している1つまたは複数の交差チャネル296と交わる1つまたは複数の通路292に結合される。図2で描写される実施形態では、別個の通路292、296は、各領域202を別々にバルブ300に結合させるために利用される。洗浄ガスを領域202の1つへ配送し、もう一方へは配送しないことを包含して、洗浄ガスの流れが、各領域202へ選択的におよび独立して配送できるように、各領域202は、別個の専用バルブ300によって制御されるそれへのガス配送を有してもよいこともまた熟考される。洗浄プラズマがRPS262から提供されるとき、各処理領域202の上部を通って配送される洗浄プラズマの一部分がまた、各処理領域202の底部分にも配送できるように、バルブ300は、開いていてもよい。基板支持アセンブリ230の下方での洗浄プラズマの停滞は、それによって実質的に防止でき、基板支持アセンブリ230の下方の領域への洗浄効率が、改善できる。
図3A〜Bは、バルブ300の一実施形態を例示する水平断面図および概略部分等角図である。図示されるように、バルブ300は、バルブ胴体330、フラッパー302、シールカップ304および結合機構308を含む。バルブ胴体330は、洗浄およびプロセス化学物質とともに使用するのに適した高温材料から製作されてもよい。適した材料の例は、とりわけアルミニウム、酸化アルミニウム、窒化アルミニウム、サファイアおよびセラミックを包含する。適した材料の他の例は、フッ素および酸素のラジカルからの腐食に耐性がある材料を包含する。一実施形態では、バルブ胴体330は、アルミニウムから製作される。バルブ胴体330は、流れがバルブ胴体330の流入口399と一対の流出ポート332との間を通るのを実質的に防止するために選択的に回転できるフラッパー302を収納する。流入口399は、RPS源262に結合されるように構成され、一方流出ポート332は、第2のガス導管294および通路292を通って領域202に結合されるように構成される。流入口399および流出ポート332は、導管290、294へ漏れなく接続するのに適した接続金具を受け入れるように構成されてもよい。
フラッパー302のアクチュエータ部分は、カップシール304によって囲まれ、それは、シールカップ304をバルブ胴体330にしっかりと固定するために使用される。フラッパー302は、一般に円筒形状を有する外側胴体310、および外側胴体310の反対側に付着する流れ妨害プレート312に分割される。一実施形態では、外側胴体310および妨害プレート312を包含するフラッパー302は、アルミニウムまたは上述のような他の材料で作られている分割されない単体であってもよい。フラッパー302および胴体330は、最小限の漏れがそれらの間で生じるように、近接した許容誤差で製作される。このように、フラッパー302および胴体330は、すり減るならびに/または洗浄ガスおよび/もしくは他の種が付着する可能性がある別個の動的シールの必要性を排除するように設計される。使用中のときは、外側胴体310を実質的に封入するシールカップ304は、フラッパー302の相対的回転を可能にし、ガス循環システムの内部に対応する流れ妨害プレート312の側を外部環境から実質的に密閉するように適合される。
フラッパー302の回転は、結合機構308を介して駆動される。一実施形態では、結合機構308は、2つの磁化端部分318を持つ一般にU字形を有する。磁化端部分318は、埋め込み磁石の腐食性ガスとの直接接触が防止されるように、フラッパー302の内部に完全に包み込まれている埋め込み磁石を有する。結合機構308は、2つの磁化端部分318がそれぞれ、外側胴体310に埋め込まれた磁石322の2つの反対の磁極320と向かい合う状態で、シールカップ304の上に置かれる。磁石322は、永久磁石および/または電磁石であってもよい。ギャップが、シールカップ304と結合機構308との間に存在するので、シールカップ304は、結合機構308との高温接触から保護される。結合機構308が回転するとき、磁化端部分318と磁石322の反対の磁極320との間の磁気的吸引力は、フラッパー302を回転させる。このようにして、流れ妨害プレート312の向きは、ガス流の通過を許すか(図3Aで示されるように、開いた状態)またはガス流の通過を阻止する(図3Aでの幻像で示されるように、閉じた状態)ために回転によって変えることができる。
図3Cは、図3Aの切断線C−−Cに沿って得られる第2の導管294に結合されるバルブ300の一実施形態を示す横断面図である。カップシール304は、フラッパー302を保持するためにバルブ胴体330にしっかり固定できるカラー306を包含する。静的シール314は、漏れを防止するためにバルブ胴体330とカラー306との間に提供されてもよい。静的シール314は、プロセスおよび洗浄化学物質とともに使用するのに適した材料から製作されてもよく、それは、フッ素をベースにした洗浄ガスを利用する実施形態では、VITONであってもよい。バルブ300は、動くシャフトまたは動的シールを有さないので、バルブの耐用年数は従来の設計を超えて大きく延長され、実質的にバルブ構成部品の腐食なしにセ氏250度を上回る温度で動作できる。
フラッパー302の回転は、流れ妨害プレート312の端部とバルブ胴体330の壁335との間をつなぎ合わせるボールベアリング334、および外側胴体310からシールカップ304の間をつなぎ合わせるボールベアリング336を介して容易にできる。結合機構308を介して駆動されると、流れ妨害プレート312の向きはそれによって、洗浄ガスが第2のガス導管294に向けられるなどのように、入射ガス流340の通過を阻止するかまたは許容するように合わせることができる。
別法として、またはボールベアリング334、336に加えて、ベアリング398が、図3Dで示されるようにフラッパー302とバルブ胴体330との間に配置されてもよい。ベアリング398は、フッ素および酸素のラジカルからの腐食に耐性がある材料から製作されてもよく、それは一実施形態では、セラミック材料である。ベアリング398は、複数のローラー396を介して下部レース397上を回転する上部レース395を包含する。上部レース395は、フラッパー302と接触している。一実施形態では、上部レース395は、フラッパー302に圧入される。下部レース397は、バルブ胴体330と接触している。一実施形態では、下部レース397は、バルブ胴体330に圧入される。ローラー396は、円柱、ボール、テーパー状、円錐形のまたは他の適した形状を有してもよい。
別法として、1つまたは複数の磁気ベアリング390が、図3Eで示されるようにフラッパー302とバルブ胴体330との間にベアリングを提供するために利用されてもよい。磁気ベアリング390は、一対の反発する磁石を包含する。図3Eで描写される実施形態では、磁気ベアリング390は、二対の反発する磁石を包含し、第1の対392A、394Aおよび第2の対392B、394Bは、フラッパー302の反対側の端部に配置される。磁石394A、394Bは、それらが洗浄ガス中に存在するフッ素および酸素のラジカルから保護されるように、フラッパー302内に封入される。磁石392A、392Bは、永久磁石または電磁石であってもよい。磁石対392A、394Aおよび392B、394Bは、フラッパー302が結合機構308との磁気的相互作用によって自由に回転できるように、バルブ胴体330内でフラッパー302を浮揚させる機能を果たす。
結合機構308は、バルブ300を開閉するためにアクチュエータ390によって回転させられる。アクチュエータ390は、ソレノイド、空気モータ、電気モータ、空気圧シリンダーまたは結合機構308の回転運動を制御するのに適した他のアクチュエータであってもよい。アクチュエータ390は、バルブ300、プロセスチャンバー200または他の適した構造に取り付けられてもよい。
図4は、プロセスチャンバー200を動かすための順序の一実施形態の方法ステップを例示する流れ図である。最初のステップ402では、基板が、エッチングまたは堆積プロセスなどのプラズマプロセスを受けるためにプロセスチャンバー200の処理領域202に導入される。ステップ404では、バルブ300が閉じられている間に、プロセスガスが、ガス源261からプロセス容積部216に第1の導管290および各処理領域202の上部のガス分配プレートアセンブリ270を通って配送される。ステップ406では、プラズマプロセスが完了した後、基板が、処理領域202から外へ取り除かれる。ステップ408では、バルブ300が閉じた状態にある間に、塩素をベースにした洗浄ガス、フッ素をベースにした洗浄ガス、またはそれらの組合せなどの、RPS262からの洗浄剤が、第1の導管290および各処理領域202の上部のガス分配プレートアセンブリ270を通って配送される。一実施形態では、洗浄ガスは、NF、F、SF、Cl、CF、C、CClまたはCClの少なくとも1つを含んでもよい。洗浄ガスが各処理領域202の上部を通って導入される間に、バルブ300はステップ410で、供給される洗浄プラズマの一部分を通路292を通って基板支持アセンブリ230の下方の各処理領域202の底部214に振り向けるためにある時間の間開かれる。洗浄プラズマのこの追加の流れは、フッ素ラジカルの再結合を低減し、支持アセンブリ230の真下での流れの停滞を排除する。その上、振り向けられた洗浄ガスのチャネル196を通っての導入は、チャンバー200から外へ排気されるより前に基板支持アセンブリ230の下方で十分に混合された乱流を作り出す。結果として、各処理領域202での洗浄速度が、改善できる。ステップ410でのバルブ300を開くステップは、ステップ408での洗浄ガスの導入より前にまたはそれと同時に行われてもよいと熟考される。ステップ412では、いったん洗浄動作が完了すると、洗浄ガスの供給が、終了する。バルブ300は、導管290、294を通る流れを流れる条件と流れない条件との間で切り替えること、または導管290、294を通る選択流量比の範囲を提供することを含み、RPS源262から導管290、294を通る相対的な流れを制御するのに適した別の種類のバルブであってもよいとまた熟考される。
上で述べられたように、基板処理システムはそれ故に、プロセスチャンバーの上部および底部の両方を通って処理ガスを制御可能に流すことが可能である。洗浄の間に、プロセスチャンバーの上部および底部を同時に通ってのプロセス容積部への(すなわち、基板支持部の上部側および底部側の両方からの)洗浄プラズマの制御された供給は、プロセス容積部の内部での化学ラジカルの再結合を減少させることができる。支持アセンブリの下方への洗浄ガスの水平導入は、チャンバー洗浄を増進する乱流を生成する。さらに、より低い全質量流量率は、より高い重量パーセントの洗浄剤が処理チャンバーの底部に流れるようにする。例えば、5000sccmの全プラズマ流量率では、洗浄剤の42.67質量パーセントが、導管294および通路292を通って処理チャンバーの底部に向けることができ、一方15,000sccmの全プラズマ流量率では、洗浄剤の28.8質量パーセントだけが、処理チャンバーの底部に流れる。結果として、より低い全プラズマ流量率は、洗浄剤のより多くの割合をプロセスチャンバーの底部に振り向けることができ、それ故にプロセスチャンバーは、より効率的に洗浄できる。
図5は、フラッパー500の別の実施形態の分解図である。図7は、フラッパー500の上面図である。図5〜6の両方を参照すると、フラッパー500は、胴体502、キャップ504および1つまたは複数の磁石506を包含する。外側胴体310および妨害プレート312を包含するフラッパー302は、アルミニウムまたは上述のような他の材料で作られている分割されない単体であってもよい。胴体502およびキャップ504は、上で述べられた材料から製作されてもよい。
胴体502は、外側胴体534および妨害プレート538を包含する。外側胴体534は、キャップ504の少なくとも一部分を受け取る大きさである第1の端部530に形成される凹部528を有する。一実施形態では、キャップ504は、凹部528に圧入され、それでキャップ504は、凹部528内で回転することができない。別法として、キャップ504は、ピン留めされる、接着される、接合される、溶接されるまたはさもなければ回転を防止する方法で胴体502にしっかり固定されてもよい。
妨害プレート538は、胴体502の第2の端部540からディスク536まで延びる。ディスク536は、フラッパー500の回転を容易にするためにバルブ胴体に形成される凹部と整合する大きさである。ディスク536は一般に、外側胴体534の直径より小さい直径を有する。ディスク536の底部表面532は、フラッパー500の回転を容易にするボールベアリング(図示されず)を保持するためのポケット520を包含する。
胴体502の第2の端部540はまた、そこに形成される複数のくぼみ542も包含する。一実施形態では、くぼみ542は、半径方向に向きを合わせられ、極性配列のまわりに等間隔である。くぼみ542は、レース395がフラッパー500とともに回転する状態でロックされるように、上部レース395から延びる突出部(図示されず)と一緒になるように構成される。
図6は、ポケット520を通るディスク536の部分断面図である。ポケット520は、胴体502の中心線に対して同心に形成される止まり穴606を包含する。皿穴604は、穴606と同心に形成される。皿穴604は、ポケット520内でのボールベアリングの保持を容易にする角度に形成される。
図5〜6に戻ると、キャップ504は、上端516および下端518を有する円筒状胴体510を包含する。円筒状胴体510は、胴体502の凹部528内に合う直径を有する。胴体502の端部530が、へり508によって規定される出っ張り512上に座り、それによって胴体502への胴体510の侵入を所定の深さに設定するように、へり508が、胴体510の上端516に形成される。ポケット520がまた、フラッパー500の中心軸上でのボールベアリング(図示されず)の保持を容易にするためにキャップ504に形成されてもよい。
交差穴514は、1つまたは複数の磁石506を受け取るために胴体510を通って形成される。交差穴514は、フラッパー500の中心線と直角に形成される。1つまたは複数の磁石506は、キャップ504が胴体502の凹部528に挿入されるとき交差穴514に捕捉される。
一実施形態では、1つまたは複数の磁石506は、直線的配置で積み重ねられた複数の磁石を包含する。図5の実施形態では、1つまたは複数の磁石506は、N極524、S極522およびそれらの間に積み重ねられた1つまたは複数の磁石526を包含する。
図8A〜Bは、バルブ胴体800の別の実施形態の上面図および下面図である。バルブ胴体800は、他の適した材料から製作されてもよいけれども、バルブ胴体800は一般に、単一のアルミニウムまたはセラミック部材である。バルブ胴体800は、上部表面802および底部表面804を包含する。第1のボア810は、上部表面802から胴体800中に形成される。第1のボア810は、少なくとも部分的に延長部分806に位置決めされる。延長部分806は、それを通って形成される第1の通路812(幻像で示される)を有する。第1の通路812の端部は、バルブ胴体800を遠隔プラズマ源262に通じる導管に接続する役目を果たす。第1の通路812の第2の端部は、第2の通路824(また幻像で示される)に準備される。第1のボア810は、第1の通路812と整列され、第1の通路812を通って第2の通路824への流体の流れを制御するようにフラッパーを受け取る大きさである。複数のねじ式取り付け止まり穴816は、シールカップ(図示されず)をバルブ胴体800に保持するためにバルブ胴体800の第1の側802に形成される。
バルブ胴体800の第2の側804は、第2および第3のボア818を包含する。第2および第3のボア818は、第1および第2の通路812、824の交点のどちらか一方の側で第2の通路824に通じている。o−リング溝820は、バルブ800からチャンバー胴体に延びる導管がバルブ800に密閉しながら結合されることを可能にするために、各ボア818を囲む。o−リングは、胴体800を通って形成される取り付け穴822を貫通する締め具(図示されず)を使用して第2および第3のボア818に結合される接続金具を密閉するために圧縮されてもよい。図8A〜Bで描写される実施形態では、4つの取り付け穴822が、各ボア818と関連する。
図8Cの断面図を次に参照すると、第2の通路824は、どちらの端部もプラグ830によって密閉されてもよい。プラグ830は、圧入される、溶接される、接合される、接着される、ねじ込まれるまたは別の適した方法によって胴体800に密閉しながら結合されてもよい。
図8Dの断面図を次に参照すると、第1のボア810は、フラッパーの外側胴体とつなぎ合わせるおよび/またはベアリング398の下部レース397を支持する出っ張り832を包含する。フラッパーの妨害プレートは、ボア810中へ延び、第1の通路812を通る流れを制御するように回転されてもよい。第1のボア810の底部はまた、フラッパーと胴体800との間に配置されるボール(図示されず)の保持を容易にするためにポケット520を包含してもよい。ベアリング398が利用される実施形態では、出っ張り832は、フラッパー500が回転する間下部レース397が胴体800に固定されるように、ベアリング398の下部レース397から延びる突出部と一緒になるように構成される複数のくぼみ840を包含してもよい。
図2に戻ると、フランジ支持部299は、圧力センサー297が遠隔プラズマ源262の出力圧力を指示する測定基準を検出することを可能にするために、遠隔プラズマ源262の流出口と一直線に結合される。センサー297は、マノメータ、圧力計または遠隔プラズマ源262から出る洗浄剤の圧力を指示する測定基準を得るのに適した他のセンサーの形であってもよい。
図9は、フランジ支持部299の一実施形態を描写する。フランジ支持部299は、流入口902および2つの流出口904、906を包含する。流入口902は、遠隔プラズマ源262の流出口に結合され、フランジ支持部299を通って延びる主通路920を通って第1の流出口904に流体的に結合される。第1の流出口904は、洗浄剤を遠隔プラズマ源262からバルブ300および流入ポート260に提供する導管に結合される。第2の流出口906は、流入口902を第1の流出口904に結合する主通路920に二次通路922によって流体的に結合される。第2の流出口906は、センサー297を受け入れるように構成される。
一実施形態では、フランジ支持部299は、耐圧アセンブリとして組み立てられるフランジベース912、パイプ914、エルボー916およびフランジ918を包含する。一実施形態では、フランジベース912、パイプ914、エルボー916およびフランジ918は、アルミニウムまたはステンレス鋼から製作され、例えば連続溶接によって一緒に溶接される。フランジベース912は、主通路920がそれを通って形成される円筒状胴体926を包含する。円筒状胴体926は、第1の端部に大きいフランジ928および第2の端部を通る小さいフランジ930を有する。
流入口902は、小さいフランジ930を通って形成され、その面934上のo−リング溝932によって囲まれる。小さいフランジ930の面934はまた、一実施形態では複数の貫通穴の形である、図示されない複数の取り付け穴も包含する。
第1の流出口904は、大きいフランジ928を通って形成される。大きいフランジ928の面936は、密閉表面を提供するように仕上げられる。大きいフランジ928の面936はまた、一実施形態では複数の貫通穴の形である、図示されない複数の取り付け穴も包含する。
円筒状胴体926は、主通路920に入り込む穴938を包含する。一実施形態では穴938は、胴体926の中心線と実質的に直角に形成され、それは、主通路920の中心線と同軸である。
パイプ914は、パイプ914を通って規定される通路940が穴938と流体的に結合されるように円筒状胴体926に密閉結合するように構成される。一実施形態では、パイプ914の第1の端部は、胴体926へのパイプ914の結合を容易にするためにテーパーを有するまたは穴938に挿入される縮小された外径を有する。パイプ914の第2の端部は、エルボー916へのパイプ914の結合を容易にするためにテーパーを有してもよくまたはエルボー916に挿入される縮小された外径を有する。
フランジ918は、それを通って形成される通路960を有する円筒状ステム950を包含する。ステム950の一端は、へり952を有する。へり952は、第2の流出口906を規定するポート954を囲む。ポート954は、センサー297をフランジ支持部299に結合させるのに適した方法で構成される。
一実施形態では、へり952の面956は、ステム950を通る通路960と同心である凹部958を包含する。へり952の面956は、通路960の中心線と実質的に直角の向きを有してもよい。へり952の裏側962は、センサー297を固定するために利用される図示されない接続金具の結合を容易にするためにテーパー状であってもよい。一実施形態では、へりの裏側は、ステムと約205度の角度を形成する。フランジ918を通って形成される通路960、エルボー916を通って形成される通路964、パイプ914を通って形成される通路940および支持フランジ912に形成される穴938は、二次通路922を規定する。
このように、フランジ支持部299は、再結合を逆に促進することになる妨害を最小限にしながら遠隔プラズマ源262からの洗浄剤の直接配送を可能にする。加えて、フランジ支持部299は、チャンバーの上部に送られる他のユーティリティから遠く離れた従来の位置でのセンサー297の結合を容易にする。
先述のものは、本発明のある種の実施形態を対象にするが、本発明の他のおよびさらなる実施形態が、本発明の基本的範囲から逸脱することなく考案されてもよく、本発明の範囲は、次に来る特許請求の範囲によって決定される。

Claims (9)

  1. 遠隔プラズマ源と、
    少なくとも2つの処理領域を有するプロセスチャンバーと
    を備え、各処理領域が、
    前記処理領域に配置された基板支持アセンブリと、
    前記基板支持アセンブリの上方の前記処理領域にガスを提供するように構成されたガス分配アセンブリと、
    前記基板支持アセンブリの下方の前記処理領域にガスを提供するように構成されたガス通路と、
    前記遠隔プラズマ源から前記ガス分配アセンブリを通って各処理領域にガスを流すように構成された第1のガス導管と、
    前記ガスの一部分を、前記遠隔プラズマ源から各処理領域の前記ガス通路に振り向けるように構成された第2のガス導管と
    前記第1のガス導管と前記第2のガス導管との間で流れを制御するバルブと、
    を含み
    前記バルブが、
    流れ妨害プレートを有する可動フラッパーと、
    前記フラッパーに閉じ込められた少なくとも1つの磁石と、
    前記流れ妨害プレートが前記バルブを通る流れを阻止する第1の位置と前記流れ妨害プレートが前記バルブを通る流れを許す第2の位置との間で前記フラッパーを回転させるように動作可能な結合機構と
    を備える、
    プロセスチャンバー。
  2. 前記結合機構が磁気的相互作用により前記フラッパーを回転させるように構成されている、請求項に記載のプロセスチャンバー。
  3. ロードロックチャンバーと、
    前記ロードロックチャンバーに結合された移送チャンバーと、
    遠隔プラズマ源と、
    前記移送チャンバーに結合されたプロセスチャンバーと
    を備える基板処理システムであって
    前記プロセスチャンバーが、
    少なくとも第1の処理領域および第2の処理領域を有するチャンバー胴体と、
    前記第1の処理領域に配置された第1の基板支持アセンブリと、
    前記遠隔プラズマ源に結合され、前記遠隔プラズマ源から前記第1の処理領域へと、スを提供するように構成された第1のガス分配アセンブリと、
    前記遠隔プラズマ源に結合され、前記遠隔プラズマ源から前記第1の処理領域へと、スを提供するように構成された第1のガス通路と
    前記第2の処理領域に配置された第2の基板支持アセンブリと、
    前記遠隔プラズマ源に結合され、前記遠隔プラズマ源から前記第2の処理領域へと、ガスを提供するように構成された第2のガス分配アセンブリと、
    前記遠隔プラズマ源に結合され、前記遠隔プラズマ源から前記第2の処理領域へと、ガスを提供するように構成された第2のガス通路と、
    を含
    前記遠隔プラズマ源に結合される流入口と、前記第1および第2のガス通路に結合される少なくとも1つの流出口とを有するバルブをさらに備え、
    前記バルブが、
    流れ妨害プレートを有する可動フラッパーと、
    前記流れ妨害プレートが前記バルブを通る流れを阻止する第1の位置と前記流れ妨害プレートがガスに前記バルブを通過するのを許す第2の位置との間で前記フラッパーを回転させるように動作可能な結合機構と
    を含んでいる、
    基板処理システム。
  4. 前記第1および第2のガス通路が、内部容積部へと実質的に内側に向かうガスの流れを生成するように方向付けられている、請求項に記載の基板処理システム。
  5. 前記結合機構が磁気的相互作用により前記フラッパーを回転させるように構成されている、請求項に記載の基板処理システム。
  6. プラズマをプロセスチャンバーに供給するための方法であって、
    遠隔プラズマ源を提供することと、
    第1の容積のガスを前記遠隔プラズマ源から第1のガス導管を通って前記プロセスチャンバーの内部容積部に流すことと、
    前記遠隔プラズマ源からガス分配アセンブリへのガスの一部をバルブを使用して振り向けることにより、第2の容積のガス第2のガス導管を通って前記内部容積部に流すことと
    を含み、
    前記バルブが、前記遠隔プラズマ源に結合される流入口と、前記第2のガス通路に結合される流出口とを有し、さらに、
    前記バルブが、
    流れ妨害プレートを有する可動フラッパーと、
    前記フラッパーに閉じ込められた少なくとも1つの磁石と、
    前記流れ妨害プレートが前記バルブを通る流れを阻止する第1の位置と前記流れ妨害プレートがガスに前記バルブを通過するのを許す第2の位置との間で前記フラッパーを回転させるように動作可能な結合機構と
    を含む、
    方法。
  7. 前記リモートプラズマ源からのガスは、NF、F、SF、Cl、CF、C、CClおよびCClのうちの少なくとも1つを含み、前記第1および第2の容積の前記ガスを流すことを同時に行う、請求項に記載の方法。
  8. 前記ガスを前記遠隔プラズマ源から前記第1のガス導管を通って前記プロセスチャンバーの前記内部容積部に流すことが、前記ガスを前記プラズマ源から前記第1のガス導管を通って、次いで前記内部容積部の上部に設けられたガス分配アセンブリを通って流すことを含む、請求項に記載の方法。
  9. 前記バルブは、完全に開いた状態にあるときに前記遠隔プラズマ源によって供給されるガスの総量の0%未満を前記第2のガス導管に振り向けるように動作する請求項に記載の方法。
JP2011514671A 2008-06-19 2009-05-28 洗浄ガスをプロセスチャンバーに供給するための方法およびシステム Expired - Fee Related JP5538376B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US12/142,402 US7699935B2 (en) 2008-06-19 2008-06-19 Method and system for supplying a cleaning gas into a process chamber
US12/142,402 2008-06-19
PCT/US2009/045413 WO2009155028A1 (en) 2008-06-19 2009-05-28 Method and system for supplying a cleaning gas into a process chamber

Publications (2)

Publication Number Publication Date
JP2011525054A JP2011525054A (ja) 2011-09-08
JP5538376B2 true JP5538376B2 (ja) 2014-07-02

Family

ID=41429991

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2011514671A Expired - Fee Related JP5538376B2 (ja) 2008-06-19 2009-05-28 洗浄ガスをプロセスチャンバーに供給するための方法およびシステム

Country Status (6)

Country Link
US (5) US7699935B2 (ja)
JP (1) JP5538376B2 (ja)
KR (1) KR101543991B1 (ja)
CN (2) CN103170478A (ja)
TW (1) TW201009977A (ja)
WO (1) WO2009155028A1 (ja)

Families Citing this family (268)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7699935B2 (en) 2008-06-19 2010-04-20 Applied Materials, Inc. Method and system for supplying a cleaning gas into a process chamber
WO2010042410A2 (en) 2008-10-07 2010-04-15 Applied Materials, Inc. Apparatus for efficient removal of halogen residues from etched substrates
WO2011143062A2 (en) * 2010-05-12 2011-11-17 Applied Materials, Inc. Confined process volume pecvd chamber
WO2011159690A2 (en) 2010-06-15 2011-12-22 Applied Materials, Inc. Multiple precursor showerhead with by-pass ports
KR101893471B1 (ko) * 2011-02-15 2018-08-30 어플라이드 머티어리얼스, 인코포레이티드 멀티존 플라즈마 생성을 위한 방법 및 장치
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
KR101312922B1 (ko) * 2011-12-14 2013-10-01 주식회사 테스 플라즈마 처리장치 및 처리방법
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8772055B1 (en) 2013-01-16 2014-07-08 Applied Materials, Inc. Multizone control of lamps in a conical lamphead using pyrometers
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US10221478B2 (en) * 2013-04-30 2019-03-05 Tokyo Electron Limited Film formation device
US10672591B2 (en) * 2013-06-21 2020-06-02 Applied Materials, Inc. Apparatus for removing particles from a twin chamber processing system
US9490149B2 (en) * 2013-07-03 2016-11-08 Lam Research Corporation Chemical deposition apparatus having conductance control
US20150030766A1 (en) * 2013-07-25 2015-01-29 Novellus Systems, Inc. Pedestal bottom clean for improved fluorine utilization and integrated symmetric foreline
KR102167594B1 (ko) 2013-12-04 2020-10-19 삼성전자주식회사 기판 처리 방법 및 이를 수행하기 위한 장치
WO2015134197A1 (en) 2014-03-06 2015-09-11 Applied Materials, Inc. Plasma abatement of compounds containing heavy atoms
JP5764228B1 (ja) * 2014-03-18 2015-08-12 株式会社日立国際電気 基板処理装置、半導体装置の製造方法、プログラム及び記録媒体
US10128087B2 (en) 2014-04-07 2018-11-13 Lam Research Corporation Configuration independent gas delivery system
US9580360B2 (en) 2014-04-07 2017-02-28 Lam Research Corporation Monolithic ceramic component of gas delivery system and method of making and use thereof
US9460915B2 (en) * 2014-09-12 2016-10-04 Lam Research Corporation Systems and methods for reducing backside deposition and mitigating thickness changes at substrate edges
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US10557197B2 (en) 2014-10-17 2020-02-11 Lam Research Corporation Monolithic gas distribution manifold and various construction techniques and use cases therefor
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11384432B2 (en) * 2015-04-22 2022-07-12 Applied Materials, Inc. Atomic layer deposition chamber with funnel-shaped gas dispersion channel and gas distribution plate
US10022689B2 (en) 2015-07-24 2018-07-17 Lam Research Corporation Fluid mixing hub for semiconductor processing tool
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10118263B2 (en) 2015-09-02 2018-11-06 Lam Researech Corporation Monolithic manifold mask and substrate concepts
US9581087B1 (en) * 2015-09-17 2017-02-28 Siemens Energy, Inc. Method and system for thermal expansion compensation in heated flow characterization
KR102477302B1 (ko) * 2015-10-05 2022-12-13 주성엔지니어링(주) 배기가스 분해기를 가지는 기판처리장치 및 그 배기가스 처리방법
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10215317B2 (en) 2016-01-15 2019-02-26 Lam Research Corporation Additively manufactured gas distribution manifold
US9879795B2 (en) 2016-01-15 2018-01-30 Lam Research Corporation Additively manufactured gas distribution manifold
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
CN105650288B (zh) * 2016-03-25 2018-11-16 上海交通大学 泵阀一体流量主动控制装置及控制方法
US10770272B2 (en) * 2016-04-11 2020-09-08 Applied Materials, Inc. Plasma-enhanced anneal chamber for wafer outgassing
US10428426B2 (en) * 2016-04-22 2019-10-01 Applied Materials, Inc. Method and apparatus to prevent deposition rate/thickness drift, reduce particle defects and increase remote plasma system lifetime
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10829855B2 (en) * 2016-05-20 2020-11-10 Applied Materials, Inc. Gas distribution showerhead for semiconductor processing
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9797521B1 (en) 2016-08-09 2017-10-24 Edward P Davis Rotary magnetic coupling actuated valve with external magnets and internal magnetic flux path
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) * 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
WO2018154577A1 (en) * 2017-02-22 2018-08-30 Ham-Let (Israel - Canada ) Ltd. Encapsulated leak-proof valve with permanent magnet actuator
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
CN107056580B (zh) * 2017-05-05 2020-10-30 乐山师范学院 一种电离制备全氯乙烷和全氯丁烷的方法
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10998172B2 (en) * 2017-09-22 2021-05-04 Applied Materials, Inc. Substrate processing chamber having improved process volume sealing
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US11530751B2 (en) 2017-09-29 2022-12-20 Applied Materials, Inc. Closure mechanism vacuum chamber isolation device and sub-system
KR20200051039A (ko) * 2017-09-29 2020-05-12 어플라이드 머티어리얼스, 인코포레이티드 듀얼 포트 원격 플라즈마 세정 격리 밸브
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
CN109868459B (zh) * 2017-12-05 2022-11-25 北京北方华创微电子装备有限公司 一种半导体设备
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
JP6990121B2 (ja) * 2018-03-06 2022-01-12 株式会社Screenホールディングス 基板処理装置
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US10844490B2 (en) * 2018-06-11 2020-11-24 Hermes-Epitek Corp. Vapor phase film deposition apparatus
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
JP6906490B2 (ja) * 2018-09-14 2021-07-21 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法およびプログラム
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11270898B2 (en) * 2018-09-16 2022-03-08 Applied Materials, Inc. Apparatus for enhancing flow uniformity in a process chamber
CN110899271B (zh) * 2018-09-17 2021-10-15 北京北方华创微电子装备有限公司 远程等离子源的调整装置及远程等离子源清洗系统
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
CN109943829A (zh) * 2018-11-16 2019-06-28 黄剑鸣 一种rpecvd的多室沉積系统
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
EP4013905B1 (en) 2019-08-12 2023-02-22 Kurt J. Lesker Company Ultra high purity conditions for atomic scale processing
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
JP7026086B2 (ja) * 2019-09-25 2022-02-25 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法及び基板処理装置の洗浄方法
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11236424B2 (en) * 2019-11-01 2022-02-01 Applied Materials, Inc. Process kit for improving edge film thickness uniformity on a substrate
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
CN111107324A (zh) * 2019-12-31 2020-05-05 上海陛通半导体能源科技股份有限公司 晶圆传输系统的监控装置及其监控方法
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11881416B2 (en) 2020-12-14 2024-01-23 Applied Materials, Inc. Gas delivery system for a shared gas delivery architecture
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US20220307129A1 (en) * 2021-03-23 2022-09-29 Applied Materials, Inc. Cleaning assemblies for substrate processing chambers
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN114574837B (zh) * 2022-03-07 2023-03-21 苏州迈为科技股份有限公司 等离子体工艺设备中用于解决寄生等离子体的结构及方法
CN114975066B (zh) * 2022-07-25 2022-10-25 拓荆科技(北京)有限公司 沉积腔室清洁方法
US20240055230A1 (en) * 2022-08-15 2024-02-15 Applied Materials, Inc. System and method of cleaning process chamber components
KR102612086B1 (ko) * 2022-09-05 2023-12-11 주식회사 셀비드 파티클 프리 원격플라즈마소스 차단밸브

Family Cites Families (60)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3134404A (en) * 1961-02-27 1964-05-26 William B Jaspert Electro-magnetically operated floating armature valves
US3347262A (en) * 1965-09-22 1967-10-17 Mark Associates Inc Magnet actuated sealed valve
US3665958A (en) * 1968-09-16 1972-05-30 Gpe Controls Inc Vent closure device
US3747892A (en) * 1972-01-27 1973-07-24 Steinen Mfg Co Wm Magnetic valve
US3826545A (en) * 1972-05-24 1974-07-30 Borden Corp Readily assembled temperature compensated dual angular contact bearing assembly
FR2260041B1 (ja) * 1974-02-06 1976-10-08 Amri
US4106825A (en) * 1976-12-13 1978-08-15 Autoclave Engineers, Inc. High pressure magnetic drive including magnetic thrust bearings
US4146206A (en) * 1977-03-11 1979-03-27 Garlock Inc. Valve closure member thrust and load compensator
CA1092589A (en) * 1978-11-21 1980-12-30 Patrick M. Taiani Torque transmitting assembly for rotary valve member
US4284262A (en) * 1979-05-17 1981-08-18 Autoclave Engineers, Inc. Magnetically actuated stopper valve
US4327892A (en) * 1980-09-08 1982-05-04 Autoclave Engineers, Inc. Normally magnetically actuated valve with novel nonmagnetic override
US4384703A (en) * 1981-01-21 1983-05-24 Autoclave Engineers, Inc. Handle for magnetically actuated valve
DE3814359C2 (de) * 1988-04-28 1994-04-28 Cornelius Dipl Ing Lungu Magnetventil mit permanentmagnetischer Schließkraft
CN1014174B (zh) * 1989-06-01 1991-10-02 毛沛琦 流体管道的磁控开关
US4936289A (en) * 1989-02-21 1990-06-26 Peterson George A Usage responsive hot water recirculation system
US5083744A (en) * 1991-03-08 1992-01-28 Morotta Scientific Controls, Inc. Motor-operated valve
GB9113963D0 (en) * 1991-06-28 1991-08-14 Alpha Thames Eng Valve actuator
US5301848A (en) * 1991-10-18 1994-04-12 Conrad Larry M Electromagnetic meter for closed dispensing container
US5609721A (en) * 1994-03-11 1997-03-11 Fujitsu Limited Semiconductor device manufacturing apparatus and its cleaning method
ATE181998T1 (de) * 1994-05-02 1999-07-15 Korea Atomic Energy Res Ventil mit magnetischer kraftübertragung
JPH0893931A (ja) * 1994-09-22 1996-04-12 Tokyo Kakoki Kk 流体の給排出量調節機
JP3279919B2 (ja) 1996-05-14 2002-04-30 東京応化工業株式会社 同時放電化装置
CA2220308A1 (en) * 1996-11-05 1998-05-05 Douglas P. Arduini A smart automatic safety valve having remote electromagnetic shut-off protection and reset control from seismic or other sensors
US6433448B1 (en) * 1998-11-17 2002-08-13 Eaton Corporation Integrated torque motor and throttle body
KR100467082B1 (ko) * 2000-03-02 2005-01-24 주성엔지니어링(주) 반도체소자 제조장치 및 그 클리닝방법
JP2002025914A (ja) * 2000-07-04 2002-01-25 Hitachi Ltd 基板処理装置
US6835278B2 (en) * 2000-07-07 2004-12-28 Mattson Technology Inc. Systems and methods for remote plasma clean
JP2002057106A (ja) 2000-08-08 2002-02-22 Tokyo Electron Ltd 処理装置のクリーニング方法及び処理装置
JP2002280376A (ja) * 2001-03-22 2002-09-27 Research Institute Of Innovative Technology For The Earth Cvd装置のクリーニング方法およびそのためのクリーニング装置
US6648012B2 (en) * 2001-06-13 2003-11-18 Applied Materials, Inc. Non-return valve override device
US6591850B2 (en) * 2001-06-29 2003-07-15 Applied Materials, Inc. Method and apparatus for fluid flow control
US6710489B1 (en) * 2001-08-30 2004-03-23 Indigo Energy, Inc. Axially free flywheel system
JP2003086672A (ja) 2001-09-06 2003-03-20 Applied Materials Inc リフロー方法及び装置、並びに、膜形成方法及び装置
US7175713B2 (en) * 2002-01-25 2007-02-13 Applied Materials, Inc. Apparatus for cyclical deposition of thin films
JP2003264186A (ja) * 2002-03-11 2003-09-19 Asm Japan Kk Cvd装置処理室のクリーニング方法
JP3527915B2 (ja) * 2002-03-27 2004-05-17 株式会社ルネサステクノロジ Cvd装置およびそれを用いたcvd装置のクリーニング方法
JP3855081B2 (ja) * 2002-07-01 2006-12-06 株式会社日立国際電気 フッ素ガスによるクリーニング機構を備えたcvd装置およびcvd装置のフッ素ガスによるクリーニング方法
DE20302274U1 (de) * 2003-02-12 2004-06-24 Voss Automotive Gmbh Anbausatz für ein Fahrzeug-Luftfedersystem mit einem zuschaltbaren Zusatz-Luftfedervolumen
TWI265380B (en) * 2003-05-06 2006-11-01 Asml Netherlands Bv Lithographic projection apparatus
JP3940095B2 (ja) 2003-05-08 2007-07-04 忠弘 大見 基板処理装置
JP2005072446A (ja) * 2003-08-27 2005-03-17 Chi Mei Electronics Corp プラズマ処理装置及び基板の表面処理装置
JP2005090419A (ja) * 2003-09-19 2005-04-07 Denso Corp 内燃機関用スロットル装置
US7572337B2 (en) 2004-05-26 2009-08-11 Applied Materials, Inc. Blocker plate bypass to distribute gases in a chemical vapor deposition system
US7622005B2 (en) 2004-05-26 2009-11-24 Applied Materials, Inc. Uniformity control for low flow process and chamber to chamber matching
US7628863B2 (en) 2004-08-03 2009-12-08 Applied Materials, Inc. Heated gas box for PECVD applications
JP2006128485A (ja) * 2004-10-29 2006-05-18 Asm Japan Kk 半導体処理装置
US20060090773A1 (en) 2004-11-04 2006-05-04 Applied Materials, Inc. Sulfur hexafluoride remote plasma source clean
US7198677B2 (en) * 2005-03-09 2007-04-03 Wafermasters, Inc. Low temperature wafer backside cleaning
US7534469B2 (en) * 2005-03-31 2009-05-19 Asm Japan K.K. Semiconductor-processing apparatus provided with self-cleaning device
US20060249175A1 (en) 2005-05-09 2006-11-09 Applied Materials, Inc. High efficiency UV curing system
US7387291B2 (en) * 2005-05-16 2008-06-17 Honeywell International Inc. Collapsible shaft and control assembly
US20060266288A1 (en) 2005-05-27 2006-11-30 Applied Materials, Inc. High plasma utilization for remote plasma clean
GB0516500D0 (en) * 2005-08-11 2005-09-14 Active Technologies Ltd Valve assembly
US7884032B2 (en) * 2005-10-28 2011-02-08 Applied Materials, Inc. Thin film deposition
US7678662B2 (en) * 2005-12-13 2010-03-16 Applied Materials, Inc. Memory cell having stressed layers
US20070207275A1 (en) * 2006-02-21 2007-09-06 Applied Materials, Inc. Enhancement of remote plasma source clean for dielectric films
JP4739128B2 (ja) * 2006-06-28 2011-08-03 愛三工業株式会社 吸気制御弁
US7527069B2 (en) * 2006-08-28 2009-05-05 Honeywell International Inc. Electromagnetic split flapper pressure relief valve
US7699935B2 (en) 2008-06-19 2010-04-20 Applied Materials, Inc. Method and system for supplying a cleaning gas into a process chamber
US9185856B1 (en) * 2014-06-28 2015-11-17 Frank August Barcatta Liquid flow rate modulator

Also Published As

Publication number Publication date
US10094486B2 (en) 2018-10-09
JP2011525054A (ja) 2011-09-08
TW201009977A (en) 2010-03-01
US20100012273A1 (en) 2010-01-21
KR101543991B1 (ko) 2015-08-13
US7699935B2 (en) 2010-04-20
US8591699B2 (en) 2013-11-26
US20090314309A1 (en) 2009-12-24
CN103170478A (zh) 2013-06-26
CN102067279B (zh) 2013-03-27
KR20110018458A (ko) 2011-02-23
US20130213574A1 (en) 2013-08-22
US20160084400A1 (en) 2016-03-24
US9206511B2 (en) 2015-12-08
CN102067279A (zh) 2011-05-18
WO2009155028A1 (en) 2009-12-23
US20140076236A1 (en) 2014-03-20

Similar Documents

Publication Publication Date Title
JP5538376B2 (ja) 洗浄ガスをプロセスチャンバーに供給するための方法およびシステム
WO2008094752A1 (en) Processing chamber with heated chamber liner
US20080296304A1 (en) Slit valve
CN207353216U (zh) 基板处理装置
US10199225B2 (en) Substrate processing apparatus
US20200185260A1 (en) Semiconductor processing system
TW202230471A (zh) 熱均勻的沉積站
KR102471048B1 (ko) 저입자 보호 플래퍼 밸브
TW202200817A (zh) 高溫化學氣相沉積蓋
CN107978545A (zh) 晶片舟组件及包含晶片舟组件的衬底处理设备
US11674227B2 (en) Symmetric pump down mini-volume with laminar flow cavity gas injection for high and low pressure
KR102644257B1 (ko) 차단 밸브
CN220556057U (zh) 一种远程等离子体发生装置的截断阀和半导体设备
US11982359B2 (en) Isolation valve
KR20180003297A (ko) 증착공정용 개폐장치
KR100797887B1 (ko) 디퓨젼 플랜지 및 이의 제조방법
KR20080071642A (ko) 박스 스패너 및 그가 채용되는 진공 장치

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20120525

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20131008

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20131010

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20131220

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20140401

R150 Certificate of patent or registration of utility model

Ref document number: 5538376

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20140428

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees