TW201009977A - Method and system for supplying a cleaning gas into a process chamber - Google Patents

Method and system for supplying a cleaning gas into a process chamber Download PDF

Info

Publication number
TW201009977A
TW201009977A TW098119953A TW98119953A TW201009977A TW 201009977 A TW201009977 A TW 201009977A TW 098119953 A TW098119953 A TW 098119953A TW 98119953 A TW98119953 A TW 98119953A TW 201009977 A TW201009977 A TW 201009977A
Authority
TW
Taiwan
Prior art keywords
gas
chamber
plasma source
valve
support assembly
Prior art date
Application number
TW098119953A
Other languages
English (en)
Inventor
Ramprakash Sankarakrishnan
Dale Dubois
Ganesh Balasubramanian
Karthik Janakiraman
Juan Carlos Rocha-Alvarez
Thomas Nowak
Visweswaren Sivaramakrishnan
Saad Hichem M
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of TW201009977A publication Critical patent/TW201009977A/zh

Links

Classifications

    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F16ENGINEERING ELEMENTS AND UNITS; GENERAL MEASURES FOR PRODUCING AND MAINTAINING EFFECTIVE FUNCTIONING OF MACHINES OR INSTALLATIONS; THERMAL INSULATION IN GENERAL
    • F16KVALVES; TAPS; COCKS; ACTUATING-FLOATS; DEVICES FOR VENTING OR AERATING
    • F16K31/00Actuating devices; Operating means; Releasing devices
    • F16K31/02Actuating devices; Operating means; Releasing devices electric; magnetic
    • F16K31/06Actuating devices; Operating means; Releasing devices electric; magnetic using a magnet, e.g. diaphragm valves, cutting off by means of a liquid
    • F16K31/0644One-way valve
    • F16K31/0655Lift valves
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B5/00Cleaning by methods involving the use of air flow or gas flow
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B7/00Cleaning by methods not provided for in a single other subclass or a single group in this subclass
    • B08B7/0021Cleaning by methods not provided for in a single other subclass or a single group in this subclass by liquid gases or supercritical fluids
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4409Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber characterised by sealing means
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45561Gas plumbing upstream of the reaction chamber
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F16ENGINEERING ELEMENTS AND UNITS; GENERAL MEASURES FOR PRODUCING AND MAINTAINING EFFECTIVE FUNCTIONING OF MACHINES OR INSTALLATIONS; THERMAL INSULATION IN GENERAL
    • F16KVALVES; TAPS; COCKS; ACTUATING-FLOATS; DEVICES FOR VENTING OR AERATING
    • F16K1/00Lift valves or globe valves, i.e. cut-off apparatus with closure members having at least a component of their opening and closing motion perpendicular to the closing faces
    • F16K1/16Lift valves or globe valves, i.e. cut-off apparatus with closure members having at least a component of their opening and closing motion perpendicular to the closing faces with pivoted closure-members
    • F16K1/18Lift valves or globe valves, i.e. cut-off apparatus with closure members having at least a component of their opening and closing motion perpendicular to the closing faces with pivoted closure-members with pivoted discs or flaps
    • F16K1/22Lift valves or globe valves, i.e. cut-off apparatus with closure members having at least a component of their opening and closing motion perpendicular to the closing faces with pivoted closure-members with pivoted discs or flaps with axis of rotation crossing the valve member, e.g. butterfly valves
    • F16K1/221Lift valves or globe valves, i.e. cut-off apparatus with closure members having at least a component of their opening and closing motion perpendicular to the closing faces with pivoted closure-members with pivoted discs or flaps with axis of rotation crossing the valve member, e.g. butterfly valves specially adapted operating means therefor
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F16ENGINEERING ELEMENTS AND UNITS; GENERAL MEASURES FOR PRODUCING AND MAINTAINING EFFECTIVE FUNCTIONING OF MACHINES OR INSTALLATIONS; THERMAL INSULATION IN GENERAL
    • F16KVALVES; TAPS; COCKS; ACTUATING-FLOATS; DEVICES FOR VENTING OR AERATING
    • F16K1/00Lift valves or globe valves, i.e. cut-off apparatus with closure members having at least a component of their opening and closing motion perpendicular to the closing faces
    • F16K1/16Lift valves or globe valves, i.e. cut-off apparatus with closure members having at least a component of their opening and closing motion perpendicular to the closing faces with pivoted closure-members
    • F16K1/18Lift valves or globe valves, i.e. cut-off apparatus with closure members having at least a component of their opening and closing motion perpendicular to the closing faces with pivoted closure-members with pivoted discs or flaps
    • F16K1/22Lift valves or globe valves, i.e. cut-off apparatus with closure members having at least a component of their opening and closing motion perpendicular to the closing faces with pivoted closure-members with pivoted discs or flaps with axis of rotation crossing the valve member, e.g. butterfly valves
    • F16K1/224Details of bearings for the axis of rotation
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F16ENGINEERING ELEMENTS AND UNITS; GENERAL MEASURES FOR PRODUCING AND MAINTAINING EFFECTIVE FUNCTIONING OF MACHINES OR INSTALLATIONS; THERMAL INSULATION IN GENERAL
    • F16KVALVES; TAPS; COCKS; ACTUATING-FLOATS; DEVICES FOR VENTING OR AERATING
    • F16K31/00Actuating devices; Operating means; Releasing devices
    • F16K31/02Actuating devices; Operating means; Releasing devices electric; magnetic
    • F16K31/06Actuating devices; Operating means; Releasing devices electric; magnetic using a magnet, e.g. diaphragm valves, cutting off by means of a liquid
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F16ENGINEERING ELEMENTS AND UNITS; GENERAL MEASURES FOR PRODUCING AND MAINTAINING EFFECTIVE FUNCTIONING OF MACHINES OR INSTALLATIONS; THERMAL INSULATION IN GENERAL
    • F16KVALVES; TAPS; COCKS; ACTUATING-FLOATS; DEVICES FOR VENTING OR AERATING
    • F16K31/00Actuating devices; Operating means; Releasing devices
    • F16K31/02Actuating devices; Operating means; Releasing devices electric; magnetic
    • F16K31/06Actuating devices; Operating means; Releasing devices electric; magnetic using a magnet, e.g. diaphragm valves, cutting off by means of a liquid
    • F16K31/08Actuating devices; Operating means; Releasing devices electric; magnetic using a magnet, e.g. diaphragm valves, cutting off by means of a liquid using a permanent magnet
    • F16K31/086Actuating devices; Operating means; Releasing devices electric; magnetic using a magnet, e.g. diaphragm valves, cutting off by means of a liquid using a permanent magnet the magnet being movable and actuating a second magnet connected to the closing element
    • F16K31/088Actuating devices; Operating means; Releasing devices electric; magnetic using a magnet, e.g. diaphragm valves, cutting off by means of a liquid using a permanent magnet the magnet being movable and actuating a second magnet connected to the closing element the movement of the first magnet being a rotating or pivoting movement
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/905Cleaning of reaction chamber

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Engineering & Computer Science (AREA)
  • Mechanical Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)
  • Chemical Vapour Deposition (AREA)

Description

201009977 六、發明說明: 【發明所屬之技術領域】 本發明之實施例大致上關於用以清潔基材處理設備之 製程腔室的設備與方法。特別是,本發明之實施例係關 於用以清潔用於沉積之製程腔室的設備與方法。 【先前技術】 鲁 在製程腔室已經執行許多沉積步驟後,製程腔室必須 凊潔以移除可能已形成在腔室壁上之不希望的沉積殘餘 .物。一種用以清潔目前化學氣相沉積(CVD)或電漿增強 化學氣相沉積(PECVD)製程腔室之傳統方式係使用由遠 端電漿源(remote plasma source,RPS)供應的清潔電槳, 其中RPS係遠離製程腔室。RPS提供清潔電漿(通常是由 氟基清潔氣體形成),其經由氣體循環硬鳢(包括裝設在 製程腔室中的氣鳢箱、氣體岐管與氣體散佈系統)流入沉 • 積腔室》 為了在.链刻期間獲得更高的钱刻速率,清潔電襞通常 疋以含有原子氟基團的活躍形式來供應》然而,從;RPS . 到沉積腔室的複雜傳送路徑時常導致原子氟基團和具有 低蝕刻速率之分子氣體的預成熟再結合❶結果,儘管清 潔氣體的前驅物分解效率是高的,清潔效率可能是低 的。再者,對於具有大容積和精密幾何形態的腔室而言 (諸如300 miD製程腔室),腔室泵送埠通常靠近用來輸送 4 201009977 清潔氣體到腔室的喷頭。所以,噴頭與泵送埠間之基材 支撐組件下方不佳的氣體循環造成了基材支撐組件下方 低的清潔效率。 因此,亟需一種用以清潔製稃腔室之改善的設備與方 法0 【發明内容】 - : - .· 本發明提出一種用以清潔一製程腔室之方法與設備β 在一實施例中,本發明揭示一製程腔室’其包括一遠端 電漿源與一具有至少兩個製程區域之製程腔室。各製程 區域包括:一基材支撐組件,其設置在該製程區域中; 一氣體散佈系統,其配置以提供氣艎到該基材支揮組件 上方之該製程區域内;以及一氣體通道’其配置以提供 氣體到該基材支撐組件下方之該製程區域内。一第一氣 體導管係配置以將一清潔試劑從該遠端電漿源經由該氣 體散佈組件流入各該製程區域,而一第二氣鱧導管係配 置以將來自該第一氣體導管之該清潔試劑的一部分轉向 到各該製程區域之該氣體通道。 在另一實施例中,本發明揭示一基材處理系統,其包 括:一負載閉鎖腔室;一傳送腔室,其耦接到該負載閉 鎖腔室;一遠端電漿源;以及一製程腔室,其耦接到該 傳送腔室。該製程腔室包含:一腔室主體,其具有至少 一第一製程區域;一第一基材支擇組件,其設置在該第 201009977 一製程區域中;一第一氣體散佈組件,其耦接到該遠端 電漿源,並配置以從該遠端電漿源由該基材支撐組件上 方提供氣體到該第一製程區域内;以及一第一氣體通 道其耗接到該遠端電漿源,並配置以從該遠端電聚源 由該基材支撐組件下方提供氣體到該第一製程區域内。 在另一實施例中,本發明揭示一用以供應一製程氣體 2一製程腔室之方法。該方法包含:提供一電衆源;使 一第一體積之清潔試劑從該電漿源經由該製程腔室之頂 部流入該製程腔室之一内部容積;以及使—第二趙積之 清潔試劑從位在-基材支撐組件下方流人該内部容積。 【實施方式】
奴平的万式來清潔。 以更有 201009977 第1圖係顯示一基材處理系統100之一實施例的示意 圖°基材處理系統100包含一工廠界面11〇(基材在此處 被裝載到至少一負載閉鎖腔室140且該至少一負載閉鎖 腔室140其卸載)、一基材傳送腔室170(其容納一機械手 臂172以用於操縱基材)、以及至少一製程腔室2〇〇(其連 接到傳送腔室170)。處理系統100係適於進行各種電漿 製程,例如蝕刻、CVD或PECVD製程〇 如第1圖所示’工廉界面11〇可以包括多個基材匿件 • 113與一基材操縱機械手臂115»各匣件113包含多個即 將處理的基材。基材操縱機械手臂115可以包含一基材 映對系統以將各匣件113中的基材作索引,用於準備將 該些基材裝載到負载閉鎖腔室140内。 負載閉鎖腔室140提供一真空界面於工廠界面11()與 傳送腔室170之間》各負載閉鎖腔室14〇可以包含一上 基材支撐件(未示出)與一下基材支撐件(未示出),其堆疊 籲 在負載閉鎖腔室140内。上基材支撐件與下基材支撐件 係配置以支撐其上之進入與退出的基材。基材可以經由 狹缝閥146被傳送在工廠界面110與負載閉鎖腔室ι4〇 之間’並且經由狹縫閥148被傳送在負載閉鎖腔室M〇 與傳送腔室170之間。上基材支撐件與下基材支撐件可 以包含溫度控制的特徵’例如埋設式加熱器或冷卻器以 在傳送期間加熱或冷卻基材。 傳送腔室170包括一基材操縱機械手臂172,其係可 操作以傳送基材於負載閉鎖腔室丨4〇與製程腔室200之 201009977 間更詳細地說,基材操縱機械手臂1 72可以具有雙基 材操縱葉片174,雙基材操縱葉片174適於從一腔室同 時傳送兩基材到另一腔室。葉片1 74也可以配置以獨立 地移動。基材可以經由狹缝閥176被傳送於傳送腔室i ”製程腔室2〇〇之間。基材操縱機械手臂172的移動可 藉由馬達驅動系統(未示出)來控制,馬達驅動系統 包括伺服或步進馬達。 第2圓係為綠示製程腔室2〇〇之一實施例的截面圖。 製程腔室200包含兩個製程區域2〇2,基材可以在該兩 個製程區域202中同時地進行電漿處理。各製程區域2〇2 具有側壁212與底部214,其部分地界定一製程容積 216。製程容積216可以經由一形成在壁212中的接取埠 (未示出)來接取而選擇性地被閥176密封,閥176用以 使基材204移動進出各製程區域2〇2。各製程區域2〇2 的壁212與底部214可以由單一的鋁塊或與製程相容的 其他材料製成。各製程區域2〇2的壁212係支撐一蓋組 件222並包括一内襯224,内襯224的組件具有一排氣 埠226 ’製程區域202可以藉由一真空泵(未示出)經由排 氣埠226來排空。 一基材支揮組件230設置在各製程區域2〇2的中央。 在一實施例中,支撐組件230可以受溫度控制》支撐組 件230包含一由鋁製成的支撐基座232,其可内封至少 一埋設的加熱器234,加熱器234係可操作以可控制地 加熱支撐組件230與定位其上的基材204到一預定溫 8 201009977 度。在一實施例中,支撐組件230可以操作以維持基材 204於约150。(;至約1000〇c的溫度,取決於對於所處理 之材料的製程參數。 各支撐基座232具有一上側236以用於支撐基材2〇4, 而支撐基座232的下侧耦接到一桿238。桿238將支撐 組件230耦接到一升降系統24〇,升降系統24〇可將支 撐組件230垂直地移動於一升高處理位置與一下降位置 之間,而可使基材傳送進出製程區域2〇2。此外,桿238 • 提供一導線管,其係用於支撐組件230與腔室200之其 他部件間的電氣與熱電耦導線(lead)。一蛇腹 (bell〇WS)242耦接在各製程區域202之桿238與底部214 門蛇腹242係心:供製程容積216與各製程區域202外 面之大氣間的真空密封’同時可促進支撐組件23〇的垂 直移動。 為了促進基材204的傳送,各支撐基座232亦具有複 數個開π 246 ’多個升降梢248係可移動地被裝設通過 該二開口 246。升降梢248係可操作以移動於一第一位 置與帛一位置之間。如第2圖所示’第-位置允許基 材204停置在支攆基座 惑厓232之上側236上。第二位置(未 示出)將基材204舉井# 士 平开於支撐基座232之上,從而使基材 204得以被傳送到來白 I* _ - 』果自一接取埠(未示出)的基材操縱機 械手臂172。升降始1 , 梢248的向上/向下移動可以由一可移 動板250來驅動。 蓋組件222提保_ L 4 m ,、一上邊界予各製程區域202之製程容 9 201009977 積216。蓋組件222可以被移除或開啟,以維護製程區 域202。在一實施例中,蓋組件222可以由鋁製成。 蓋組件222可以包括一入口埠260,一製程氣體可以 經由該入口琿260被導入製程區域202。製程氣體可以 包含由氣體源261提供的沉積(或蝕刻)氣體,或由遠端 電漿源(RSP)262提供的清潔電漿》氣體散佈組件270包 括一環狀基板272,環狀基板272具有一阻隔板274,阻 隔板274設置在面板(或喷頭)276中。阻隔板274提供均 φ 勻的氣體分佈到面板276的背侧。經由入口璋260供應 的製程氣體係進入第一中空容積278(其部分地被限制在 環狀基板272與阻隔板274之間),並接著流動通過複數 個通道280(其形成在阻隔板274中),而進入介於阻隔板 274與面板276間的第二容積282。然後,製程氣體從第 二容積282經由複數個形成在面板276中的通道284進 入製程容積216。面板276藉由一絕緣質材料286與腔 室壁212和阻隔板274(或基板272)隔離《環狀基板272、 參 阻隔板274及面板276可以由不銹鋼、鋁、陽極化鋁、 鎳、或能夠以電漿(例如氯基清潔氣體、氟基清潔氣體、 其組合、或其他經選擇的清潔化學物)來清潔之其他相容 金屬合金製成。 為了輸送製程氣體到各製程區域202,一氣體循環系 統裝設在各製程區域202與氣體源261和RPS 262之 間。氣體循環系統包含多個第一導管290(其分別將各製 程區域202之頂部處的入口埠260連接到氣體源261與 201009977 RPS 262)以及至少一第二氣髏導管M4(其經由一閥300 與第一氣體導管290連接)。第二氣體導管294耦接到一 或多個通道292,該些通道292係向下延伸穿過腔室壁 並與一或多個橫向通道296相交,該些橫向通道296係 各自開放到各製程區域2〇2之底部内。在第2圖繪示的 實施例中’個別的通道292、296係用來將各區域2〇2個 別地耦接到閥300。可瞭解,各區域202可以具有由一 個別的專用閥300所控制而向其連接的氣體輸送,以便 清潔氣體的流量得以可選擇地且獨立地被輸送到各區域 2〇2 ’包括輸送清潔氣體到其一區域2〇2且不輸送清潔氣 體到另一區域。當從RPS 262提供一清潔電漿時,可以 開啟閥300,以便經由各製程區域2〇2之頂部來輸送的 清潔電漿的-部分也可以被轉向到各製程區域之底部。 因此,可以實質避免基材支撐組件230下方之清潔電漿 的停滯,並且可以改善對於基材支撐組# 23〇ίγ方之區 域的清潔效率。 第3Α-Β圖係為繪示閥3〇〇之 之一實施例的水平截面圖與
部分立體圖。如圖所示,閥3( 翼片302、一浓封ir η η λ ^ 主體330 302可以 由鋁製成。閥主體330容納翼片3〇2,翼片 201009977 可選擇地旋轉以實質避免流動通過閥主體330的入口 399與一對出口埠332之間。入口 399係配置以耦接到 RPS源262,而出口埠332係配置以經由第二氣體導管 294與通道292耦接到區域202。入口 399與出口槔332 可以配置以接受一接頭,其中該接頭係適於對導管29〇、 294進行防漏連接。 翼片302的致動器部分被杯密封件3〇4圍繞,其用以 將密封杯3 04穩固地固定到閥主體330。翼片3 02可劃 分成一外主體310(其具有大致上矩形的形狀)與一阻流 板3 12(其附接到外主體3 1 〇的相對側p在一實施例中, 包括外主體310與阻流板312之翼片302可以是單一而 不可分且由鋁或其他材料製成的本體,如前所述。翼片 302與主體330係被製造成具有相近的公差,從而使得 在其之間具有最小的洩漏。因此,翼片與主鱧3 3 〇係設 計以免除了個別動態密封(其可能磨損且/或被清潔氣體 與/或其他物種附著)的需求。當使用時,密封杯3〇4(其 實質内封該外主艟3 10)係適於允許翼片302的相對轉 動,並且實質密封阻流板312從外面環境相應於氣體循 環系統内部的側。 翼片302的旋轉係經由耦接機構3〇8來驅動。在一實 施例中,耦接機構308具有大致上xj形形狀而含有兩個 磁化端部分318。磁化端部分318具有埋設的磁鐵,其 元全地被内封在翼片302内,因而得以避免埋設之磁鐵 與腐蝕性氣鱧的直接接觸。耦接機構3〇8位在密封杯3〇4 12 201009977 上方,兩磁化端部分318分別面對埋設在外主體310中 之磁鐵322的兩相對磁極320 »磁鐵322可以是永久磁 鐵與/或電磁鐵。當密封杯304與耦接機構308之間存在 一間隙時’可保護密封杯304免於與耦接機構308的高 溫接觸。當耦接機構308旋轉時,磁化端部分318與磁 鐵322之相對磁極320間的磁性吸引可使翼片302旋 轉。以此方式’阻流板312的方位可以藉由旋轉來改變, 以允許氣流通過(如第3A圖所示的開啟狀態)或阻隔氣 流通過(如第3 A圖之虛線所示的關閉狀態)。 第3C圖係為耦接到第二導管294之閥3 00之一實施例 的截面圖’其係沿著第3A圖的線C_C繪製。密封杯3〇4 包括一軸環306 ’軸環306可以被固定到閥主體33〇以 保持翼片302。一靜態密封件314可以設置在閥主體33〇 與軸環之間以避免洩漏。靜態密封件314可以由適於製 程與清潔化學(其在實施例中係使用矽基清潔氣鱧)的材 φ 料製成,可以是VlT〇N »由於閥300不具有移動的軸或 動態密封件,間的舱雄.备A 7 .丄τ* _
閥部件。 翼片302的旋轉可以經由滾珠軸承334(其設置在阻流
定位成阻隔或允許氣流 與密封杯304之間)來促進β藉 可以因此將阻流板312的方位 340(例如被導向第二氣體導管 13 201009977 294的清潔氣體)的通過。 替代地’或除了滾珠軸承334、336以外,可以設置一 轴承398於翼片302與閥主體33〇之間,如第扣圖所示。 軸承398可以由可抵抗氟與氧基團腐料材料製成其 在-實施例中是陶究材料。袖承398包括一上軸承環 395,上軸承環395經由複數個滾珠396旋轉在一下軸承 環397上。上軸承環395係接觸翼片302。在一實施例 中’上轴承環395係磨扣到翼片3〇2。下軸承環撕係 接觸闕主Μ 330。在-實施例中,下轴承環397係壓扣 到閥主體330。滾# 396可以是圓柱形、球形、一端逐 漸變細的形狀、圓錐形、或其他適當的形狀。 替代地,可以使用一或多個磁性軸承39〇以在翼月3〇2 與閥主體330之間提供一軸承,如第3Ε圓所示。磁性轴 承390包括一對互斥的磁鐵。在第3ε圖綠示之實施例 中磁性軸承390包括兩對互斥的磁鐵,即設置在翼片 3〇2之相對端之第一對392Α、394α與第二對392β、 394Β。磁鐵394Α、394Β係被内封在翼片3〇2内,從而 使得其可受保護而免於清潔氣體中的氟與氧基團。磁鐵 392A 392Β可以是永久磁鐵或電磁鐵。磁鐵對392a、 394A及3 92B、3 94B係用以升高閥主體33〇内的翼片, 以使翼片302得以藉由與耦接機構3〇8之磁性交互作用 而自由地被旋轉。 耗接機構308係由一致動器39〇來旋轉,以開啟與關 閉閥则。致動器39Q可以是螺線管、氣動馬達、電動 14 201009977 馬達、氣缸、或適於控制耦接機構308之旋轉運動的其 他致動器。致動器390可以裝設到閥3〇〇、製程腔室200、 或其他適當的結構。 第4圖是一流程圖’其繪示用以運作製程腔室2〇〇之
順序之一實施例的方法步驟❶在起始步驟402,將一基 材導入製程腔室200之一製程區域2〇2,以進行一電漿 製程(例如蝕刻或沉積製程卜在步驟4〇4,當閥3〇〇關閉 時從氣體源261經由第一導管29〇與各製輊區域2〇2 之頂部處的氣體散佈組件270輸送一製程氣體到製程容 積216内。在步驟406,在完成電漿製程之後,基材被 移出製程區域202。在步驟408,當閥500位於關閉狀態 時,從RPS 262經由第一導管29〇與各製程區域2〇2之 頂部處的氣體散佈組件270輸送一清潔試劑(例如氯基清 潔氣邋、氟基清潔氣體、或其組合在一實施例中,清 潔氣體可以包含NFm Cl2、CF4、c2f6、ccl4 或C2Cle之至少一者。當經由各製程區域2们之頂部將 清潔氣體導入時,步驟41〇的閥3〇〇係開啟一時段,以 將所供應電聚之-部分經由通道292轉向到基材支承組 件230下方之各製程區域2〇2的底部2心此額外的清 潔電漿流動可減少氟基㈣再結合,並去除支擇組件23〇 下方的流動停滞。此外,將經轉向的清潔氣體經由渠道 196導入可在被系送出腔室㈣之前於基材支稽組件23〇 下方建立良好混合的奢流。因此,可以改善各製程區域 2〇2中的清潔率°可以瞭解’可以在步驟彻將清潔氣 15 201009977 體導入之前或的同時,谁你本碰 進订步驟41〇之閥300的開啟。 在步驟412,一旦完成了生如 战了清潔運作,清潔氣體的供應係 終止。也可以瞭解,閥 00可以是適於控制從RPS 262 經由導管290、294之相斟、6旦 # f流量之另一類型閥(包括切換 流經導管290、294之流鼍认味4 1量於流動及不流動狀態間,或提 供經選擇之流經導管29〇、 的流量比範園)。 如前所述,基材處理系 糸統因而得以可控制地將製程氣 體經由製程腔室之頂部與邱 ^ * *, «〇 Ba
1兴履部流入。在清潔期間,同時 &腔室之頂部與底部流人製程容積(即從基材支 撐件之頂側與底側)的清潔電漿的經控制供應可以減少 製程容積内化學物基團的再結合。支撐組件下方之清潔 氣體的水平導入可產生得以提升腔室清、潔的奈流。再 者,更低的總質量流率(mass flow rate)造成了更高的重 量百分比的清潔試劑流入製程腔室的底部。例如,在總 電漿流速為5000 sccm下可以將42.67質量百分比的清潔 試劑經由導管294與通道292引導到製程腔室的底部, 而在總電漿流速為15000 sccin下僅28.8質量百分比的清 潔試劑流到製程腔室的底部。故,更低的總電漿流速可 以將更高百分比的清潔試劑轉向到製程腔室的底部,並 且因此可以更有效率地清潔製程腔室。 第5圖係翼片5〇〇之另一實施例的爆炸圖。第6圏係 翼片500的俯視圖。參照第5-6圖,翼片500包括一主 體5 02、一蓋504、以及一或多個磁鐵506。包括外主體 310與阻流板312之翼片500可以是單一而不可分且由 201009977 鋁或,、他材料製成的本體,如前所述。主體5〇2與蓋5料 可以由前述材料製成。 主體502包括外主體534與阻流板538。外主體… 具有凹部528形成在—第—端53〇,其尺寸可以容納蓋 504之至J -部分。在一實施例中,蓋,係壓扣到凹 邛528内’因此蓋5〇4無法在凹冑528内旋轉。替代地, ❿ 蓋5〇4能夠以避免旋轉的方式被釘到、黏附到、黏結到、 焊接到、或固定到主體5〇2。 阻流板538係從主體5〇2之一第二端54〇延伸到一碟 盤536。碟盤536的尺寸可與形成在閥主體中的凹部接 以促進翼片500的旋轉。碟盤536大致上具有小於 外主趙534之直徑的直徑。㈣536之底表面532包括 八520用於保持—滾珠轴承(未示出),其㈣滾珠轴承 可促進翼片500的旋轉。 主體520之第二端54()也包括複數個凹處形成在其 中。在一實施例卜該些凹處542的方位是徑向,並且 其繞著—極性陣列而等距分隔。該些凹處542係配置以 與從上軸承環395延伸的多個突出部(未顯示)配合, 從而使上轴承環395隨著翼片5⑽旋轉被鎖故住。 第7囷係為碟盤536剖過穴52〇的部分截面圖。穴52〇 包括冑孔606 ’盲孔606形成為與主體5〇6之中心線 同心。-錐坑(Countersink)6〇4形成為與孔_同心。錐 坑604係形成於可促進穴52〇内滚珠軸承之保持的角度。 參照第5-6圖,蓋5〇4包括一圓柱形主截51〇 ,圓柱形 17 201009977 主體510具有一上端516及一下端518。圓柱形主體510 的直徑可可使其嵌入主體502之凹部528。一唇部508 形成在主體510之上端516以使主體502之端53 0可座 落在唇部508所定義的一突壁(le(Jge)512上,藉此能得 以使主體510穿入到主體502内一預定深度。穴52〇也 可以形成在蓋504中,以使滚珠軸承(未示出)得以保持 在翼片500的中心轴上。
一橫向孔514係形成穿過主體510,以容納一或多個 磁鐵506。橫向孔514係垂直於翼片500的中心線而形 成。當蓋5〇4插入到主體502之凹部528時,該一或多 個磁鐵506會佔據在橫向孔514中》 在一實施例中,該一或多個磁鐵506包括以線性配置 來堆疊的複數個磁鐵。在第5圖之實施例中,該一或多 個磁鐵506包括一北極524、一南極522、以及一或多個 堆疊在其間的磁鐵526。 第8A-B圖係為閥主體800之另一實施例的俯視圖與仰 視圖。儘管閥主體800可以由其他適當的材料製成,閥 主體800大致上為單一的鋁或陶瓷構件。閥主體8〇〇包 括一頂表面802與一底表面804。一第一孔81〇從頂表 面802形成到主體800内。第一孔81〇係至少部分位在 一延伸部分806中。延伸部分806具有一第一通道812(以 虛線顯示)形成其間。第一通道812之端部係用以將閥主 髏800連接到與遠端電漿源262連通的導管。第一通道 812之第二端係以 字形連接到一第 二通道824(也以虛 18 201009977 線顯示)内。第一孔810對齊於第一通道812,並且第一 孔810的尺寸可使其容納翼片,以控制流經第一通道812 到第二通道824之流體流動。複數個螺紋化盲裝設孔816 係形成在閥主體800之第一側802中,以將密封杯(未示 出)保持到閥主體800。 閥主體800之第二側804包括一第二與第三孔818。 第二與第三孔81 8在第一與第二通道812、814之交會處 的兩侧連通於第二通道824。一 〇-環溝槽820界定各孔 • 818 ’以允許導管從閥800延伸到腔室主體内而密封地被 耦接到閥800。藉由使用通過裝設孔822(其形成穿過主 體8 00)的固定件(未示出),可以壓縮〇_環以密封麵接到 第二與第三孔818之接頭。在第8 A_B圖繪示之實施例 中’四個裝設孔822係與各孔818相關聯。 現參照第8C圖之截面圖’第二通道824可以在兩端以 插塞830來密封《插塞830可以被壓嵌到、焊接到、黏 ❹ 結到、黏附到、螺紋化到、或以其他適當方式密封地耦 接到主體800。 現參照第8D圖之截面圖,第一孔81〇包括一突壁 832,突壁832係形成翼片外主體的界面與/或支撐軸承 398之下軸承環397。翼片的阻流板延伸到孔81〇内並可 以旋轉’以控制流經第一通道812的流量。第一孔$ 1 〇 之底部也可以包括一穴520,以促進設置在翼片與主體 800間之滾珠(未示出)留置。在使用轴承398的實施例 中’突壁832可以包括複數個凹處84〇,該些凹處84〇 19 201009977 係配置以與從軸承398之下軸承環397延伸的突出部配 合’從而使得在翼片800旋轉時下轴承環397被固定到 主體800。 再參照第1圖’ 一凸緣支撐件299係同轴地耦接於遠 端電漿源262之出口,以允許壓力感應器297偵測可表 面遠端電漿源262之出口壓力的測度。感應器297的形 式可以是壓力計(manometer)、壓力錶計(pressure gage)、 或用以獲得可表明離開遠端電漿源262之清潔試劑壓力 參 之測度的其他感應器。 第9圖係繪示凸緣支樓件299之一實施例。凸緣支標 件299包括一入口 902與兩出口 904、906。入口 902係 耦接到遠端電漿源262之出口,並且經由一主通道 920(其延伸穿過凸緣支撐件299)流體地耦接到第一出口 904❶第一出口 904耦接到從遠端電漿源262提供清潔試 劑到閥300與入口埠260的導管。第二出口 906係經由 次通道922流體地耦接到主通道920,其中該主通道92〇 ❹ 將入口 902輕接到第一出口 904。.第二出口 906係配置 以接受感應器297 » 在一實施例中,凸緣支撐件299包括一凸緣基座912、 一管件914、一肘部916及一凸緣918,其組裝成一壓力 密封組件。在一實施例中,凸緣基座912、管件914、肘 部916及凸緣918是由鋁或不銹鋼製成,並被焊接(例如 藉由連續焊接的方式)在一起。凸緣基座912包括一圓柱 形主體926,主通道920係形成穿過圓柱形主體926。圓 20 201009977 柱形主體92 6具有一主凸緣92 8於第一端與一次凸緣930 於第二端。 入口 902係形成穿過次凸緣930,並且被在其表面934 上的〇-環溝槽932圍繞。次凸緣930的表面934亦包括 複數個裝設孔(未示出),該些裝設孔在一實施例中的形 式是複數個穿孔。 第一出口 904係形成穿過主凸緣928。主凸緣928的 \ 表面936被光滑化以提供一密封表面。主凸緣928的表 面936亦包括複數個裝設孔(未示出),該些裝設孔在一 實施例中的形式是複數個穿孔。 圓柱形.主體926包括一孔938’孔938係穿設到主通 道920内》在一實施例中,孔938係形成為實質上垂直 主體926之中心線,其中主體926之中心線係與主通道 920之中心線共轴。 管件914係配置以藉由將界定穿過管件914之通道94〇 與孔938流體地耦接,而密封地耦接到圓柱形主體926。 在一實施例中,管件914之第一端具有一端逐漸變細的 形狀或具有減小的外徑,其中該外徑係插入孔938内以 促進管件914與主體926的耦接。管件914之第二端可 以具有一端逐漸變細的形狀或具有減小的外徑,其中該 外徑係插入肘部9i6内以促進管件914與肘部916的耦 接。 凸緣918包括一圓柱形桿95〇,圓柱形桿95〇具有一 通道960形成穿過其間。桿95〇之一端具有一唇部'Μ】。 21 201009977 唇部952圍繞一埠954,其中該埠954係界定第二出口 906。埠954係配置以適於將感應器297耦接到凸緣支撐 件 299。 在一實施例中’唇部952的表面956包括一凹部958, 凹部958與穿過桿950之通道96〇同心。唇部952的表 面956可以具有實質上垂直通道96〇之中心線的方位。 唇邛952的背側962可以在其一端逐漸變細,以耦接用 來固定感應器297的接頭(未示出)。在一實施例中,唇 籲 部的背側係與桿形成約2〇5。的角度。形成穿過凸緣918 的通道960、形成穿過肘部916的通道964、形成穿過管 件914的通道940、以及形成在凸緣基座912中的孔938 係界定次通道922。 故,凸緣支撐件299可允許來自遠端電槳源262之清 潔試劑的直接輸送而具有最少的阻隔,其中該阻隔會不 利地促使再結合。此外,凸緣支撐件299可以促進在傳 _ 統位置之感應器297的耦接,其中該感應器297係遠離 配置到腔室頂部之其他裝置。 儘管前述說明是著重在本發明之實施例,在不脫離本 發明之基本範圍下,可以設想出本發明的其他與進一步 實施例,並且本發明的範圍係由隨附申請專利範園所決 定。 、 【圖式簡單說明】 22 201009977 月之前述特徵、詳細說明可以藉由參照實施例來 詳:地瞭解’其中一些實施例係繪示在附圖中。然而, 值得意、的X附圖僅不出本發明的典型實施例,並且因 此不會限制本發明範圍,本發明允許其他等效的實施例。 帛1圖係為顯示-具有清潔系統之處理系統之一實施 例的平面圖。 帛2圖係為—雙製程腔室之-實施例的截面圖。 第3A圖係為繪不用在第2圖製程腔室中之閥之一實施 # 例的水平截面圖。 第3B圖係為第3a圖之閥的部分立體圖。 第3C圖係為第3A圖之閥的截面圖。 第4圖係為沉積順序之-實施例之方法步驟的流程 圖,其十該沉積順序可以被執行在第2圖之製程腔室中。 第5圖係為翼片之另-實施例的爆炸圖。 第6-7圖係為第5圖之翼片的部分截面圖與俯視圖。 • f 8Α·Β圖係為閥主體之另-實施例的俯視圏與仰視 圖。 第8C圖係為沿著第8Β圖之線㈣繪製之閥主體的 截面圖》 第8D囷係為沿著第8C圖之線8〇_叻繪製之閥主體的 截面圖。 第9圖係為凸緣支撐件之一實施例的截面圖。 為了促進瞭解,倘若可行,則在圖式令使用相同的元 件符號來指稱相同的元件。應知悉,—貧施例之元件與 23 201009977 特徵可以有益地被用在其他實施例中,而不需資述
【主要元件符號說明】 100 基材處理系統 110 工界面 113 基材匣件 115 基材操縱機械手臂 140 負載閉鎖腔室 146 狹缝閥 148 狹缝閥 170 傳送腔室 172 基材操縱機械手臂 174 基材操縱葉片 176 狹縫閥 200 製程腔室 202 製程區域 204 基材 212 製程區域側壁 214 製程區域底部 216 製程容積 222 蓋組件 224 内襯 226 排氣埠 230 基材支樓組件 232 支撐基座 234 加熱器 236 基材基座上側 238 桿 240 升降系統 242 蛇腹(bellows) 246 開口 248 升降梢 250 可移動板 260 入口埠 261 氣體源 262 遠端電漿源 270 氣體散佈組件 272 環狀基板 274 阻隔板 276 喷頭 278 中空容積 280 通道 282 氣體容積 24 201009977
284 通道 286 絕緣質材料 290 氣體導管 292 氣體導管 294 氣體導管 296 橫向通道 297 感應器 299 凸緣支撐件 300 三向節流閥 302 翼片 304 密封杯 306 轴環 308 耦接機構 310 翼片外主體 312 阻流板 314 靜態密封件 318 磁化端部分 320 磁極 322 磁鐵 330 氣體循環系統 332 出口埠 334 滾珠轴承 335 壁 336 滾珠轴承 340 氣流 390 致動器 392A 磁鐵 392B 磁鐵 394A 磁鐵 394B 磁鐵 395 上轴承環 396 滾珠 397 下轴承環 398 轴承 399 入口 400 方法 402-412 步驟 500 閥 502 主體 504 蓋 506 磁鐵 508 唇部 510 主體 512 突壁(ledge) 514 橫向孔 516 上端 518 下端 520 穴 25 201009977
522 南極 524 北極 526 磁鐵 528 凹部 530 第一端 534 外主體 536 碟盤 538 阻流板 540 第二端 542 凹處 604 錐坑(countersink) 606 盲孔 800 閥主體 802 頂表面 804 底表面 806 延伸部分 810 第一孔 812 第一通道 816 裝設孔 818 孔 820 溝槽 822 裝設孔 824 第二通道 830 插塞 832 突壁 840 凹處 902 入口 904 第一出口 906 第二出口 912 凸緣基座 914 管件 916 肘部 918 凸緣 920 主通道 922 次通道 926 主體 928 主凸緣 930 次凸緣 932 溝槽 934 表面 936 表面 938 孔 940 通道 950 桿 952 唇部 954 埠 956 表面 958 凹部 26 201009977 960 通道 962 背側
參 27

Claims (1)

  1. 201009977 七、申請專利範圍: l一種製程腔室,至少包含: 一遠端電漿源; 一製程腔室,其具有至少兩個製程區域,各製程 區域包含: 一基材支撐組件,其設置在該製程區域中; 一氣體散佈系統,其配置以提供氣體到該基 材支撐組件上方之該製程區域内; 一氣體通道,其配置以提供氣體到該基材支 撐組件下方之該製程區域内; 第一氣體導管,其配置以將一清潔試劑從 以遠端電漿源經由該氣體散佈組件流人各該製程區域; 體 —第二氣體導管,其配置以將來自該第一氣
    之該清潔試劑的一部分轉向到各該製程區域之該 氣體通道。 申請專利範圍第1項所述之製程腔室,更包含一 閥該閥可控制該第一氣體導管與該第二氣體導管間 的流量。 申請專利範圍第2項所述之製程腔室,其中該閥包 含一鋁主體。 28 201009977 4.如申請專利範圍第3項所述之製程腔室,其中該闕包 含: 一可移動的翼片,其具有一阻流板; 至少一磁鐵,其設置在該翼片中;以及 一耦接機構,其係可操作以將該翼片旋轉在一第 一位置與一第二位置之間,在該第一位置時該阻流板可
    pa隔流經該主體之流動,在該第:位置時該阻流板可允 許流經該主體之流動。 5.如申請專利範圍第4項所述之製程腔室,其中該耦接 機構係配置以藉由磁性交互作用來旋轉該翼片。 更包含至少 C2F6、CC14 6.如申請專利範圍第1項所述之製程腔室, 一下述氣體源:NF3、F2、SF6、Cl2、CF4、 或CsC!6 ’其耦接到該遠端電漿源。 7. —種基材處理系統,至少包含: 一負載閉鎖腔室; 一傳送腔室,其耦接到該負載閉鎖腔室; 一遠端電漿源;以及 一製程腔室,其耦接到該傳送腔室,其中該製程 腔室包含: Λ 一腔室主體 其具有至少一第 一製程區域 29 201009977 一第一基材支撐組件,其設置在該第一製程 區域中; 一第一氣體散佈組件,其耦接到該遠端電漿 源’並配置以從該遠端電漿源由該基材支撐組件上方提 供氣體到該第一製程區域内;以及 一第一乳體通道,其輕接到該遠端電漿源, 並配置以從該遠端電漿源由該基材支撐組件下方提供氣 體到該第一製程區域内。 ❿ 8.如申請專利範圍第7項所述之基材處理系統,其中該 腔室主體更包含: 一第二製程區域; 一第二基材支撐組件,其設置在該第二製程區域 中; 一第二氣體散佈組件,其耦接到該遠端電漿源, φ 並配置以從該遠端電漿源由該基材支撐組件上方提供氣 艎到該第二製程區域内;以及 一第二氣體通道,其耦接到該遠端電漿源,並配 置以從該遠端電漿源由該基材支撐組件下方提供氣體到 該第二製程區域内。 9.如申請專利範圍第8項所述之基材處理系統,其中該 第一與第二氣體通道係被定位用以產生流到内部容積 之實質向内氣流。 30 201009977 稣,更包 10.如中料㈣IU 8魏述之基材處 含: 、 -閥,其具有-入口與至少一出口, 到該遠端電装源’該至少-出口_該第:V"1接 體通道,其中該閥包含: 第二氣 一可移動的翼片’其具有-阻流板; -轉接機構,其係可操作以將該翼片旋轉在 第一位置與-第二位置之間,在該第—位置時該阻流 板可阻m該閥之流動,在㈣二位置時該阻流板可 允許流經該閥之流動。 11. 如申請專利範圍第10項所述之基材處理系統,其中 該耦接機構係配置以藉由磁性交互作用來旋轉該翼 片。 12. 如申請專利範圍第1〇項所述之基材處理系統,并中 該閥之氣流接觸表面係由可與至少一下述氣體相容的 材料構成·· nf3、f2、sf6、ci2、cf4、C2F6、ccl4 或 C2C16 〇 13. —種用以供應電漿到一製程腔室之方法,至少包含: 提供一遠端電漿源; 使一第一體積之清潔試劑從該遠端電漿源經由該 31 201009977 製程腔至之頂部流入該製程腔室之一内部容積;以及 使一第二體積之清潔試劑從位在一基材支撐組件 下方之一埠流入該内部容積。 14. 如令請專利範圍第13項所述之方法其中該第二體 積之清潔試劑係由該遠端電漿源來提供。 15. 如申請專利範圍第13項所述之方法,其中該清潔試 劑包含至少一下述氣體:NF3、F2、SF6、d cF4、 C2F6、CC14 或 C2C16 16. 如申請專利範圍第13項所述之方法,其中使清潔試 劑從該遠端電聚源經由該製程腔室之頂部流入該製程 腔室之内部容積係包含使該清潔試劑從該遠端電衆源 輕由一第一氣體導管並接著經由設置在該内部容積之 頂部處的一氣體散佈系統流入。 17. 如申請專利範圍第13項所述之方法,其中使第二艘 積之清潔試劑流入的步驟更包含: 將經由該製程腔室之頂部所 邹分轉向到料。 岐供之清潔試劑的- 其中該轉向係 32 201009977 19. 如申請專利範圍第18項所述之方法,其中操作該 閥,以在該閥位於一完全開啟狀態時,將該遠端電漿 源所供應之總清潔試劑量的少於約50%轉向到該埠。 20. 如申請專利範圍第13項所述之方法,其中使第一與 第二體積之清潔試劑流入係同時進行。
    33
TW098119953A 2008-06-19 2009-06-15 Method and system for supplying a cleaning gas into a process chamber TW201009977A (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US12/142,402 US7699935B2 (en) 2008-06-19 2008-06-19 Method and system for supplying a cleaning gas into a process chamber

Publications (1)

Publication Number Publication Date
TW201009977A true TW201009977A (en) 2010-03-01

Family

ID=41429991

Family Applications (1)

Application Number Title Priority Date Filing Date
TW098119953A TW201009977A (en) 2008-06-19 2009-06-15 Method and system for supplying a cleaning gas into a process chamber

Country Status (6)

Country Link
US (5) US7699935B2 (zh)
JP (1) JP5538376B2 (zh)
KR (1) KR101543991B1 (zh)
CN (2) CN102067279B (zh)
TW (1) TW201009977A (zh)
WO (1) WO2009155028A1 (zh)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI716891B (zh) * 2018-06-11 2021-01-21 漢民科技股份有限公司 氣相成膜裝置
TWI759741B (zh) * 2016-05-20 2022-04-01 美商應用材料股份有限公司 用於半導體處理的氣體分配噴頭
TWI766354B (zh) * 2019-09-25 2022-06-01 日商國際電氣股份有限公司 基板處理裝置、半導體裝置的製造方法、基板處理裝置的洗淨方法以及程式
TWI814171B (zh) * 2020-12-14 2023-09-01 美商應用材料股份有限公司 用於共享氣體輸送架構的氣體輸送系統

Families Citing this family (291)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7699935B2 (en) 2008-06-19 2010-04-20 Applied Materials, Inc. Method and system for supplying a cleaning gas into a process chamber
CN105895517A (zh) 2008-10-07 2016-08-24 应用材料公司 用于从蚀刻基板有效地移除卤素残余物的设备
WO2011143062A2 (en) * 2010-05-12 2011-11-17 Applied Materials, Inc. Confined process volume pecvd chamber
WO2011159690A2 (en) 2010-06-15 2011-12-22 Applied Materials, Inc. Multiple precursor showerhead with by-pass ports
KR101893471B1 (ko) * 2011-02-15 2018-08-30 어플라이드 머티어리얼스, 인코포레이티드 멀티존 플라즈마 생성을 위한 방법 및 장치
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
KR101312922B1 (ko) * 2011-12-14 2013-10-01 주식회사 테스 플라즈마 처리장치 및 처리방법
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8772055B1 (en) * 2013-01-16 2014-07-08 Applied Materials, Inc. Multizone control of lamps in a conical lamphead using pyrometers
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US10221478B2 (en) * 2013-04-30 2019-03-05 Tokyo Electron Limited Film formation device
US10672591B2 (en) * 2013-06-21 2020-06-02 Applied Materials, Inc. Apparatus for removing particles from a twin chamber processing system
US9490149B2 (en) * 2013-07-03 2016-11-08 Lam Research Corporation Chemical deposition apparatus having conductance control
US20150030766A1 (en) * 2013-07-25 2015-01-29 Novellus Systems, Inc. Pedestal bottom clean for improved fluorine utilization and integrated symmetric foreline
KR102167594B1 (ko) 2013-12-04 2020-10-19 삼성전자주식회사 기판 처리 방법 및 이를 수행하기 위한 장치
WO2015134197A1 (en) 2014-03-06 2015-09-11 Applied Materials, Inc. Plasma abatement of compounds containing heavy atoms
JP5764228B1 (ja) * 2014-03-18 2015-08-12 株式会社日立国際電気 基板処理装置、半導体装置の製造方法、プログラム及び記録媒体
US9580360B2 (en) 2014-04-07 2017-02-28 Lam Research Corporation Monolithic ceramic component of gas delivery system and method of making and use thereof
US10128087B2 (en) 2014-04-07 2018-11-13 Lam Research Corporation Configuration independent gas delivery system
US9460915B2 (en) * 2014-09-12 2016-10-04 Lam Research Corporation Systems and methods for reducing backside deposition and mitigating thickness changes at substrate edges
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US10557197B2 (en) 2014-10-17 2020-02-11 Lam Research Corporation Monolithic gas distribution manifold and various construction techniques and use cases therefor
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11384432B2 (en) 2015-04-22 2022-07-12 Applied Materials, Inc. Atomic layer deposition chamber with funnel-shaped gas dispersion channel and gas distribution plate
US10022689B2 (en) 2015-07-24 2018-07-17 Lam Research Corporation Fluid mixing hub for semiconductor processing tool
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10118263B2 (en) 2015-09-02 2018-11-06 Lam Researech Corporation Monolithic manifold mask and substrate concepts
US9581087B1 (en) * 2015-09-17 2017-02-28 Siemens Energy, Inc. Method and system for thermal expansion compensation in heated flow characterization
KR102477302B1 (ko) * 2015-10-05 2022-12-13 주성엔지니어링(주) 배기가스 분해기를 가지는 기판처리장치 및 그 배기가스 처리방법
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10215317B2 (en) 2016-01-15 2019-02-26 Lam Research Corporation Additively manufactured gas distribution manifold
US9879795B2 (en) 2016-01-15 2018-01-30 Lam Research Corporation Additively manufactured gas distribution manifold
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
CN105650288B (zh) * 2016-03-25 2018-11-16 上海交通大学 泵阀一体流量主动控制装置及控制方法
US10770272B2 (en) * 2016-04-11 2020-09-08 Applied Materials, Inc. Plasma-enhanced anneal chamber for wafer outgassing
US10428426B2 (en) * 2016-04-22 2019-10-01 Applied Materials, Inc. Method and apparatus to prevent deposition rate/thickness drift, reduce particle defects and increase remote plasma system lifetime
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9797521B1 (en) * 2016-08-09 2017-10-24 Edward P Davis Rotary magnetic coupling actuated valve with external magnets and internal magnetic flux path
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) * 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
WO2018154577A1 (en) * 2017-02-22 2018-08-30 Ham-Let (Israel - Canada ) Ltd. Encapsulated leak-proof valve with permanent magnet actuator
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
CN107056580B (zh) * 2017-05-05 2020-10-30 乐山师范学院 一种电离制备全氯乙烷和全氯丁烷的方法
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10998172B2 (en) * 2017-09-22 2021-05-04 Applied Materials, Inc. Substrate processing chamber having improved process volume sealing
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
WO2019067885A1 (en) * 2017-09-29 2019-04-04 Applied Materials, Inc. DUAL CHANNEL ISOLATION VALVE FOR REMOTE PLASMA CLEANING
CN111164730B (zh) * 2017-09-29 2023-08-15 应用材料公司 关闭机构真空腔室隔离装置和子系统
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
CN109868459B (zh) * 2017-12-05 2022-11-25 北京北方华创微电子装备有限公司 一种半导体设备
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
KR102695659B1 (ko) 2018-01-19 2024-08-14 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
JP6990121B2 (ja) * 2018-03-06 2022-01-12 株式会社Screenホールディングス 基板処理装置
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
TW202409324A (zh) 2018-06-27 2024-03-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料之循環沉積製程
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
TWI728456B (zh) 2018-09-11 2021-05-21 荷蘭商Asm Ip私人控股有限公司 相對於基板的薄膜沉積方法
JP6906490B2 (ja) * 2018-09-14 2021-07-21 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法およびプログラム
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11270898B2 (en) * 2018-09-16 2022-03-08 Applied Materials, Inc. Apparatus for enhancing flow uniformity in a process chamber
CN110899271B (zh) * 2018-09-17 2021-10-15 北京北方华创微电子装备有限公司 远程等离子源的调整装置及远程等离子源清洗系统
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
CN109943829A (zh) * 2018-11-16 2019-06-28 黄剑鸣 一种rpecvd的多室沉積系统
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
TWI845607B (zh) 2019-02-20 2024-06-21 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TWI838458B (zh) 2019-02-20 2024-04-11 荷蘭商Asm Ip私人控股有限公司 用於3d nand應用中之插塞填充沉積之設備及方法
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TWI842826B (zh) 2019-02-22 2024-05-21 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN118422165A (zh) 2019-08-05 2024-08-02 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
KR20230024424A (ko) 2019-08-12 2023-02-20 커트 제이. 레스커 컴파니 원자 스케일 처리를 위한 초고순도 조건
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11236424B2 (en) * 2019-11-01 2022-02-01 Applied Materials, Inc. Process kit for improving edge film thickness uniformity on a substrate
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP7527928B2 (ja) 2019-12-02 2024-08-05 エーエスエム・アイピー・ホールディング・ベー・フェー 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
CN111107324A (zh) * 2019-12-31 2020-05-05 上海陛通半导体能源科技股份有限公司 晶圆传输系统的监控装置及其监控方法
JP2021111783A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー チャネル付きリフトピン
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
KR20210127620A (ko) 2020-04-13 2021-10-22 에이에스엠 아이피 홀딩 비.브이. 질소 함유 탄소 막을 형성하는 방법 및 이를 수행하기 위한 시스템
KR20210128343A (ko) 2020-04-15 2021-10-26 에이에스엠 아이피 홀딩 비.브이. 크롬 나이트라이드 층을 형성하는 방법 및 크롬 나이트라이드 층을 포함하는 구조
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
JP2021177545A (ja) 2020-05-04 2021-11-11 エーエスエム・アイピー・ホールディング・ベー・フェー 基板を処理するための基板処理システム
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202146699A (zh) 2020-05-15 2021-12-16 荷蘭商Asm Ip私人控股有限公司 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
TW202229601A (zh) 2020-08-27 2022-08-01 荷蘭商Asm Ip私人控股有限公司 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
CN114293174A (zh) 2020-10-07 2022-04-08 Asm Ip私人控股有限公司 气体供应单元和包括气体供应单元的衬底处理设备
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US12012653B2 (en) 2021-03-23 2024-06-18 Applied Materials, Inc. Cleaning assemblies for substrate processing chambers
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US20230203706A1 (en) * 2021-12-23 2023-06-29 Asm Ip Holding B.V. Epitaxial reactor systems and methods of using same
CN114574837B (zh) * 2022-03-07 2023-03-21 苏州迈为科技股份有限公司 等离子体工艺设备中用于解决寄生等离子体的结构及方法
CN114975066B (zh) * 2022-07-25 2022-10-25 拓荆科技(北京)有限公司 沉积腔室清洁方法
US12014902B2 (en) 2022-08-15 2024-06-18 Applied Materials, Inc. System and method of cleaning process chamber components
KR102612086B1 (ko) * 2022-09-05 2023-12-11 주식회사 셀비드 파티클 프리 원격플라즈마소스 차단밸브

Family Cites Families (60)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3134404A (en) * 1961-02-27 1964-05-26 William B Jaspert Electro-magnetically operated floating armature valves
US3347262A (en) * 1965-09-22 1967-10-17 Mark Associates Inc Magnet actuated sealed valve
US3665958A (en) * 1968-09-16 1972-05-30 Gpe Controls Inc Vent closure device
US3747892A (en) * 1972-01-27 1973-07-24 Steinen Mfg Co Wm Magnetic valve
US3826545A (en) * 1972-05-24 1974-07-30 Borden Corp Readily assembled temperature compensated dual angular contact bearing assembly
FR2260041B1 (zh) * 1974-02-06 1976-10-08 Amri
US4106825A (en) * 1976-12-13 1978-08-15 Autoclave Engineers, Inc. High pressure magnetic drive including magnetic thrust bearings
US4146206A (en) * 1977-03-11 1979-03-27 Garlock Inc. Valve closure member thrust and load compensator
CA1092589A (en) * 1978-11-21 1980-12-30 Patrick M. Taiani Torque transmitting assembly for rotary valve member
US4284262A (en) * 1979-05-17 1981-08-18 Autoclave Engineers, Inc. Magnetically actuated stopper valve
US4327892A (en) * 1980-09-08 1982-05-04 Autoclave Engineers, Inc. Normally magnetically actuated valve with novel nonmagnetic override
US4384703A (en) * 1981-01-21 1983-05-24 Autoclave Engineers, Inc. Handle for magnetically actuated valve
DE3814359C2 (de) * 1988-04-28 1994-04-28 Cornelius Dipl Ing Lungu Magnetventil mit permanentmagnetischer Schließkraft
CN1014174B (zh) * 1989-06-01 1991-10-02 毛沛琦 流体管道的磁控开关
US4936289A (en) * 1989-02-21 1990-06-26 Peterson George A Usage responsive hot water recirculation system
US5083744A (en) * 1991-03-08 1992-01-28 Morotta Scientific Controls, Inc. Motor-operated valve
GB9113963D0 (en) * 1991-06-28 1991-08-14 Alpha Thames Eng Valve actuator
US5301848A (en) * 1991-10-18 1994-04-12 Conrad Larry M Electromagnetic meter for closed dispensing container
US5609721A (en) * 1994-03-11 1997-03-11 Fujitsu Limited Semiconductor device manufacturing apparatus and its cleaning method
ATE181998T1 (de) * 1994-05-02 1999-07-15 Korea Atomic Energy Res Ventil mit magnetischer kraftübertragung
JPH0893931A (ja) * 1994-09-22 1996-04-12 Tokyo Kakoki Kk 流体の給排出量調節機
JP3279919B2 (ja) 1996-05-14 2002-04-30 東京応化工業株式会社 同時放電化装置
CA2220308A1 (en) * 1996-11-05 1998-05-05 Douglas P. Arduini A smart automatic safety valve having remote electromagnetic shut-off protection and reset control from seismic or other sensors
US6433448B1 (en) * 1998-11-17 2002-08-13 Eaton Corporation Integrated torque motor and throttle body
KR100467082B1 (ko) * 2000-03-02 2005-01-24 주성엔지니어링(주) 반도체소자 제조장치 및 그 클리닝방법
JP2002025914A (ja) * 2000-07-04 2002-01-25 Hitachi Ltd 基板処理装置
US6835278B2 (en) * 2000-07-07 2004-12-28 Mattson Technology Inc. Systems and methods for remote plasma clean
JP2002057106A (ja) 2000-08-08 2002-02-22 Tokyo Electron Ltd 処理装置のクリーニング方法及び処理装置
JP2002280376A (ja) * 2001-03-22 2002-09-27 Research Institute Of Innovative Technology For The Earth Cvd装置のクリーニング方法およびそのためのクリーニング装置
US6648012B2 (en) * 2001-06-13 2003-11-18 Applied Materials, Inc. Non-return valve override device
US6591850B2 (en) * 2001-06-29 2003-07-15 Applied Materials, Inc. Method and apparatus for fluid flow control
US6710489B1 (en) * 2001-08-30 2004-03-23 Indigo Energy, Inc. Axially free flywheel system
JP2003086672A (ja) 2001-09-06 2003-03-20 Applied Materials Inc リフロー方法及び装置、並びに、膜形成方法及び装置
AU2003238853A1 (en) * 2002-01-25 2003-09-02 Applied Materials, Inc. Apparatus for cyclical deposition of thin films
JP2003264186A (ja) * 2002-03-11 2003-09-19 Asm Japan Kk Cvd装置処理室のクリーニング方法
JP3527915B2 (ja) * 2002-03-27 2004-05-17 株式会社ルネサステクノロジ Cvd装置およびそれを用いたcvd装置のクリーニング方法
JP3855081B2 (ja) 2002-07-01 2006-12-06 株式会社日立国際電気 フッ素ガスによるクリーニング機構を備えたcvd装置およびcvd装置のフッ素ガスによるクリーニング方法
DE20302274U1 (de) * 2003-02-12 2004-06-24 Voss Automotive Gmbh Anbausatz für ein Fahrzeug-Luftfedersystem mit einem zuschaltbaren Zusatz-Luftfedervolumen
TWI265380B (en) * 2003-05-06 2006-11-01 Asml Netherlands Bv Lithographic projection apparatus
JP3940095B2 (ja) 2003-05-08 2007-07-04 忠弘 大見 基板処理装置
JP2005072446A (ja) * 2003-08-27 2005-03-17 Chi Mei Electronics Corp プラズマ処理装置及び基板の表面処理装置
JP2005090419A (ja) * 2003-09-19 2005-04-07 Denso Corp 内燃機関用スロットル装置
US7622005B2 (en) 2004-05-26 2009-11-24 Applied Materials, Inc. Uniformity control for low flow process and chamber to chamber matching
US7572337B2 (en) 2004-05-26 2009-08-11 Applied Materials, Inc. Blocker plate bypass to distribute gases in a chemical vapor deposition system
US7628863B2 (en) 2004-08-03 2009-12-08 Applied Materials, Inc. Heated gas box for PECVD applications
JP2006128485A (ja) * 2004-10-29 2006-05-18 Asm Japan Kk 半導体処理装置
US20060090773A1 (en) 2004-11-04 2006-05-04 Applied Materials, Inc. Sulfur hexafluoride remote plasma source clean
US7198677B2 (en) * 2005-03-09 2007-04-03 Wafermasters, Inc. Low temperature wafer backside cleaning
US7534469B2 (en) * 2005-03-31 2009-05-19 Asm Japan K.K. Semiconductor-processing apparatus provided with self-cleaning device
US20060249175A1 (en) 2005-05-09 2006-11-09 Applied Materials, Inc. High efficiency UV curing system
US7387291B2 (en) * 2005-05-16 2008-06-17 Honeywell International Inc. Collapsible shaft and control assembly
US20060266288A1 (en) * 2005-05-27 2006-11-30 Applied Materials, Inc. High plasma utilization for remote plasma clean
GB0516500D0 (en) * 2005-08-11 2005-09-14 Active Technologies Ltd Valve assembly
US7884032B2 (en) * 2005-10-28 2011-02-08 Applied Materials, Inc. Thin film deposition
US7678662B2 (en) * 2005-12-13 2010-03-16 Applied Materials, Inc. Memory cell having stressed layers
US20070207275A1 (en) * 2006-02-21 2007-09-06 Applied Materials, Inc. Enhancement of remote plasma source clean for dielectric films
JP4739128B2 (ja) * 2006-06-28 2011-08-03 愛三工業株式会社 吸気制御弁
US7527069B2 (en) * 2006-08-28 2009-05-05 Honeywell International Inc. Electromagnetic split flapper pressure relief valve
US7699935B2 (en) 2008-06-19 2010-04-20 Applied Materials, Inc. Method and system for supplying a cleaning gas into a process chamber
US9185856B1 (en) * 2014-06-28 2015-11-17 Frank August Barcatta Liquid flow rate modulator

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI759741B (zh) * 2016-05-20 2022-04-01 美商應用材料股份有限公司 用於半導體處理的氣體分配噴頭
TWI716891B (zh) * 2018-06-11 2021-01-21 漢民科技股份有限公司 氣相成膜裝置
TWI766354B (zh) * 2019-09-25 2022-06-01 日商國際電氣股份有限公司 基板處理裝置、半導體裝置的製造方法、基板處理裝置的洗淨方法以及程式
TWI814171B (zh) * 2020-12-14 2023-09-01 美商應用材料股份有限公司 用於共享氣體輸送架構的氣體輸送系統
US11881416B2 (en) 2020-12-14 2024-01-23 Applied Materials, Inc. Gas delivery system for a shared gas delivery architecture

Also Published As

Publication number Publication date
US20160084400A1 (en) 2016-03-24
US10094486B2 (en) 2018-10-09
CN103170478A (zh) 2013-06-26
US20140076236A1 (en) 2014-03-20
KR20110018458A (ko) 2011-02-23
US20100012273A1 (en) 2010-01-21
US7699935B2 (en) 2010-04-20
US20130213574A1 (en) 2013-08-22
WO2009155028A1 (en) 2009-12-23
JP2011525054A (ja) 2011-09-08
US8591699B2 (en) 2013-11-26
US20090314309A1 (en) 2009-12-24
CN102067279A (zh) 2011-05-18
US9206511B2 (en) 2015-12-08
JP5538376B2 (ja) 2014-07-02
KR101543991B1 (ko) 2015-08-13
CN102067279B (zh) 2013-03-27

Similar Documents

Publication Publication Date Title
TW201009977A (en) Method and system for supplying a cleaning gas into a process chamber
JP6574020B2 (ja) コンダクタンス制御を有する化学蒸着装置
JP4739057B2 (ja) 熱処理装置、ヒータ及びその製造方法
US7651584B2 (en) Processing apparatus
CN101241844B (zh) 用于前段工艺制造的原地干洗腔
TWI598455B (zh) 傳送腔室氣體淨化裝置、電子設備處理系統及淨化方法
CN110050333B (zh) 时间性原子层沉积处理腔室
US11584993B2 (en) Thermally uniform deposition station
JPH0336720A (ja) 熱加工炉及びその作動方法
CN114420604A (zh) 工艺腔室组件、半导体工艺设备及其方法
KR20210037733A (ko) 열 관리 시스템
WO2024030505A1 (en) Single process gas feed line architecture
JPH0336721A (ja) 熱加工炉及びその作動方法
JP2011066214A (ja) 半導体装置の製造方法および基板処理装置
CN111172516A (zh) 进气装置和化学气相沉积设备
JP2010056125A (ja) 基板処理装置および半導体装置の製造方法