CN1910739A - 处理装置 - Google Patents

处理装置 Download PDF

Info

Publication number
CN1910739A
CN1910739A CNA2005800025277A CN200580002527A CN1910739A CN 1910739 A CN1910739 A CN 1910739A CN A2005800025277 A CNA2005800025277 A CN A2005800025277A CN 200580002527 A CN200580002527 A CN 200580002527A CN 1910739 A CN1910739 A CN 1910739A
Authority
CN
China
Prior art keywords
gas
container handling
nozzle body
nozzle
installation frame
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA2005800025277A
Other languages
English (en)
Other versions
CN100499033C (zh
Inventor
纲仓学
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of CN1910739A publication Critical patent/CN1910739A/zh
Application granted granted Critical
Publication of CN100499033C publication Critical patent/CN100499033C/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45572Cooled nozzles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

喷头结构(26),具备:具有形成有多个气体喷射孔(30A、30B)的底壁(78A)和从底壁的边缘部竖起的侧壁(78B)、整体形成为杯形的一体式结构的喷头主体(78)。喷头主体(78)中收容有多个气体扩散室隔板(82A~82C)。设置在处理容器(24)天井部的喷头安装框架(76)上设置有贯通孔,喷头主体(78)侧壁(78B)的上侧部分插入其中,由此,侧壁(78B)的一部分露出于处理容器的外部。在侧壁(78B)的上端部安装有冷却机构(84)。可以提高冷却机构(84)和底壁(78A)之间的热传导性,将底壁(78A)控制在适宜的温度,防止不需要的膜附着在底壁(78A)上。

Description

处理装置
技术领域
本发明涉及用于对被处理体如半导体晶片实施规定的处理如薄膜堆积用的热处理的处理装置。
背景技术
一般,在制造半导体集成回路时,在半导体晶片等被处理体上反复进行成膜处理、蚀刻处理、热处理、改性处理、结晶化处理等各种枚叶处理。在进行上述各种处理时,将处理所需要的处理气体导入处理器内。在JP10-321613A中公开了进行上述各种处理中的成膜处理的装置的一例。这种成膜装置具有设置在能够抽成真空的处理容器的天井部的喷头结构,从喷头结构的气体喷射孔向处理容器内供给原料气体和其他的支援气体,如氧化气体或者还原气体,由此通过CVD,使薄膜堆积在被加热的半导体晶片的表面。
在使用蒸汽压较低、活化能高的原料气体的情况下,如果在喷头结构喷射原料气体之前,将原料气体与支援气体(例如氧化气体)混合,则成膜反应即在那里发生。为了防止这种现象,采用当原料气体从喷头结构向处理容器内部喷射时才开始与支援气体接触的喷射方式。这种喷射方式称为后混合方式。
图7表示采用后混合方式的成膜装置的一例。成膜装置2具有可以抽成真空的圆筒形的处理容器4。在处理容器4中,设置有载置半导体晶片W的载置台6,加热器8埋入在载置台6内。在处理容器4的天井部设置有用于向处理容器4内部导入成膜气体的喷头结构10。喷头结构10由叠层的多张喷头板10A~10D通过螺栓12(图7中只表示其一部分)结合为一体而成。
在各喷头板10A~10D的表面,形成有多个凹部和连接这些凹部的气体流路,将喷头板10A~10D组装后,即形成多个气体扩散室14A、14B和14C。并且,在图示例中,气体扩散室14A和14C互相连通。最下段的喷头板10A形成为具有多个气体喷射孔16的气体喷射板。这些气体喷射孔16分为:与气体扩散室14A连通,喷射氧化气体,如O2气体的第一组气体喷射孔16A;和与气体扩散室14B连通,喷射原料气体的第二组气体喷射孔16B。原料气体与氧化气体在该喷头结构10中不混合,以分离的状态流动,分别从各自的气体喷射孔10A、10B喷射到处理空间S,在该处理空间S开始混合。所以,可以防止在喷头结构内成为产生颗粒的原因的不需要的膜的堆积,使必要的薄膜仅仅主要堆积在晶片表面。
在喷头结构10上面的周边部,安装有冷却套等冷却机构18。冷却机构18将最下段的喷头板10A冷却至规定的温度,使容易热分解的原料气体刚从气体喷射孔16B喷射后立即分解,使得有可能成为颗粒的原因的不需要的膜不在喷头板10A的下面、即气体喷射面上附着。
可是,因为上述喷头结构10是将多张平整的喷头板叠层并螺栓结合的结构,而且因为处理容器4内维持在较低压状态,所以喷头板10A~10C相互间的热传导性不那么高。因此,无法利用安装在喷头板10C上的冷却机构18对喷头板10A的气体喷射面附近进行有效的冷却控制,其结果,有可能在气体喷射面附着不需要的膜。
另外,在对晶片反复进行成膜处理的过程中,在以喷射原料气体的气体喷射孔为中心的直径为数mm~数cm的区域内,有时会在与处理空间接触的气体喷射面上堆积不需要的膜。这种不需要的膜如果放置,就会剥离脱落,成为颗粒的原因,所以必须定期进行喷头的清洗。在图示例的装置中,因为喷头结构10是通过螺栓12将多张喷头板10A~10C结合为一体的结构,如果拆卸作为主要清洗对象的最下段的喷头板10A,则存在喷头结构几乎全体分解、维护作业非常复杂化、并且维护作业时间也增长的问题。
发明内容
本发明的目的在于提供一种具有气体喷射面的温度控制性高的喷头结构的处理装置。
本发明的另一目的在于提供一种能够将喷头结构的构成部件中具有气体喷射面的部件单独从其他部件分离,迅速并且容易进行清洗等维护作业的处理装置。
为了实现上述目的,本发明提供一种处理装置,其具有:处理容器,用于载置被处理体而设置在上述处理容器内的载置台,和设置在上述处理容器天井部、向上述处理容器内导入处理气体的喷头结构,其特征在于,上述喷头结构具备:具有形成有多个气体喷射孔的底壁和从所述底壁的边缘部竖起的侧壁,并整体形成为杯形的喷头主体;设置在所述处理容器的天井部、用来支撑所述喷头主体,并具有供所述喷头主体侧壁的上侧部分插入的至少一个贯通孔的喷头安装框架;安装在插入所述喷头安装框架的所述贯通孔并露出于所述处理容器外部的所述喷头主体的所述侧壁的上端部分的冷却机构;从所述喷头主体的所述底壁的下面侧开始,贯通所述喷头主体的所述底壁,与所述喷头安装框架螺合的带螺纹的螺栓;和收容在所述喷头主体内并与所述喷头安装框架结合,形成与所述气体喷射孔连通、同时被供给处理气体的气体扩散室的至少一个的扩散室隔板。
此外,本发明提供一种处理装置,其具有:处理容器,用于载置被处理体而设置在上述处理容器内的载置台,和设置在上述处理容器的天井部、向上述处理容器内导入处理气体的喷头结构,其特征在于,上述喷头结构具备:具有形成有多个气体喷射孔的底壁和从所述底壁的边缘部竖起的侧壁,并整体形成为杯形的喷头主体;设置在所述处理容器的天井部、用来支撑所述喷头主体,以所述喷头主体的所述侧壁的上侧部分露出所述处理容器之外的方式安装所述喷头主体的喷头安装框架;安装在露出于所述处理容器外部的所述喷头主体的所述侧壁部分的冷却机构;和收容在所述喷头主体内,形成与所述气体喷射孔连通、同时被供给处理气体的气体扩散室的至少一个的扩散室隔板。
从提高维护性的观点看,上述喷头安装框架优选以上述喷头框架可以从上述处理容器分离的方式,借助铰链折页,可旋转地在安装在上述处理容器。
优选在上述喷头安装框架上,沿圆周方向隔开一定间隔设置有多个用于供上述喷头侧壁的上侧部分插入的贯通孔。
在典型的实施例中,在上述喷头主体内,叠层设置有多个上述扩散室隔板。
上述冷却机构优选含有珀尔帖元件和能够使制冷剂流动的冷却套。
附图说明
图1是表示本发明的处理装置的第一实施例的截面图。
图2是放大表示图1所示的喷头结构的截面图。
图3是为了表示喷头安装框架结构的主要部分而作了简化的处理装置的平面图。
图4是表示分解的图2的喷头结构的截面图。
图5是表示用于本发明处理装置的第二实施例的喷头结构的截面图。
图6是表示分解的图4的喷头结构的截面图。
图7是概略表示的现有成膜装置结构的截面图。
具体实施方式
下面,对作为本发明处理装置的实施例的成膜装置,参照附图进行说明。
第一实施例
首先,参照图1~图4,对第一实施例进行说明。成膜装置22具有天井部开口的大致呈圆筒形的铝制处理容器24。在处理容器24的天井部设置有用于向处理容器24内供给成膜用处理气体、如原料气体和氧化气体的喷头结构26。在喷头结构26下面的气体喷射面28上设置有多个气体喷射孔30,从那里向处理空间S喷射处理气体。后面将对该喷头结构26进行详细说明。
在处理容器24的侧周壁,设置有用于向该处理容器24搬入和搬出作为被处理体的半导体晶片W的搬出入口32。搬出入口32能够通过闸阀34气密封闭。在容器底壁36的中央部形成有大的开口40,在开口40装备有底圆筒体42。有底圆筒体42的内部空间发挥着作为处理容器24的排气顶注空间(以下称为“排气空间”)38的作用。圆筒形的支柱46从有底圆筒体42的底壁44向上方延伸。载置台48通过焊接被固定在支柱46的上端。支柱46和载置台48可以由AlN等陶瓷形成。
作为排气空间38的入口的开口40的直径比载置台48的直径小。所以,沿载置台48的周边外侧流下的处理气体,在载置台48的下方蔓延,流入开口40。在有底圆筒体42的侧壁下部,形成有面向排气空间38的排气口50,真空排气系52连接在排气口50上。真空排气系52具有与排气口50连接、并且介设有真空泵(未图示)的排气管54,能够吸引排出排气空间38内的氛围气体。
排气管54上介设有未图示的压力调节阀。为了将处理容器24内的压力维持在定值,或使其向希望的压力迅速变化,压力调节阀的开度可以自动调节。在载置台48中埋入有由例如碳丝等电阻加热器构成的加热单元56。在加热单元56中,借助配设在支柱46内的给电线58,供给被控制的电力,由此加对载置在载置体48上面的半导体晶片W进行加热。
在载置台48上,形成有贯通载置台48上下方向的多个、本例中为三个(图1中只表示两个)的栓销插通孔60。在各栓销插通孔60上,活嵌有可以上下移动的提升栓销62。提升栓销62的下端配置有氧化铝等陶瓷制的提升环64。各提升栓销62的下端并不固定在提升环64上,而是被提升环64支撑。从提升环64延伸的支架66与贯通处理容器底壁36的连杆68连接,通过驱动器70,连杆68可以升降。由此,在载置台48和未图示的晶片搬送臂之间交接晶片W时,可以使各提升栓销62从各栓销插入孔60的上端向上方突出。在处理容器底壁36和驱动器70之间设置有包围连杆68的可伸缩的波纹管72,由此,可以在维持处理容器24内的气密性的同时,使连杆68升降。
下面,参照图2~图4,对喷头结构26进行详细描述。喷头结构26具有:喷头安装框架76,喷头主体78,以及收容在喷头主体78内、形成气体扩散室的扩散室隔板82A、82B、82C。喷头安装框架76配置在处理容器24天井部的周边部,在图示例中,安装在处理容器24的侧周壁的上端。喷头主体78具有:形成有多个气体喷射孔30的底壁78A、和从底壁78A的周边向上方竖起的侧壁(侧周壁)78B,整体形成为浅杯形乃至有底圆筒体状。喷头主体78与扩散室隔板82A和82B一起,被从底壁78A侧插入喷头主体78的带螺纹的螺栓80固定在喷头安装框架76上。在最上段的扩散室隔板82C上,设置有用于向喷头结构26内导入处理气体的气体导入口83A和83B。在喷头主体78的侧壁78B的上端,设置有用于冷却喷头主体78的冷却机构84。上述喷头结构26的构成部件由镍或哈斯特洛依耐蚀耐热镍基合金(Hastelloy)(注册商标)等镍合金、或者铝或铝合金形成。
如图3所示,喷头安装框架76在俯视图上整体形成为矩形。在喷头安装框架76的中央部,形成有大口径的圆形喷头安装开口74(参照图4)。喷头安装框架76隔着O形环等密封元件86,被带螺纹的螺栓88气密固定在处理容器24的侧周壁的上端。虽然在图2中只记载了一根螺栓88,但实际上,沿圆周方向等间隔地设置有多根。在喷头安装框架76的一端侧,通过带螺纹的螺栓91,安装有使喷头安装框架76在处理容器24的侧周壁可旋转地连接的铰链折页90。在取下螺栓88的状态下,通过由未图示的驱动器驱动铰链折页90,能够使喷头结构26以铰链折页90的旋转轴线为中心,整体旋转(例如旋转180度),使喷头结构26的面向处理容器24的处理空间S的部分露出于处理容器24外。
在喷头安装框架76上设置有向喷头安装开口74中心突出、同时沿圆周方向延伸的环状的安装凸缘92。在安装凸缘部92上,形成有沿其圆周方向隔开间隔配置的多个(图示例中为四个)圆弧状的喷头主体插入孔(贯通孔)94(参照图3)。喷头主体插入孔94沿上下方向贯通喷头安装框架76。喷头主体78具有底板78A和侧壁78B整体不可分的一体式结构。如上所述,在底板78A上形成多个气体喷射孔30。气体喷射孔30分为两组,一组气体喷射孔30A喷射原料气体,另外一组气体喷射孔30B喷射O2等氧化气体。
在喷头主体78的圆筒状侧壁78B的上部,沿侧壁78B的圆周方向,形成四个可以各自脱离地嵌合在喷头安装框架76上的各喷头主体插入孔94的俯视呈圆弧状的凸部96(参照图3和图4)。如果使喷头主体78的凸部96从喷头安装框架76的下方,嵌合到喷头主体插入孔94中,作为喷头主体78的一部分的凸部96的上端面则露出于大气侧。环状的密封用凸缘98,从侧壁78B的外周面向外侧突出。组装时,在密封用凸缘98的上面和喷头安装框架76的下面之间设置O形环等密封元件100(参照图2),两者间被气密地密封。
如图2所示,在气体喷射面28的周边区域,喷头主体78和下面的两个扩散室隔板82A、82B一起,被从气体喷射面28的下方向上方插入设置在底壁78A上的孔中、贯通两张扩散室隔板82A和82B、并且其前端螺合在安装凸缘部92上的带螺纹的螺栓80,固定在喷头安装框架76的安装凸缘92上。在底壁78A上面的周边部和最下段的扩散室隔板82A下面的周边部之间,介设有O形环等密封元件104,将两者气密地密封。在图2和图4中,虽然只记载了一根螺栓80,但实际上,沿喷头主体78的圆周方向设置有多根。
在三张扩散室隔板82A、82B和82C之中,下面的两张扩散室隔板82A和82B的外径,在扩散室隔板82A和82B被收容到喷头主体78内时,均为它们与喷头主体78的侧壁78B的内周面实质接触的大小。最上段的扩散室隔板82C的直径比扩散室隔板82A和82B的直径小许多。在最下段的扩散室隔板82A下面的周边区域,扩散室隔板82A和扩散室隔板82B一起,被从扩散室隔板82A的下方向上方插入形成在扩散室隔板82A上的孔中、贯通中段的扩散室隔板82B、并且其前端螺合在安装凸缘部92上的带螺纹的螺栓106,固定在喷头安装框架76的安装凸缘92上。扩散室隔板82B,被从安装凸缘部92的上方向下方插入形成在安装凸缘部92上的孔中、并且其前端螺合在中段扩散室隔板82B上的带螺纹的螺栓108,固定在喷头安装框架76的安装凸缘92上。扩散室隔板82C,被从扩散室隔板82C的上方向下方插入形成在最上段的扩散室隔板82C上的孔中、并且其前端螺合在中段扩散室隔板82B上的带螺纹的螺栓110,固定在扩散室隔板82B上。此外,在图2中,虽然螺栓106、108和110只能看到一根或两根,但实际上,沿喷头主体78的圆周方向设置有多根。
在最下段和中段的扩散室隔板82A和82B的接合面的外围部、以及在中段和最上段的扩散室隔板82B和82C的接合面的外围部,分别介设有O形环等密封元件112和114,将这些接合面气密地密封。此外,在中段和最上段的扩散室隔板82B和82C的接合面的中央部,也介设有O形环等密封元件116,将后述的气体流路130和气体扩散室126(128)之间密封。
在最下段的扩散室隔板82A的下面,形成有同心圆状配置的多个槽118。一旦通过组装使扩散室隔板82A与喷头主体78紧贴,则由于槽118,形成氧化气体用的气体扩散室120。氧化气体用气体喷射孔30B与气体扩散室120连通。在最下段扩散室隔板82A的上面,形成宽槽122。一旦通过组装使扩散室隔板82A与中段扩散室隔板82B紧贴,则由于槽122,形成原料气体用的气体扩散室124。原料气体用气体喷射孔30A与气体扩散室124连通。在最上段的扩散室隔板82C的下面,形成宽槽126。一旦通过组装使扩散室隔板82C与中段扩散室隔板82B紧贴,则由于槽126,形成氧化气体用的气体扩散室128。在最上段、中段和最下段的扩散室隔板82C、82B和82A,形成有使原料气体用导入口83A与原料气体用气体扩散室124连接的气体流路130,并形成有使氧化气体用导入口83B与氧化气体用气体扩散室128和120连接的气体流路132。这些气体流路130和132,可以利用例如穿孔加工形成。并且,各气体流路130、132和各气体扩散室120、124、128的结构实际上更为复杂,但为了便于理解,在图2中作了简化表示。
另外,为了防止导入到喷头结构26内的原料气体的再液化,在中段和最上段的扩散室隔板82B和82C的上面的全部暴露于大气的部分,设置有加热扩散室隔板的加热器134。通过组装,在插入喷头主体插入孔94中的喷头主体78的侧壁78B,即凸部96暴露于大气的上端面,设置冷却机构84。冷却机构84可以由直接安装在上述上端面的珀尔帖元件138、和用于排出由该珀尔帖元件138产生的热而沿喷头主体78的圆周方向配置的冷却套140构成。在冷却套140中有制冷剂例如冷却水流动,由此,能够更有效地冷却喷头主体78。
下面,以使用由含有Hf(铪)的有机金属材料气体构成的原料气体、和由O2构成的氧化气体作为处理气体,使Hf氧化物(HfO2)薄膜堆积在半导体晶片W表面的情况为例,对上述构成的成膜装置的动作进行说明。首先,未处理的半导体晶片W被保持在未图示的搬送臂上,经过呈打开状态的闸阀34和搬出入口32,被搬入处理容器24内。晶片W被转移到上升的提升栓销62后,通过使提升栓销62下降,被载置到载置台48的上面。
然后,将含有Hf有机金属的气体和O2气体在控制其流量的同时供向喷头结构26,由此使这些气体分别从气体喷射孔30A和30B喷射到处理空间S。并且,这些含有Hf有机金属的气体,通过将在常温下是液体或固体的有机金属材料溶解于溶剂例如辛烷中,使其在气化器中气化而得到。通过设置在排气管54上的真空泵(未图示)的驱动,将处理容器24内和排气空间38抽为真空,同时调节设置在排气管54上的压力调节阀(未图示)的阀开度,使处理空间S的氛围气体维持在规定的处理压力。此时,通过设置在载置台48内的加热单元56,晶片W的温度维持在规定的处理温度。由此,在半导体晶片W表面形成HfO2薄膜。
含有Hf有机金属气体,活性非常高,容易分解,一被导入处理空间S就在较短时间内分解,并且,因为该Hf有机金属材料本身含有氧原子,主要是该氧原子与Hf原子化合,通过CVD(Chemical VaporDeposition:化学气相沉积),在晶片W表面堆积HfO2膜。作为氧化气体的O2气体,支援上述反应。
此时,如果从气体喷射孔30A流向处理空间S的含有Hf有机金属气体即原料气体处于过度的高温状态,该原料气体会立刻分解,在气体喷射孔30A析出堆积物,将其堵塞,并在气体喷射面28会附着大量的堆积物。这种现象可以通过冷却机构84冷却气体喷射面28的部分而得到抑制。在图7所示的现有装置中,因其热传导是通过叠层而机械地结合的多张喷头板而进行的,热传导效率低,不能进行有效的冷却。但是,在本实施例的喷头结构中,喷头主体78的底板78A与安装有冷却机构84的侧壁78B形成为一体,换言之,从底板78A到冷却机构84的热传导路径上不存在机械的连接结构。因此,由于从底板78A向冷却机构84的热传递效率优异,使得通过冷却机构84对底板78A进行高精度的温度控制成为可能。此外,因为侧壁78B的安装冷却机构84的部分暴露于大气中,所以冷却机构84可以充分发挥其冷却能力。所以,可以将底板78A即气体喷射面28维持在原料气体不会再度液化、并能够防止堆积物附着的温度。
如上所述,虽然能够大幅度减少堆积物的发生,但是在多张处理半导体晶片W的过程中,无法避免由于颗粒等原因而形成的膜(堆积物)逐渐附着在气体喷射面28的现象。因此,必须根据需要,清洗气体喷射面28。此时,在图7所示的现有喷头结构中,为了清洗气体喷射面,必须分解喷头结构整体,因此维护作业非常烦杂且需要长时间。但是,在本实施例中,因为无需对喷头结构26整体进行分解,而只要拆卸作为清洗对象的具有气体喷射面28的喷头主体78,所以可以迅速并且简便地进行维护作业。
在进行气体喷射面28的清洗时,首先拆卸安装在喷头结构26的喷头主体78上的冷却机构84。再拆卸将该喷头结构26的喷头安装框架76固定在处理容器24上的所有螺栓88,喷头结构26即处于从处理容器24可分离的状态。
然后,以设置在喷头安装框架76一侧的铰链折页90为旋转中心,将喷头结构26整体旋转90度或180度,从处理容器24分离,使喷头主体78的气体喷射面28露出。在这种状态下,如图4所示,将喷头主体78固定在喷头安装框架76的安装凸缘部92上的螺栓80全部拆除,如图4的空心箭头所示,将喷头主体78从喷头安装框架76拔出。由此,即可仅将喷头主体78从喷头结构26的其他部分分离,而无需分解喷头结构26的其他部件。其他部件,例如三张扩散室隔板82A~82C,仍固定在喷头安装框架76上,没有必要将它们分解。所以,清洗处理等维护作业得到大幅度简化。
第二实施例
下面,参照图5和图6,对本发明的第二实施例进行说明。该第二实施例的喷头结构并不像第一实施例那样构成为可以单独分解喷头主体,而是构成为能够与第一实施例一样有效地冷却喷头主体。在图5和图6中,对于与图1~图4所示的构成部件相同的部件标以同一符号,省略重复说明。在该第二实施例中,在喷头主体78的侧壁78B上部的外周面,设置有向外侧突出、且沿圆周方向的环状密封用的凸缘152。在喷头安装框架76的内周面设置有接合段部154。凸缘152载置并支撑在接合段部154上。O形环等密封元件100介于凸缘152和接合段部154的接触面上,将两者之间气密地密封。通过紧固从凸缘152上方插入的螺栓156,凸缘152与接合段部154结合。并且,在该第二实施例中,第一实施例中必需的密封用凸缘98(参照图2)没有必要。
在第二实施例中,也与第一实施例相同,喷头主体78的上端面暴露在大气中,其上端面安装有冷却机构84。并且,在该第二实施例中,最下段扩散室隔板82A被螺栓158固定在喷头主体78的底板78A上。中段扩散室隔板82B,被从其上方插通的螺栓160,与最下段扩散室隔板82A一起,固定在喷头主体78的底板78A上。并且,在该第二实施例中,喷头主体78的底板78A和侧壁78B也形成为一体式,侧壁78B的上端部暴露在大气中,并且在侧壁78B的上端部安装有冷却机构84。因此,有关喷头主体78的底板78A即气体喷射面28的温度控制性能,第二实施例与第一实施例相同。
在进行第二实施例的喷头结构26的清洗等维护作业时,如图6所示,拆卸冷却机构84后,拆卸连接喷头主体78和喷头安装框架76的接合段部154的所有的螺栓156,使喷头主体78和收容于其内侧的所有扩散室隔板82A~82C整体从喷头安装框架76向上方拆卸即可(参照图6中空心箭头)。
至此,通过例示的实施例对本发明进行了说明,但是本发明并不限于上述实施例。本发明不仅对使用含有Hf的有机金属材料气体和O2进行Hf氧化物的成膜处理特别有效,而且对进行向喷头表面的源于处理气体的堆积物问题的处理也特别有效。不过,无论是否存在源于处理气体的堆积物的问题,在对喷头气体喷射面的高精度的温度控制有需求时,或者对喷头容易维护有需要时,本发明都是有效的。此外,在例示实施例中,其结构是处理容器24本身没有天井板而是由喷头结构26形成处理容器的天井,但并不限于此,处理容器24也可以具有用于安装喷头结构26的带有开口的天井板。此时,喷头安装框架76可以是与天井板分别的部件,也可以是喷头安装框架76与天井板统合的单一部件。此外,喷头结构的具体结构也不限于例示的实施例,其可以根据需要,对扩散室隔板数、气体扩散室数和使用的处理气体的种类和数量进行适当的变更。当然,被处理体也不限于半导体晶片,也可以是玻璃基板和LCD基板等。

Claims (6)

1.一种处理装置,其特征在于,具有:处理容器、用于载置被处理体而设置在所述处理容器内的载置台、和设置在所述处理容器的天井部向所述处理容器内导入处理气体的喷头结构,其中,
所述喷头结构,具备:
喷头主体,其具有形成有多个气体喷射孔的底壁和从所述底壁的边缘部竖起的侧壁,并整体形成为杯形;
喷头安装框架,其设置在所述处理容器的天井部、用来支撑所述喷头主体,并具有供所述喷头主体侧壁的上侧部分插入的至少一个贯通孔;
冷却机构,其安装在插入所述喷头安装框架的所述贯通孔并露出于所述处理容器外部的所述喷头主体的所述侧壁的上端部分;
带螺纹的螺栓,其从所述喷头主体的所述底壁的下面侧开始,贯通所述喷头主体的所述底壁,与所述喷头安装框架螺合;和
至少一个的扩散室隔板,其收容在所述喷头主体内并与所述喷头安装框架结合,形成与所述气体喷射孔连通、同时被供给处理气体的气体扩散室。
2.如权利要求1所述的处理装置,其特征在于:所述喷头安装框架以能够从所述处理容器分离的方式,借助铰链折页,可旋转地安装在所述处理容器上。
3.如权利要求1所述的处理装置,其特征在于:在所述喷头安装框架上,沿圆周方向间隔设置有多个用于供所述喷头主体侧壁的上侧部分插入的贯通孔。
4.如权利要求1所述的处理装置,其特征在于:在所述喷头主体内,叠层设置有多个所述扩散室隔板。
5.如权利要求1所述的处理装置,其特征在于:所述冷却机构含有珀尔帖元件和能够使制冷剂流动的冷却套。
6.一种处理装置,其特征在于,具有:处理容器、用于载置被处理体而设置在所述处理容器内的载置台、和设置在所述处理容器的天井部向所述处理容器内导入处理气体的喷头结构,其中,
所述喷头结构,具备:
喷头主体,其具有形成有多个气体喷射孔的底壁和从所述底壁的边缘部竖起的侧壁,并整体形成为杯形;
喷头安装框架,其设置在所述处理容器的天井部、用来支撑所述喷头主体,所述喷头主体以所述喷头主体的所述侧壁的上侧部分露出所述处理容器之外的方式安装在所述安装框架上;
冷却机构,其安装在露出于所述处理容器外部的所述喷头主体的所述侧壁部分;和
至少一个的扩散室隔板,其收容在所述喷头主体内,形成与所述气体喷射孔连通、同时被供给处理气体的气体扩散室。
CNB2005800025277A 2004-01-16 2005-01-14 处理装置 Expired - Fee Related CN100499033C (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP009505/2004 2004-01-16
JP2004009505A JP4513329B2 (ja) 2004-01-16 2004-01-16 処理装置
PCT/JP2005/000395 WO2005069360A1 (ja) 2004-01-16 2005-01-14 処理装置

Publications (2)

Publication Number Publication Date
CN1910739A true CN1910739A (zh) 2007-02-07
CN100499033C CN100499033C (zh) 2009-06-10

Family

ID=34792271

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB2005800025277A Expired - Fee Related CN100499033C (zh) 2004-01-16 2005-01-14 处理装置

Country Status (5)

Country Link
US (1) US7651584B2 (zh)
JP (1) JP4513329B2 (zh)
KR (1) KR100758744B1 (zh)
CN (1) CN100499033C (zh)
WO (1) WO2005069360A1 (zh)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101600815B (zh) * 2007-02-01 2012-03-28 东京毅力科创株式会社 蒸镀装置、蒸镀方法及蒸镀装置的制造方法
CN102473609A (zh) * 2009-07-31 2012-05-23 株式会社爱发科 成膜装置
CN102732853A (zh) * 2011-04-08 2012-10-17 北京北方微电子基地设备工艺研究中心有限责任公司 腔室装置和具有它的基片处理设备
CN103014668A (zh) * 2011-09-23 2013-04-03 理想能源设备(上海)有限公司 化学气相沉积装置
CN103160922A (zh) * 2011-12-15 2013-06-19 纽富来科技股份有限公司 成膜装置以及成膜方法
CN114256046A (zh) * 2020-09-22 2022-03-29 中微半导体设备(上海)股份有限公司 等离子体处理装置及其工作方法

Families Citing this family (362)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100731164B1 (ko) * 2005-05-19 2007-06-20 주식회사 피에조닉스 샤워헤드를 구비한 화학기상 증착 방법 및 장치
KR100629358B1 (ko) * 2005-05-24 2006-10-02 삼성전자주식회사 샤워 헤드
JP4749785B2 (ja) * 2005-07-19 2011-08-17 東京エレクトロン株式会社 ガス処理装置
KR100782292B1 (ko) 2006-08-10 2007-12-05 주식회사 아토 가스분리형 샤워헤드를 이용한 pecvd 장치 및 이를이용한 실리콘 산화막 증착 방법
JP5734185B2 (ja) 2008-07-07 2015-06-17 ラム リサーチ コーポレーションLam Research Corporation プラズマ処理チャンバ内のプラズマ不安定性事象を検出するための構成、及び、プラズマ不安定性事象を検出する方法
US8547085B2 (en) * 2008-07-07 2013-10-01 Lam Research Corporation Plasma-facing probe arrangement including vacuum gap for use in a plasma processing chamber
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
TWI437622B (zh) * 2008-11-26 2014-05-11 Ind Tech Res Inst 氣體噴灑模組
CN102301460A (zh) * 2009-01-29 2011-12-28 东京毅力科创株式会社 成膜装置和气体排出部件
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
WO2011017222A2 (en) * 2009-08-04 2011-02-10 Applied Materials, Inc. Method and apparatus for dry cleaning a cooled showerhead
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US20110065276A1 (en) * 2009-09-11 2011-03-17 Applied Materials, Inc. Apparatus and Methods for Cyclical Oxidation and Etching
US20110061812A1 (en) * 2009-09-11 2011-03-17 Applied Materials, Inc. Apparatus and Methods for Cyclical Oxidation and Etching
US20110061810A1 (en) * 2009-09-11 2011-03-17 Applied Materials, Inc. Apparatus and Methods for Cyclical Oxidation and Etching
US9540731B2 (en) * 2009-12-04 2017-01-10 Applied Materials, Inc. Reconfigurable multi-zone gas delivery hardware for substrate processing showerheads
JP5582816B2 (ja) * 2010-02-19 2014-09-03 東京エレクトロン株式会社 カバー固定具及び誘導結合プラズマ処理装置
KR101249999B1 (ko) * 2010-08-12 2013-04-03 주식회사 디엠에스 화학기상증착 장치
JP5549552B2 (ja) * 2010-11-12 2014-07-16 東京エレクトロン株式会社 真空処理装置の組み立て方法及び真空処理装置
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
KR101327458B1 (ko) * 2012-01-10 2013-11-08 주식회사 유진테크 냉각 방식의 샤워헤드 및 이를 구비하는 기판 처리 장치
JP5898549B2 (ja) 2012-03-29 2016-04-06 株式会社Screenホールディングス 基板処理方法および基板処理装置
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
JP5977592B2 (ja) * 2012-06-20 2016-08-24 東京応化工業株式会社 貼付装置
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
DE102012110125A1 (de) 2012-10-24 2014-04-24 Aixtron Se Vorrichtung zum Behandeln von Substraten mit einer auswechselbaren Deckenplatte sowie Verfahren zum Auswechseln einer derartigen Deckenplatte
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
JP6078354B2 (ja) * 2013-01-24 2017-02-08 東京エレクトロン株式会社 プラズマ処理装置
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
JP5872089B1 (ja) * 2015-04-27 2016-03-01 中外炉工業株式会社 シャワープレート装置
JP6054470B2 (ja) 2015-05-26 2016-12-27 株式会社日本製鋼所 原子層成長装置
JP5990626B1 (ja) * 2015-05-26 2016-09-14 株式会社日本製鋼所 原子層成長装置
JP6054471B2 (ja) 2015-05-26 2016-12-27 株式会社日本製鋼所 原子層成長装置および原子層成長装置排気部
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2019053924A (ja) * 2017-09-15 2019-04-04 東京エレクトロン株式会社 プラズマ処理装置
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
JP7066512B2 (ja) * 2018-05-11 2022-05-13 東京エレクトロン株式会社 プラズマ処理装置
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
WO2019244790A1 (ja) * 2018-06-20 2019-12-26 株式会社アルバック 真空処理装置、支持シャフト
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
WO2020002995A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
CN114072898A (zh) * 2019-05-24 2022-02-18 应用材料公司 基板处理腔室
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
WO2022060688A1 (en) * 2020-09-21 2022-03-24 Lam Research Corporation Carrier ring for floating tcp chamber gas plate
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US20220102117A1 (en) * 2020-09-25 2022-03-31 Applied Materials, Inc. Thread profiles for semiconductor process chamber components
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN116130325A (zh) * 2021-11-12 2023-05-16 中微半导体设备(上海)股份有限公司 安装底座、喷淋头组件、控温方法及等离子体处理装置

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3360098B2 (ja) * 1995-04-20 2002-12-24 東京エレクトロン株式会社 処理装置のシャワーヘッド構造
US6070551A (en) 1996-05-13 2000-06-06 Applied Materials, Inc. Deposition chamber and method for depositing low dielectric constant films
US6454860B2 (en) * 1998-10-27 2002-09-24 Applied Materials, Inc. Deposition reactor having vaporizing, mixing and cleaning capabilities
JP3205312B2 (ja) * 1999-03-17 2001-09-04 株式会社日立製作所 プラズマ処理装置及びプラズマ処理装置のメンテナンス方法
TWI303084B (en) * 2000-09-08 2008-11-11 Tokyo Electron Ltd Shower head structure, film forming method, and gas processing apparauts
JP4815724B2 (ja) * 2000-09-08 2011-11-16 東京エレクトロン株式会社 シャワーヘッド構造及び成膜装置
KR100735932B1 (ko) 2001-02-09 2007-07-06 동경 엘렉트론 주식회사 성막 장치
US6827815B2 (en) * 2002-01-15 2004-12-07 Applied Materials, Inc. Showerhead assembly for a processing chamber

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101600815B (zh) * 2007-02-01 2012-03-28 东京毅力科创株式会社 蒸镀装置、蒸镀方法及蒸镀装置的制造方法
CN102473609A (zh) * 2009-07-31 2012-05-23 株式会社爱发科 成膜装置
CN102473609B (zh) * 2009-07-31 2015-04-01 株式会社爱发科 成膜装置
CN102732853A (zh) * 2011-04-08 2012-10-17 北京北方微电子基地设备工艺研究中心有限责任公司 腔室装置和具有它的基片处理设备
CN103014668A (zh) * 2011-09-23 2013-04-03 理想能源设备(上海)有限公司 化学气相沉积装置
CN103014668B (zh) * 2011-09-23 2014-12-24 理想能源设备(上海)有限公司 化学气相沉积装置
CN103160922A (zh) * 2011-12-15 2013-06-19 纽富来科技股份有限公司 成膜装置以及成膜方法
CN114256046A (zh) * 2020-09-22 2022-03-29 中微半导体设备(上海)股份有限公司 等离子体处理装置及其工作方法

Also Published As

Publication number Publication date
WO2005069360A1 (ja) 2005-07-28
JP4513329B2 (ja) 2010-07-28
US7651584B2 (en) 2010-01-26
CN100499033C (zh) 2009-06-10
KR20060129341A (ko) 2006-12-15
JP2005203627A (ja) 2005-07-28
KR100758744B1 (ko) 2007-09-14
US20070158026A1 (en) 2007-07-12

Similar Documents

Publication Publication Date Title
CN100499033C (zh) 处理装置
TWI390075B (zh) Touch chemical chemical vaporization device
EP2601329B1 (en) Exhaust for cvd reactor
JP4306403B2 (ja) シャワーヘッド構造及びこれを用いた成膜装置
CN101171365B (zh) 多入口原子层沉积反应器
CN101010447B (zh) 基板处理装置及半导体装置的制造方法
US5788747A (en) Exhaust system for film forming apparatus
JP2007247062A (ja) パーティクルの形成を低減する金属層成膜システム、気相原料分配システムおよび方法
KR100786399B1 (ko) 반도체 처리용 매엽식 열처리 장치 및 방법
EP2543063A2 (en) Wafer carrier with sloped edge
CN110050333B (zh) 时间性原子层沉积处理腔室
CN1165969C (zh) 等离子体处理装置
CN1762042A (zh) 衬底处理装置及半导体装置的制造方法
US20200370172A1 (en) Hard mask, substrate processing method, and substrate processing apparatus
JP2013163841A (ja) 炭素膜成膜装置および炭素膜成膜方法
JP7329034B2 (ja) コーティング装置
US11946140B2 (en) Hot showerhead
JP2004327893A (ja) 半導体製造装置用りんトラップ装置
KR20050014139A (ko) 수직형 저압 화학 기상 증착 장치
TW202343634A (zh) 基板處理裝置及腔室內襯
CN116417368A (zh) 泵送系统及衬底处理设备
CN114144540A (zh) 用于在基板上形成膜的蒸发器腔室
JPS62214178A (ja) 気相反応装置

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20090610

Termination date: 20160114