CN1762042A - 衬底处理装置及半导体装置的制造方法 - Google Patents

衬底处理装置及半导体装置的制造方法 Download PDF

Info

Publication number
CN1762042A
CN1762042A CNA200480007520XA CN200480007520A CN1762042A CN 1762042 A CN1762042 A CN 1762042A CN A200480007520X A CNA200480007520X A CN A200480007520XA CN 200480007520 A CN200480007520 A CN 200480007520A CN 1762042 A CN1762042 A CN 1762042A
Authority
CN
China
Prior art keywords
gas
process chamber
gas supply
supply member
kinds
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA200480007520XA
Other languages
English (en)
Other versions
CN100367459C (zh
Inventor
境正宪
加贺谷彻
山崎裕久
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Kokusai Electric Corp
Original Assignee
Hitachi Kokusai Electric Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hitachi Kokusai Electric Inc filed Critical Hitachi Kokusai Electric Inc
Publication of CN1762042A publication Critical patent/CN1762042A/zh
Application granted granted Critical
Publication of CN100367459C publication Critical patent/CN100367459C/zh
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31616Deposition of Al2O3
    • H01L21/3162Deposition of Al2O3 on a silicon body
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/403Oxides of aluminium, magnesium or beryllium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45546Atomic layer deposition [ALD] characterized by the apparatus specially adapted for a substrate stack in the ALD reactor
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/4557Heated nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45578Elongated nozzles, tubes with holes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02178Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing aluminium, e.g. Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02181Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing hafnium, e.g. HfO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3141Deposition using atomic layer deposition techniques [ALD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Mechanical Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

本发明提供一种衬底处理装置,具有反应管203、加热硅晶片200的加热器207,将三甲基铝(TMA)和臭氧(O3)交替供给至反应管203内,从而在晶片200的表面形成Al2O3膜,所述装置的特征为,具有使臭氧和TMA分别流通的供给管232a、232b、以及向反应管203内供给气体的喷嘴233,使2根供给管232a、232b在反应管203内低于晶片200附近温度的温度区域与配置于加热器207内侧的喷嘴233连接,臭氧和TMA通过喷嘴233分别供给至反应管203内。

Description

衬底处理装置及半导体装置的制造方法
技术领域
本发明涉及衬底处理装置及半导体装置的制造方法,特别涉及制造Si半导体装置时使用的采用ALD(Atomic layer Deposition)法进行成膜的半导体制造装置及采用ALD法的半导体装置制造方法。
背景技术
首先简单地说明采用作为CVD(Chemical Vapor Deposition)法之一的ALD法进行的成膜处理。
ALD法是在一定的成膜条件(温度、时间等)下,将成膜所使用的2种(或2种以上)原料气体每种交替地供给至衬底上,使其以单原子层单位进行吸附,并利用表面反应进行成膜的方法。
即,在例如形成Al2O3(酸化铝)膜时,可以利用ALD法交替供给TMA(Al(CH3)3、三甲基铝)和O3(臭氧),由此可以在250~450℃的低温下进行高品质的成膜。如上所述,采用ALD法,每种交替地供给多种反应性气体进行成膜。膜厚由供给反应性气体的循环数进行控制。例如,当成膜速度为1/循环时,形成20的膜需要进行20次循环的成膜处理。
目前,形成Al2O3膜的ALD装置采用在1个处理炉内同时处理的衬底张数为1张~5张的、称为单张装置的形式,将25张或25张以上的衬底在反应管的管轴方向平行地排列的、称为分批式装置的装置形式未被实用化。
使用TMA和O3在上述竖式分批式装置中形成Al2O3膜时,当将TMA的喷嘴和O3的喷嘴分别竖立在反应炉内时,在TMA的气体喷嘴内TMA分解形成Al(铝)膜,变厚剥落时可能成为异物产生源。
本发明的主要目的为提供一种通过防止在喷嘴内生成膜,从而可以抑制膜剥落而产生异物的衬底处理装置及半导体装置的制造方法。
发明内容
本发明的方案之一为提供一种衬底处理装置,所述装置具有容纳衬底的处理室和加热所述衬底的加热部件,将相互反应的至少2种气体交替供给至所述处理室内,从而在所述衬底的表面形成希望的膜,该装置的其特征为,具有使所述2种气体相互独立地分别流通的2根供给管和下述单一的气体供给部件,该单一的气体供给部件向所述处理室内供给气体,其中的一部分延伸存在于所述2种气体中至少一种气体的分解温度或分解温度以上的区域中;所述2根供给管在低于所述至少1种气体的分解温度的位置与所述气体供给部件连接,所述2种气体分别通过所述气体供给部件供给至所述处理室内。
本发明的其它方案为提供一种衬底处理装置,所述装置具有容纳衬底的处理室和配置在所述处理室外侧、用于加热所述衬底的加热部件,具备通过向所述处理室内交替供给相互反应的至少2种气体从而在所述衬底的表面形成希望的膜的热壁式处理炉,该装置的特征为,具有使所述2种气体相互独立地分别流通的2根供给管和下述单一的气体供给部件,所述部件向所述处理室内供给气体,其一部分配置于所述加热部件的内侧;所述2根供给管在所述处理室内的低于所述衬底附近温度的温度区域与所述气体供给部件连接,所述2种气体分别通过所述气体供给部件供给至所述处理室内。
本发明的另一方案为提供一种制造半导体装置的方法,其特征为,使用下述衬底处理装置,通过所述气体供给部件向所述处理室内交替供给所述2种气体从而在所述衬底的表面形成希望的膜,前述衬底处理装置具有容纳衬底的处理室和加热所述衬底的加热部件,将相互反应的至少2种气体交替供给至所述处理室内从而在所述衬底的表面形成希望的膜,该装置具有分别使所述2种气体相互独立地分别流通的2根供给管和下述单一的气体供给部件,该单一气体供给部件向所述处理室内供给气体,该部件的一部分延伸存在于所述2种气体中至少一种气体的分解温度或分解温度以上的区域中;所述2根供给管在低于所述至少1种气体的分解温度的位置与所述气体供给部件连接,所述2种气体分别通过所述气体供给部件供给至所述处理室内。
附图说明
图1为本发明实施例之一的衬底处理装置中竖式衬底处理炉的纵剖面简图。
图2为本发明实施例之一的衬底处理装置中竖式衬底处理炉的横截面简图。
图3A为用于说明本发明实施例之一的衬底处理装置中竖式衬底处理炉的喷嘴233的简图。
图3B为图3A的A部分的部分放大图。
图4为用于说明本发明实施方案之一的衬底处理装置的简要斜视图。
具体实施方式
本发明优选实施例的分批式处理装置中,原料使用三甲基铝(化学式Al(CH3)3、TMA)和臭氧(O3),具有能够保持多张衬底的衬底保持夹具、插入所述衬底保持夹具以实施衬底处理的反应管、加热衬底的加热单元、能够排出反应管内气体的真空排气装置、以及一只从平行于衬底面方向向衬底喷出气体的气体喷嘴,连接在所述喷嘴上的TMA和O3的气体供给管路在反应室内合流,将TMA和O3交替供给至衬底上从而形成铝氧化膜(Al2O3膜)。需要说明的是,衬底上吸附TMA,随后流入的O3气体与吸附的TMA反应,从而形成单原子层的Al2O3膜。
TMA在压力、温度同时升高时容易发生自身分解而生成Al膜。上述气体喷嘴上设置有喷出气体的喷嘴孔,由于该喷嘴孔较小,因此喷嘴内压力较炉压力高。例如,可预想当炉内压力为0.5Torr(约67Pa)时,喷嘴内压力为10Torr(约1330Pa)。因此,特别是位于高温区域的喷嘴内的TMA容易发生自身分解。相反,虽然炉内温度较高,但压力却并不高于喷嘴内,因此TMA难以发生自身分解。所以喷嘴内的Al膜形成问题较为显著。
为了除去反应管内壁上附着的Al2O3膜,通入CIF3气体进行清洁,从喷嘴供给该清洁气体时,喷嘴内的Al2O3膜也可以同时除去,能够容易、有效地进行清洁。
本发明不仅适用于生成Al2O3膜,还优选适用于生成HfO2膜。因为Hf原料存在与TMA同样的问题。需要说明的是,此时交替流入气化的四(N-乙基-N-甲基氨基)铪(常温下为液体)的Hf原料气体和O3气体以形成HfO2膜。
另外,本发明也适用于利用以下材料形成SiO2膜的情况。
(1)交替通入O3和Si2Cl6(六氯化二硅烷),采用ALD法形成SiO2膜。
(2)交替通入O3和HSi(OC2H5)3(TRIES),采用ALD法形成SiO2膜。
(3)交替通入O3和HSi[N(CH3)2]3(TrisDMAS),采用ALD法形成SiO2膜。
实施例1
图1为本实施例的竖式衬底处理炉的结构简图,处理炉部分以纵剖面示出,图2为本实施例的竖式衬底处理炉的结构简图,处理炉部分以横截面示出。图3A为说明本实施例的衬底处理装置中竖式衬底处理炉的喷嘴233的简图,图3B为图3A的A部分的部分放大图。
作为加热单元的加热器207内侧设置有处理作为衬底的晶片200的反应容器203,该反应管203的下端连接有例如由不锈钢等制成的歧管(manifold)209,歧管209下端的开口由作为盖体的密封盖219经作为气密部件的O型圈220气密性地封闭,至少由所述加热器207、反应管203、歧管209、及密封盖219形成处理炉202。所述歧管209被固定在保持单元(以下称为加热器底座251)上。
反应管203的下端部及歧管209的上部开口端部分别设置有环状的凸缘,在所述凸缘之间配置有气密部件(以下指O型圈220)将二者之间进行气密性密封。
密封盖219上通过石英盖218立设有作为衬底保持单元的螺柱217,石英盖218成为载置螺柱217的载置体。螺柱217被插入处理炉202中。在螺柱217上,进行分批处理的多张晶片200被以水平姿势在管轴方向上多段地装载。加热器207将插入处理炉203中的晶片200加热至规定的温度。
设置作为向处理炉202供给多种气体、此处为2种气体的供给管的2根气体供给管232a、232b。气体供给管232a、232b设置为与歧管209的下部连通,气体供给管232b在处理炉202内与气体供给管232a合流,2根气体供给管232a、232b与一个多孔喷嘴233连通。喷嘴233被设置在处理炉202内,其上部延伸存在于从气体供给管232b供给的TMA的分解温度或该温度以上的区域。但是气体供给管232b在处理炉202内与气体供给管232a合流的位置为低于TMA的分解温度的区域、低于晶片200及晶片200附近温度的区域。此处,经由作为流量控制单元的第1质量流量控制器241a及作为开闭阀的第1阀243a,进而通过后述设置于处理炉202内的多孔喷嘴233,从第1气体供给管232a向处理炉202中供给反应气体(O3),经由作为流量控制单元的第2质量流量控制器241b、作为开闭阀的第2阀252、TMA容器260、及作为开闭阀的第3阀250,并经由前述多孔喷嘴233从第2气体供给管232b向处理炉202供给反应气体(TMA)。从TMA容器260到歧管209的气体供给管232b中设置有加热器300,将气体供给管232b保持在50~60℃。
气体供给管232b中经由开闭阀253在第3阀250的下游侧连接有惰性气体的管路232c。另外,气体供给管232a中经由开闭阀254在第1阀243a的下游侧连接有惰性气体的管路232d。
处理炉202通过排气的气体排气管231,经由第4阀243d连接在作为排气单元的真空泵246上,能够进行真空排气。应予说明,该第4阀243d为具有下述功能的开闭阀,即,可以通过开闭阀门而将处理炉202真空排气·停止真空排气,并且可以通过调节阀的开闭程度而调整压力。
从反应管203下部到上部沿着晶片200的装载方向配置喷嘴233。并且喷嘴233中设置有多个供给气体的气体供给孔248b。
反应管203内的中央部设置有将多张晶片200以相同间隔多段载置的螺柱217,所述螺柱217能够通过图中未标记的螺柱升降机构出入反应管203。另外,为了提高处理的均匀性,设置有用于旋转螺柱217的作为旋转单元的螺柱旋转机构267,通过旋转螺柱旋转机构267,可使载置于石英盖218上的螺柱217旋转。
作为控制单元的控制器121,与第1、第2质量流量控制器241a、241b、第1~第4阀243a、252、250、243d、阀253、254、加热器207、真空泵246、螺柱旋转机构267、图中未标记的螺柱升降机构连接,对第1、第2质量流量控制器241a、241b的流量调整、第1~第3阀243a、252、250、及阀253、254的开闭操作、第4阀243d的开闭及压力调整操作、加热器207的温度调节、真空泵246的起动·停止、螺柱旋转机构267的旋转速度调节、螺柱升降机构的升降移动进行控制。
下面,以采用ALD法成膜为例来说明利用TMA及O3气体形成Al2O3膜的情况。
首先,将需要成膜的半导体硅晶片200装填在螺柱217上并送入处理炉202内。送入后,依次进行下述3步步骤。
[步骤1]
步骤1中流入O3气体。首先同时打开设置在第1气体供给管232a上的第1阀243a、及设置在气体排气管231上的第4阀243d,一边从第1气体供给管232a将经由第1质量流量控制器243a调整了流量的O3气体从喷嘴233的气体供给孔248b供给至处理炉202,一边从气体排气管231排气。流入O3气体时,恰当地调节第4阀243d,使处理炉202内压力为10~100Pa。由第1质量流量控制器241a控制的O3的供给流量为1000~10000sccm。晶片200暴露于O3中的时间为2~120秒。此时加热器207的温度设定为能够使晶片温度为250~450℃的温度。
同时打开开闭阀253,从连接在气体供给管232b管路中途的惰性气体管路232c流入惰性气体,则可以防止O3气体蔓延至TMA侧。
此时,流入处理炉202内的气体仅为O3、和N2、Ar等惰性气体而并无TMA。因此O3并不发生气相反应,而与晶片200上的基底膜发生表面反应。
[步骤2]
在步骤2中,关闭第1气体供给管232a的第1阀243a,停止O3的供给。然后在开启气体排气管231的第4阀243d的状态下通过真空泵246将处理炉202排气至20Pa或20Pa以下,将残留O3排出至处理炉202外。此时,如果从作为O3供给管路的第1气体供给管232a及作为TMA供给管路的第2气体供给管232b分别向处理炉202内供给N2等惰性气体,则可以进一步提高排出残留O3的效果。
[步骤3]
在步骤3中流入TMA气体。TMA在常温下为液体,向处理炉202供给时,有经加热使其气化后供给的方法,将称为载气的氮气、稀有气体等惰性气体通入TMA容器260中,气化的部分与载气一同供给至处理炉的方法等,以后者为例进行说明。首先同时打开设置在载气供给管232b上的阀252、设置在TMA容器260和处理炉202之间的阀250、及设置在气体排气管231中的第4阀243d,经由第2质量流量控制器241b调整了流量的载气从载气供给管232b通过TMA容器260,形成TMA和载气的混合气体,一边将混合气体从喷嘴233的气体供给孔248b向处理炉202供给,一边从气体排气管231排出。流入TMA气体时,恰当地调整第4阀243d,使处理炉202内压力为10~900Pa。经由第2质量流量控制器241a控制的载气的供给流量为10000sccm或10000sccm以下。供给TMA的时间设定为1~4秒。随后为了使其进一步吸附,也可以将在升高的压力气氛中暴露的时间设定为0~4秒。此时,晶片温度与供给O3时相同,为250~450℃。供给TMA可使基底膜上的O3和TMA发生表面反应,从而在晶片200上形成Al2O3膜。
同时打开开闭阀254,从连接在气体供给管232a管路中途的惰性气体管路232d流入惰性气体,则可以防止TMA气体蔓延至O3侧。
成膜后,关闭阀250,打开第4阀243d,对处理炉202进行真空排气,排除参与TMA成膜后的残留气体。此时,如果从作为O3供给管路的第1气体供给管232a及作为TMA供给管路的第2气体供给管232b分别向处理炉202供给N2等惰性气体,则可以进一步提高将参与TMA成膜后的残留气体从处理炉202排除的效果。
上述步骤1~3为1个循环,将所述循环多次反复即可在晶片200上形成规定膜厚的Al2O3膜。
由于在将处理炉202内排气、除去O3气体后再流入TMA,因此二者在流向晶片200的途中不发生反应。可使供给的TMA仅与吸附在晶片200上的O3有效地发生反应。
另外,将作为O3供给管路的第1气体供给管232a及作为TMA供给管路的第2气体供给管232b在处理炉202内合流,使TMA和O3在喷嘴233内也交替吸附、反应,从而可以得到Al2O3堆积膜,不存在将TMA和O3以不同的喷嘴供给时TMA喷嘴内生成可能成为异物发生源的Al膜的问题。Al2O3膜的密合性较Al膜良好、不易剥离,因此不易成为异物发生源。
下面参照图4,对作为适用于本发明的衬底处理装置优选例之一的半导体制造装置进行简要说明。
箱体101内部的前面侧设置有盒载置台105,该盒载置台105作为保持器交接部件在未图示的外部搬运装置之间交接作为衬底容纳容器的盒100,盒载置台105的后侧设置有作为升降单元的盒升降机115,盒升降机115中安装有作为搬运单元的盒移载机114。另外,盒升降机115的后侧设置有作为盒100的载置单元的盒架109,同时盒载置台105上方也设置有预备盒架110。预备盒架110上方设置有清洁单元118,其构成为使清洁空气在箱体101的内部流通。
箱体101的后部上方设置有处理炉202,处理炉202的下方设置有使螺柱217在处理炉202中升降的、作为升降单元的螺柱升降机121,所述螺柱217作为衬底保持单元将作为衬底的晶片200以水平姿势多段地保持。安装在螺柱升降机121上的升降部件122的前端部设置有作为盖体的密封盖219,其垂直地支持着螺柱217。螺柱升降机121和盒架109之间设置有作为升降单元的移载升降机113,移载升降机113上安装有作为搬运单元的晶片移载机112。另外,螺柱升降机121的旁边设置有具备开闭结构的、作为用于堵住处理炉202下面的遮蔽部件的炉口堵板116。
在晶片200垂直的状态下将装填晶片200的盒100从未图示的外部搬运装置搬运至盒载置台105,为使晶片200处于水平姿势,可以在盒载置台105上旋转90℃。进而,在盒升降机115的升降移动、横向移动及盒移载机114的进退移动、旋转移动的协动下,将盒100从盒载置台105搬运至盒架109或者预备盒架110上。
盒架109中具有容纳作为晶片移载机112搬运对象的盒100的移载架123,将晶片200供给移载的盒100经由盒升降机115、盒移载机114移载至移载架123。
当盒100被移载至移载架123上后,在晶片移载机112的进退移动、旋转移动及移载升降机113的升降移动的协动下,将晶片200从移载架123移载至下降状态的螺柱217上。
在螺柱217中移载规定张数的晶片200时,经螺柱升降机121将螺柱217插入处理炉202,并用密封盖219对处理炉202进行气密封闭。在气密性封闭后的处理炉202内,加热晶片200,同时向处理炉202内供给处理气体,对晶片200实施处理。
对晶片200的处理结束后,以与上述操作相反的顺序将晶片200从螺柱217移载至移载架123的盒100中,经盒移载机114将盒100从移载架123移载至盒载置台105上,经未图示的外部搬运装置搬至箱体101的外部。需要说明的是,炉口堵板116在螺柱217处于下降状态时堵塞处理炉202的下面,防止外界气体被吸入处理炉202内。
所述盒移载机114等的搬运动作受搬运控制单元124的控制。
2003年8月15日提出的日本专利申请2003-293953中公开的全部内容,包括说明书、权利要求书、附图、以及说明书摘要被直接引用并编入本申请中。
产业实用性
如上所述,本发明的第一种方案可以使用批量生产性良好的分批式处理装置以ALD法形成Al2O3膜等,同时能够抑制在喷嘴内形成Al膜等副产物。结果本发明特别优选适用于对半导体晶片进行处理的衬底处理装置、及使用所述装置的装置的制造方法中。

Claims (10)

1、一种衬底处理装置,所述装置具有容纳衬底的处理室和加热所述衬底的加热部件,将相互反应的至少2种气体交替供给至所述处理室内,在所述衬底的表面形成所希望的膜,
该装置的特征为,具有使所述2种气体分别相互独立地流通的2根供给管和单一的气体供给部件,该单一的气体供给部件向所述处理室内供给气体,所述气体供给部件的一部分延伸存在于所述2种气体中至少一种气体的分解温度或分解温度以上的区域中;
所述2根供给管在低于所述至少1种气体的分解温度的位置与所述气体供给部件连接,将所述2种气体分别通过所述气体供给部件供给至所述处理室内。
2、如权利要求1所述的衬底处理装置,其特征为,所述气体供给部件为具有多个气体喷出口的喷嘴。
3、如权利要求2所述的衬底处理装置,其特征为,该装置还具有形成了所述处理室、能够容纳叠层的多张衬底的反应管,由反应管的下部至上部沿着所述衬底的装载方向设置所述喷嘴。
4、如权利要求1所述的衬底处理装置,其特征为,所述2根供给管和所述气体供给部件的连接部位在所述处理室内。
5、如权利要求1所述的衬底处理装置,其特征为,所述气体供给部件的内壁上附着有所述至少2种气体发生反应而生成的膜。
6、如权利要求5所述的衬底处理装置,其特征为,清洁气体经由所述气体供给部件供给至所述处理室内,对所述处理室进行清洁和除去附着在所述气体供给部件上的膜。
7、如权利要求1所述的衬底处理装置,其特征为,所述气体为三甲基铝和臭氧,在所述衬底的表面形成铝氧化膜。
8、如权利要求1所述的衬底处理装置,其特征为,所述气体为四(N-乙基-N-甲基氨基)铪和臭氧,在所述衬底表面形成铪氧化膜。
9、一种衬底处理装置,所述装置具有容纳衬底的处理室和配置在所述处理室外侧的用于加热所述衬底的加热部件,具备通过向所述处理室内交替供给相互反应的至少2种气体从而在所述衬底的表面形成所希望的膜的热壁式处理炉,
该装置的特征为,具有使所述2种气体相互独立地分别流通的2根供给管和单一的气体供给部件,所述部件向所述处理室内供给气体,该部件的一部分配置于所述加热部件的内侧;
所述2根供给管在所述处理室内低于所述衬底附近温度的温度区域与所述气体供给部件连接,将所述2种气体通过所述气体供给部件分别供给至所述处理室内。
10、一种制造半导体装置的方法,其特征为,使用下述衬底处理装置,通过所述气体供给部件向所述处理室内交替供给所述2种气体,从而在所述衬底的表面形成所希望的膜;
所述衬底处理装置具有容纳衬底的处理室和加热所述衬底的加热部件,将相互反应的至少2种气体交替供给至所述处理室内,从而在所述衬底的表面形成所希望的膜,
该装置的特征为,具有使所述2种气体相互独立地分别流通的2根供给管和单一的气体供给部件,该单一的气体供给部件向所述处理室内供给气体,该部件的一部分延伸存在于所述2种气体中至少一种气体的分解温度或分解温度以上的区域中;
所述2根供给管在低于所述至少1种气体的分解温度的位置与所述气体供给部件连接,所述2种气体通过所述气体供给部件分别供给至所述处理室内。
CNB200480007520XA 2003-08-15 2004-07-09 衬底处理装置及半导体装置的制造方法 Expired - Lifetime CN100367459C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP293953/2003 2003-08-15
JP2003293953A JP3913723B2 (ja) 2003-08-15 2003-08-15 基板処理装置及び半導体デバイスの製造方法

Publications (2)

Publication Number Publication Date
CN1762042A true CN1762042A (zh) 2006-04-19
CN100367459C CN100367459C (zh) 2008-02-06

Family

ID=34191014

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB200480007520XA Expired - Lifetime CN100367459C (zh) 2003-08-15 2004-07-09 衬底处理装置及半导体装置的制造方法

Country Status (6)

Country Link
US (3) US20060258174A1 (zh)
JP (1) JP3913723B2 (zh)
KR (1) KR100819639B1 (zh)
CN (1) CN100367459C (zh)
TW (1) TWI243403B (zh)
WO (1) WO2005017987A1 (zh)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN100555582C (zh) * 2004-08-11 2009-10-28 株式会社明电舍 用于形成氧化物膜的方法和设备
CN101506952B (zh) * 2006-08-25 2011-02-16 株式会社明电舍 氧化膜形成方法和用于该方法的装置
WO2016023414A1 (zh) * 2014-08-14 2016-02-18 无锡华瑛微电子技术有限公司 利用含臭氧的流体处理半导体晶片表面的装置及方法

Families Citing this family (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4613587B2 (ja) * 2004-08-11 2011-01-19 株式会社明電舎 酸化膜形成方法とその装置
US20070292974A1 (en) * 2005-02-17 2007-12-20 Hitachi Kokusai Electric Inc Substrate Processing Method and Substrate Processing Apparatus
JP4632843B2 (ja) 2005-04-12 2011-02-16 Okiセミコンダクタ株式会社 強誘電体メモリ装置及びその製造方法
JP4874984B2 (ja) * 2005-09-27 2012-02-15 株式会社日立国際電気 基板処理装置
CN101365822A (zh) * 2006-07-31 2009-02-11 东京毅力科创株式会社 基板处理装置、程序、存储介质和决定是否需要调节的方法
US7795143B2 (en) * 2006-08-11 2010-09-14 Hitachi Kokusai Electric Inc. Substrate processing apparatus and manufacturing method of semiconductor device
JP2008078448A (ja) * 2006-09-22 2008-04-03 Hitachi Kokusai Electric Inc 基板処理装置
JP2008160081A (ja) * 2006-11-29 2008-07-10 Hitachi Kokusai Electric Inc 基板処理装置及び基板処理方法
US20090035951A1 (en) * 2007-07-20 2009-02-05 Hitachi Kokusai Electric Inc. Manufacturing method of semiconductor device
US20090035946A1 (en) * 2007-07-31 2009-02-05 Asm International N.V. In situ deposition of different metal-containing films using cyclopentadienyl metal precursors
JP5384852B2 (ja) 2008-05-09 2014-01-08 株式会社日立国際電気 半導体装置の製造方法及び半導体製造装置
JP5616591B2 (ja) 2008-06-20 2014-10-29 株式会社日立国際電気 半導体装置の製造方法及び基板処理装置
JP5222652B2 (ja) 2008-07-30 2013-06-26 株式会社日立国際電気 基板処理装置及び半導体装置の製造方法
JP5665289B2 (ja) 2008-10-29 2015-02-04 株式会社日立国際電気 半導体装置の製造方法、基板処理方法および基板処理装置
US8193032B2 (en) 2010-06-29 2012-06-05 International Business Machines Corporation Ultrathin spacer formation for carbon-based FET
JP6820793B2 (ja) 2017-04-27 2021-01-27 東京エレクトロン株式会社 基板処理装置、排気管のコーティング方法及び基板処理方法
JP6994483B2 (ja) * 2018-09-26 2022-01-14 株式会社Kokusai Electric 半導体装置の製造方法、プログラム、及び基板処理装置
JP1706319S (zh) * 2021-06-16 2022-01-31

Family Cites Families (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS59142839A (ja) * 1983-02-01 1984-08-16 Canon Inc 気相法装置のクリ−ニング方法
US4699805A (en) * 1986-07-03 1987-10-13 Motorola Inc. Process and apparatus for the low pressure chemical vapor deposition of thin films
USRE36328E (en) * 1988-03-31 1999-10-05 Kabushiki Kaisha Toshiba Semiconductor manufacturing apparatus including temperature control mechanism
JPH01296613A (ja) 1988-05-25 1989-11-30 Nec Corp 3−v族化合物半導体の気相成長方法
JPH02267197A (ja) 1989-04-06 1990-10-31 Nec Corp 炭化硅素の成長方法
JP2839720B2 (ja) * 1990-12-19 1998-12-16 株式会社東芝 熱処理装置
JP3140068B2 (ja) * 1991-01-31 2001-03-05 東京エレクトロン株式会社 クリーニング方法
US5484484A (en) * 1993-07-03 1996-01-16 Tokyo Electron Kabushiki Thermal processing method and apparatus therefor
JP3247270B2 (ja) * 1994-08-25 2002-01-15 東京エレクトロン株式会社 処理装置及びドライクリーニング方法
KR100252213B1 (ko) * 1997-04-22 2000-05-01 윤종용 반도체소자제조장치및그제조방법
US20030049372A1 (en) * 1997-08-11 2003-03-13 Cook Robert C. High rate deposition at low pressures in a small batch reactor
JPH11345778A (ja) * 1998-05-29 1999-12-14 Tokyo Electron Ltd 成膜装置のクリーニング方法及びそのクリーニング機構
US6383300B1 (en) * 1998-11-27 2002-05-07 Tokyo Electron Ltd. Heat treatment apparatus and cleaning method of the same
KR100331544B1 (ko) 1999-01-18 2002-04-06 윤종용 반응챔버에 가스를 유입하는 방법 및 이에 사용되는 샤워헤드
KR100394571B1 (ko) * 1999-09-17 2003-08-14 삼성전자주식회사 화학기상증착용 튜브
US6780704B1 (en) * 1999-12-03 2004-08-24 Asm International Nv Conformal thin films over textured capacitor electrodes
KR100360401B1 (ko) * 2000-03-17 2002-11-13 삼성전자 주식회사 슬릿형 공정가스 인입부와 다공구조의 폐가스 배출부를포함하는 공정튜브 및 반도체 소자 제조장치
JP4535677B2 (ja) * 2000-10-17 2010-09-01 ナノグラム・コーポレイション 反応性デポジションによるコーティング膜製造
KR100375102B1 (ko) * 2000-10-18 2003-03-08 삼성전자주식회사 반도체 장치의 제조에서 화학 기상 증착 방법 및 이를수행하기 위한 장치
JP3437830B2 (ja) * 2000-11-28 2003-08-18 東京エレクトロン株式会社 成膜方法
JP3924483B2 (ja) * 2001-03-19 2007-06-06 アイピーエス リミテッド 化学気相蒸着装置
JP2003045864A (ja) * 2001-08-02 2003-02-14 Hitachi Kokusai Electric Inc 基板処理装置
JP4090347B2 (ja) * 2002-03-18 2008-05-28 株式会社日立国際電気 半導体装置の製造方法及び基板処理装置
KR20030081144A (ko) * 2002-04-11 2003-10-17 가부시키가이샤 히다치 고쿠사이 덴키 종형 반도체 제조 장치
JP3670628B2 (ja) * 2002-06-20 2005-07-13 株式会社東芝 成膜方法、成膜装置、および半導体装置の製造方法
JP4113755B2 (ja) * 2002-10-03 2008-07-09 東京エレクトロン株式会社 処理装置
JP2004288899A (ja) 2003-03-24 2004-10-14 Tokyo Electron Ltd 成膜方法および基板処理装置

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN100555582C (zh) * 2004-08-11 2009-10-28 株式会社明电舍 用于形成氧化物膜的方法和设备
CN101506952B (zh) * 2006-08-25 2011-02-16 株式会社明电舍 氧化膜形成方法和用于该方法的装置
WO2016023414A1 (zh) * 2014-08-14 2016-02-18 无锡华瑛微电子技术有限公司 利用含臭氧的流体处理半导体晶片表面的装置及方法

Also Published As

Publication number Publication date
JP2005064305A (ja) 2005-03-10
TWI243403B (en) 2005-11-11
US20090186467A1 (en) 2009-07-23
KR100819639B1 (ko) 2008-04-03
US20060258174A1 (en) 2006-11-16
JP3913723B2 (ja) 2007-05-09
US20120034788A1 (en) 2012-02-09
CN100367459C (zh) 2008-02-06
WO2005017987A1 (ja) 2005-02-24
TW200514130A (en) 2005-04-16
KR20050117574A (ko) 2005-12-14
US8598047B2 (en) 2013-12-03

Similar Documents

Publication Publication Date Title
CN1762042A (zh) 衬底处理装置及半导体装置的制造方法
US10312078B2 (en) Nitride film forming method and storage medium
US8076251B2 (en) Method of manufacturing semiconductor device, method of processing substrate and substrate processing apparatus
CN1717791B (zh) 基板处理容器的清洗方法
US6511539B1 (en) Apparatus and method for growth of a thin film
CN1191614C (zh) 在原子层沉积过程中使寄生化学气相沉积最小化的装置和原理
US6884738B2 (en) Manufacturing method of semiconductor device and substrate processing apparatus
US6689210B2 (en) Apparatus for growing thin films
CN101527263B (zh) 半导体器件的制造方法
KR101480529B1 (ko) 실리콘 및 타이타늄 질화물의 인시츄 증착
US9437704B2 (en) Semiconductor device having electrode made of high work function material, method and apparatus for manufacturing the same
US7344755B2 (en) Methods and apparatus for processing microfeature workpieces; methods for conditioning ALD reaction chambers
CN1295756C (zh) 在阻挡膜上形成钨膜的方法
US20180033608A1 (en) Method and Apparatus for Forming Nitride Film
JP4480516B2 (ja) バリア膜の形成方法
US20100297846A1 (en) Method of manufacturing a semiconductor device and substrate processing apparatus
CN1735710A (zh) 形成高质量的低温氮化硅膜的方法和设备
CN1479805A (zh) 薄膜形成方法及薄膜形成装置
TW200814157A (en) Overall defect reduction for PECVD films
CN1706031A (zh) 基板处理装置
TW201145391A (en) Method of manufacturing semiconductor device, method of processing substrate and substrate processing apparatus
US20170278697A1 (en) Nitride Film Forming Method and Storage Medium
KR101504138B1 (ko) 박막 증착장치 및 이 장치의 세정방법
TW200525616A (en) Film formation method and apparatus for semiconductor process
JP5568342B2 (ja) 半導体装置の製造方法、基板処理方法および基板処理システム

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
TR01 Transfer of patent right

Effective date of registration: 20181128

Address after: Tokyo, Japan

Patentee after: KOKUSAI ELECTRIC Corp.

Address before: Tokyo, Japan

Patentee before: HITACHI KOKUSAI ELECTRIC Inc.

TR01 Transfer of patent right
CX01 Expiry of patent term

Granted publication date: 20080206

CX01 Expiry of patent term