CN1958170B - 气体供给装置及基板处理装置 - Google Patents

气体供给装置及基板处理装置 Download PDF

Info

Publication number
CN1958170B
CN1958170B CN2006101427762A CN200610142776A CN1958170B CN 1958170 B CN1958170 B CN 1958170B CN 2006101427762 A CN2006101427762 A CN 2006101427762A CN 200610142776 A CN200610142776 A CN 200610142776A CN 1958170 B CN1958170 B CN 1958170B
Authority
CN
China
Prior art keywords
gas
container handling
gas supply
supply device
matrix part
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN2006101427762A
Other languages
English (en)
Other versions
CN1958170A (zh
Inventor
五味久
齐藤哲也
挂川崇
间瀬贵久
小泉真
多田国弘
若林哲
成嶋健索
方成
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of CN1958170A publication Critical patent/CN1958170A/zh
Application granted granted Critical
Publication of CN1958170B publication Critical patent/CN1958170B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/4557Heated nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45572Cooled nozzles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/304Mechanical treatment, e.g. grinding, polishing, cutting

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

本发明提供一种气体喷头(气体供给装置),其用于CVD装置等,由镍部件组合而构成,防止由于高温引起的镍部件之间相互贴合。用螺钉将形成有大量气体供给孔的由镍部件构成的喷淋板,和与该喷淋板之间形成处理气体流通空间、同时气密地安装在处理容器天井部开口部的周边部的由镍部件构成的基体部件,在周边部位相互接合。在相互的接合面之间,插入不同于镍部件的材质、例如哈斯特洛依耐蚀耐热镍基合金或碳等中间部件。

Description

气体供给装置及基板处理装置
技术领域
本发明涉及例如为了对基板进行规定的成膜处理,从与基板相对的多个气体供给孔向处理容器内供给处理气体的气体供给装置;以及采用这种气体供给装置的基板处理装置。
背景技术
成膜处理是半导体制造处理之一,该处理通常是在真空氛围气体下,通过例如等离子体化或者进行热解使处理气体活化,使活性种或反应生成物堆积在基板表面而进行。于是,在成膜处理中,有使多种气体发生反应而成膜的处理。作为这种处理,可以举出Ti、Cu、Ta等金属,或者TiN、TiSi、WSi等金属化合物,再或者SiN、SiO2等绝缘膜的薄膜的形成。
进行这种成膜处理的装置,配置有用于将基板载置在形成真空室的处理容器内的载置台,同时在处理容器内设置有气体供给装置,并且,还组合设置有作为用于为气体提供能量的单元的加热装置或等离子体发生单元等。并且,气体供给装置一般被称为气体喷头,以堵塞在处理容器天井部形成的开口部的方式设置,并且与上述载置台相对。例如在专利文献1中记载有这种气体喷头,如图10所示,包括:基体部件11,其堵塞处理容器上部开口部,由扁平的有底筒状体形成;喷淋板(shower plate)12,其设置在该基体部件11底面部的下方侧。因为基体部件11也具有分隔处理容器内的真空氛围与大气氛围的作用,通过作为环状树脂密封部件的O形环15,将上端边缘部的凸缘(flange)13与处理容器开口部的周边部14气密地接合。
喷淋板12的周边部设有竖起的侧壁,该侧壁的上缘构成凸缘部12a,上述凸缘部12a和基体部件11的底面部15a的周边部通过螺栓16接合。另外,在基体部件11的中央部,连接有2根气体供给管17a和17b,这些气体供给管17a和17b中的气体分别从分离的喷淋板12的气体供给孔18a和18b中喷出。
并且,使用镍作为气体喷头的材质。其理由是,镍即使在例如500℃左右的高温下也具有很高的耐蚀性,并且对基板产生金属污染的可能性小,进一步在进行等离子体处理时可兼作电极,具有很高的导电性等。但是,这种气体喷头存在以下问题。
根据处理的不同,有时处理氛围气体的温度较高,气体喷头达到例如420℃以上的高温,由于高温,存在喷淋板12与基体部件11的接合部分相互贴合的危险。如后所述,通过实验,在450℃发生了牢固的贴合现象,所以如果在420℃以上的高温下使用,根据使用的形式以及接合面的状态,产生贴合的危险性很大。因此,在进行装置的维护时,为了清洗气体喷头的内部而分解气体喷头时,出现无法将两者分开或必须施加很大的力量的情况。产生这种粘贴的原因是,镍材料的表面原子通过接合面扩散,由于表面存在着虽然细微、但确实存在的凹凸,由于锚定(anchor)效果,使得接合面之间产生贴合。因此,维修作业非常困难,或者必须将其例如定期地更换,气体喷头由镍材料构成,成为运转成本大幅增长的主要原因。
另外,由于镍的导热系数大,通过基体部件11的侧壁而释放的热量很大,因此,由于必须加大设置在气体喷头上的加热器的发热量,因而增大电力消耗,也存在由于O形环15温度上升而导致劣化的问题。为了避免O形环15的劣化,安装冷却机构即可,但是因为从基体部件11侧释放的热量大,用于冷却所消耗的能量也增大。
另外,上述气体喷头还存在下列问题。为了进行气体喷头的温度控制,在基体部件11的底面部的上侧设置平面式加热器,并且在基体部件11的底面部设置使用其前端部被埋设的热电偶的温度检测部,根据温度检测值,控制加热器的供电量。温度检测部的结构是在填充有绝缘材料的护套(sheath)金属中插入热电偶,在进行等离子体处理时,来自气体喷头的高频率施加在护套金属和热电偶之间,有破坏绝缘的可能。因此,虽然有在基体部件11与温度检测部之间设置绝缘材料的做法,但是存在绝缘材料的感应加热引起的发热影响温度检测值,导致温度控制的不稳定的危险。
专利文献1:日本特开2002-327274号公报,图3
发明内容
本发明是基于上述情况而完成的,其目的在于提供一种气体供给装置,其向处理容器内供给处理气体,能够防止由于高温产生的镍部件之间的贴合,且便于维护。另外,本发明的另一个目的是提供一种采用该气体供给装置的基板处理装置。
本发明涉及一种气体供给装置,其特征在于:以气密地填塞在用于对基板进行处理的处理容器的天井部形成的开口部的方式设置,同时由多个镍部件组合构成,从在下面形成的多个气体供给孔向处理容器内供给处理气体。在上述镍部件之间的接合面中间,设置有由不同于镍部件的材质构成的防止贴合用的中间部件。
作为更加具体的气体供给装置的发明,可以举出包括如下部件的结构:喷淋板,其由形成有多个上述气体供给孔的镍部件构成;基体部件,该喷淋板周边部的上面与其周边部的下面相互气密地接合,与该喷淋板之间形成处理气体扩散空间,同时被气密地安装在处理容器上述开口部的周边部,至少与喷淋板相对的部分由镍部件构成;和中间部件,其介于上述喷淋板周边部的上面与上述基体部件周边部的下面之间,由不同于镍部件的材质构成,用于防止贴合。
所谓镍部件,不限于镍100%的部件,也包括以镍为主要成分的部件。上述中间材料可以举出哈斯特洛依耐蚀耐热镍基合金或者碳等。例如,将基体部件的上端周边部气密地安装在处理容器上述开口部的周边部,形成底面部的周边部与喷淋板周边部气密地接合的扁平的有底筒状体。此外,上述基体部件的侧壁部可由例如导热系数小于镍部件的材质构成。作为这种材质,优选哈斯特洛依耐蚀耐热镍基合金。另外,为了加固上述侧壁部,优选上述基体部件包括由导热系数小于镍部件的材质构成的加固部件。优选上述基体部件的上端周边部形成例如空气流路等制冷剂流路。
本发明的气体供给装置例如构成为所谓的预先混合(premix)型。在这种情况下,处理气体含有互相反应生成成膜成分的第一气体和第二气体,气体供给装置将这些气体预先混合,并导入其中,再将该混合气体供给到处理容器内。
本发明作为基板处理装置、例如成膜装置也成立。其特征在于,该装置包括:气密的处理容器;载置台,其设置在该处理容器内,用于载置基板;排气单元,其排出处理容器内的气体;和本发明的气体供给装置。通过从气体供给装置供给的处理气体,处理载置台上的基板。在这种情况下,可以举出通过树脂封条部件,将基体部件的上端周边部与处理容器上述开口部的周边部气密地接合的结构。
另一种发明的基板处理装置,其特征在于,包括:气密的处理容器;载置台,其设置在该处理容器内,用于载置基板;排气单元,其排出处理容器内的气体;和本发明的气体供给装置。上述基体部件的上端部,隔着用于高频绝缘的由氧化铝构成的上侧绝缘部件,固定在处理容器上。上述上侧绝缘部件的下方侧,设置有用于高频绝缘的由石英构成的下侧绝缘部件,使得包围与上述处理容器中的上述基体部件横向相对的部位。通过从上述气体供给装置供给的处理气体,处理载置台上的基板。
另外,本发明为一种基板处理装置,其特征在于,包括:气密的处理容器;载置台,其设置在该处理容器内,用于载置基板;排气单元,其排出处理容器内的气体;如上述项1~9中任一项所述的气体供给装置;第一温度调节单元,其用于加热基板,设置在载置台上;第二温度调节单元,其用于控制上述气体供给装置中与处理氛围气体接触的面的温度,设置在气体供给装置中;和控制部,其用于控制上述第一温度调节单元和第二温度调节单元。为在基板上形成钛膜,从气体供给装置向处理容器内供给四氯化钛气体和氢气,然后,为了使基板上的钛膜氮化,进行气体供给控制,使得向处理容器内供给氨气。上述控制部确定第二温度调节单元的设定温度,使得上述气体供给装置中与处理氛围气体接触的面的温度低于镍与氨发生反应生成固体生成物的温度,并且处于TiClx(x为1、2或3)的分解温度区域。
在该基板处理装置中,优选控制第二温度调节单元,使得上述气体供给装置中与处理氛围气体接触的面的温度在400~450℃。此时,优选控制上述第一温度调节单元,使得在基板温度为450~600℃的范围内进行加热;同时控制上述第二温度调节单元,使得对应于上述基板温度,在180~475℃的温度范围内。
根据本发明,由于在由多个镍部件组合构成的气体供给装置中,在镍部件的相互接合面之间,设置有由不同于镍部件的材质构成的防止贴合用的中间部件,例如在喷淋板周边部的上面,和在与该喷淋板之间形成处理空气流动空间的基体部件的下面之间,设置有防止贴合的中间材料,所以能够防止由于高温导致的镍部件之间的贴合。因此,在维护时,由于能够容易地将喷头分解,能够通过对其内部进行清洗及检修,进行再利用,能够消除不进行维护而更进行更换等不合理现象。
附图说明
图1为表示组装有本发明实施方式的气体供给装置的成膜装置的纵向截面图。
图2为详细表示上述实施方式的气体供给装置的纵向截面图。
图3为表示上述实施方式的气体供给装置的一部分的分解截面图。
图4为表示上述实施方式的气体供给装置的一部分的分解立体图。
图5为放大表示上述实施方式的气体供给装置的基体部件和温度调节单元的截面图。
图6为表示上述实施方式的气体供给装置中采用的温度检测部被埋设在基体板底面部状态的纵向截面图。
图7为表示上述温度检测部前端结构的截面图。
图8为表示钛化合物的生成与温度关系的说明图。
图9为表示上述气体供给装置的温度控制系统的构造图。
图10为表示现有的气体供给装置的纵向截面图。
符号说明
2:处理容器;21:载物台(基板载置台);31:开口部;34:绝缘部件;35:O形环;4:气体喷头(气体供给装置);5:基体部件;51:凸缘部;51a:空气冷却流路;52:底面部:53:侧壁部;55:加固用支柱;6:喷淋板;61:气体供给孔;63:中间部件;57:气体导入管;58:气体混合部
具体实施方式
对于将本发明的气体供给装置组装在用于通过等离子体CVD进行成膜的成膜装置中的实施方式,进行说明。首先,根据图1的示意图,对于成膜装置的整体构造进行简要说明。在图1中,2为作为例如由铝构成的真空室的处理容器,该处理容器2形成为由上侧大直径的圆筒部2a与其下侧的小直径的圆筒部2b连通构成的、所谓的蘑菇形状,设有用于加热其内壁的未图示的加热机构。在处理容器2内,设置有构成基板载置台的载物台21,其用于水平载置作为基板的例如半导体晶片(以下称晶片)W。该载物台21在小直径部2b的底部,通过支承部件22而支承。
在载物台21内,设置有构成晶片W的温度调节单元的加热器21a(参照图2)和作为后面描述的下部电极的未图中的导电部件。另外,设置有用于根据需要静电吸附晶片W的未图示的静电卡盘。并且,在载物台21上,设置有例如3根支承栓销23,该支承栓销用于保持晶片W并使其升降,可相对于载物台21的表面自由突没。该支承栓销23,通过支承部件24,与处理容器2外的升降机构25连接。排气管26的一端侧连接在处理容器2的底部,该排气管26的另一端侧连接有作为真空排气单元的真空泵27。另外,在处理容器2的大直径2a的侧壁上,形成通闸阀28而开闭的搬送口29。
并且,在处理容器2的天井部形成开口部31,设置有作为本发明的气体供给装置的气体喷头4,使得填塞该开口部31,且与载物台21相对。这里,气体喷头4和载物台21分别兼用作上部电极和下部电极。气体喷头4,通过匹配器32,与高频电源部33连接,同时,作为下部电极的载物台21接地。另外,图1中简略地记载了布线图,但实际上载物台21与处理容器2电连接,从处理容器2的上部,通过未图示的匹配箱接地,使得高频导电线路包围处理空间。
如图2所示,气体喷头4大致划分,可包括上部的基体部件5和下部的喷淋板6。基体部件5的结构为上面开口、下面封闭的扁平的有底筒状体(换言之,为将圆形板的周边垂直竖起的形状),该筒状体的上部周边部向外侧弯曲,形成凸缘部51。在处理容器2的上述开口部31的内周边缘部,设置有用于使作为上部电极的气体喷头4与处理器2的金属主体部分绝缘(高频绝缘)的绝缘部件34,基体部件5的凸缘部51通过未图示的螺钉固定在上述绝缘部件34的上面。进一步具体而言,作为环状树脂密封材料的O形环35密封接合在绝缘材料34上面形成的环状的沟槽中,凸缘部51的下面与绝缘部件34的上面通过该O形环35气密地接合。另外,由凸缘部5的螺钉固定的固定部位成为O形环35的外侧部位。另外,36是由将凸缘51部向绝缘部件34一侧紧压而设置的绝缘材料构成的紧压环部件。由此,基体部件5以气密地填塞上述开口部31的方式设置。
上述绝缘部件34的结构为由上侧部分(上侧绝缘部件)34a与下侧部分(下侧绝缘部件)34b构成的双层结构,上侧部分34a的材质使用氧化铝,下侧部分34b的材质使用石英。下侧部分34b以包围上述处理容器中与上述基体部件横向相对的部位的方式设置。采用这种双层构造的理由如下所述。即,因为用相对介电常数低的石英构成包围喷头4的下侧部分34b,尽量增大喷头4与处理容器2的壁部之间的高频阻抗,抑制两者之间的高频泄漏,减少电力的损失及噪音的泄漏,另外,难以引起异常放电。另一方面,石英的加工性差,用相对介电常数高、加工性良好的氧化铝构成上侧部分34a,由此,便于进行用于固定喷头4的凸缘部51的螺钉孔的加工。因此,希望在上侧部分34a中能够加工螺钉孔的范围内,尽可能使下侧部分34的上端位置位于上方侧,扩大包围喷头4的下侧部分34b的区域。
基体部件5的凸缘51和底面部52由镍部件构成。所谓镍部件,既包括由镍为100%的材质构成的部件,也包括含有镍以外成分、但以镍为主要成分的部件。并且,基体部件5的侧壁部53由导热系数小于镍部件的材质构成,在本例中为哈斯特洛依耐蚀耐热镍基合金。该侧壁部53是将加热气体喷头4的处理氛围气体一侧的部位而产生的热量传送到上部的部位,因此为了抑制其传热,减小其厚度,例如设定为1mm。因为哈斯特洛依耐蚀耐热镍基合金的导热系数小且强度大,能够得到与镍部件同等的强度,且能够减小厚度,处理容器2内为真空氛围,由于在该侧壁部53上施加很大的力,因此为了防止变形采用了加固结构。
即,如后所述的图4的分解立体图所示,在基体部件5上端内周边部沿周边方向设置有多个、例如等间隔地设置4个向内侧突出的突出部54,设置有支柱55,其由连接该突出部54与基体部件5的底面部52的加固部件、例如加固管构成。由于突出部54和支柱55为从下部侧向上部侧传热的部位,在本例中由导热系数小于镍部件的材质、例如哈斯特洛依耐蚀耐热镍基合金构成。另外,作为在镍部件上组合由哈斯特洛依耐蚀耐热镍基合金构成的部件的方法,进行例如钎焊等。
此外,为了抑制O形环35的升温,在凸缘部51形成作为构成冷却机构的制冷剂流路的空气冷却流路51a,作为制冷剂的冷却用空气在该空气冷却流路51a中流通。
下面,对于作为气体喷头4下部分的喷淋板6,进行说明。喷淋板6,使相当于与载物台21相对的位置的圆形板的周边部竖起,将该竖起的周边上部向外侧弯曲,形成凸缘部60,使由螺钉固定的部位从外周面向内侧形成缺口。在喷淋板6中,由于与基体部件5接合,与其间形成的气体扩散空间62联通,穿设有多个用于向处理容器2内供给处理气体的气体供给孔61。另外,喷淋板6由上述的镍部件构成。如图2及图3所示,凸缘部60的上面与基体板5的底面部52周边部的下面,以中间插入环状中间部件63的状态,相互接合,用螺钉64固定。该中间部件63用于防止镍部件之间的贴合,使用不同于镍部件的材质,但其中优选不会引起金属污染的材质,例如可以举出哈斯特洛依耐蚀耐热镍基合金或碳等。在本例中,中间材料63例如由哈斯特洛依耐蚀耐热镍基合金构成,形成2.6mm的厚度。
本实施方式中的气体喷头62,导入预先混合有多种处理气体的混合气体,然后将作为该混合气体的处理气体供给到处理容器2内,为所谓的预先混合型的结构。因此,在基体部件5底面部52的中央部,穿设有用于供给处理气体(混合气体)的气体导入孔56,以与该气体导入口56连接的方式设置有竖起的气体导管57。如图1所示,在气体导管57的上游端侧连接有气体混合部58,气体混合部58通过气体供给路101与例如TiCl4气体源102、Ar气体源103和ClF3气体源104连接,同时,通过气体供给路105与例如H2气体源106以及NH3气体源107连接。另外,由点划线包围的用108表示的部分是设置在各气体供给路的阀门或质量流量控制器等气体供给设备组。
在基体部件5的底面部52上,设置有用于调节面向气体扩散空间62和处理氛围气体的喷淋板6温度的温度调节机构。参照图4对该温度调节机构进行说明。在上述底面部52上,设置有由绝缘部件、例如氮化铝(AlN)构成的例如厚4mm的绝缘板7,该绝缘板7上载置有平面式的加热器71。从维护性优异的角度出发,希望这些绝缘板7以及加热器71为可沿平面方向分隔成多块的结构。在本例中,分别为分成4块的结构和分成2块的结构。参照图5,平面式的加热器71,在由绝缘材料例如云母构成的芯片72上缠绕电阻发热线72a,用2片由云母构成的保护板73、74夹住芯片72,形成三明治的构造。
此外,对于喷头4的基体部件5与加热器71之间的关系,从使喷淋板6的温度稳定化的观点出发,优选使两者的中心一致,且加热器71的表面积与基体部件5的表面积的比例为80%以上。
这样,通过在基体部件5与加热器71之间插入由AlN构成的绝缘板,在进行等离子体处理时,能够抑制流经基体部件5的高频对加热器71产生的影响,因此,能够防止加热器71的云母发生绝缘破坏。另外,由于AlN的导热系数高,能够将来自加热器71的热量有效地传给基体部件5一侧。
并且,如图2、图4和图5所示,在加热器71上设置有作为冷却机构的空气冷却管75。该空气冷却管75形成为环状,从环形部分将送气管76竖起,送气管76的基端侧与空气源76a连接。此外,空气冷却管75沿长度方向(环状部分的圆周方向)以一定间隔设置有多个吹气孔77、78,其用于向下部侧与铅垂线倾斜45度角的内侧及外侧方向吹出例如常温空气。通过从该空气冷却管75吹出的空气进行的冷却,可用于从成膜处理进入清洗处理时使基体部件5的底面部52急冷的情况。在处理时例如预涂层处理过程中,因来自载物台21的辐射热导致底面部52的检测温度超出设定温度等情况,也可经常使用。从上述吹气孔77、78吹出的空气,分别冷却靠近加热器71中央的区域及其周边侧区域,但是空气冷却管75的环状部分的大小(直径)则要通过适当的实验来决定。
此外,在基体部件5的底面部52,埋设有具有热电偶的温度检验部8的前端部。如图6所示,该温度检测器8为在护套金属81中填充作为绝缘材料的例如氧化镁82,再插入热电偶83的结构,护套金属81的前端部,套有以绝缘材料氧化铝为材质的保护帽84。于是,温度检测部8的构造为:在从加热器71隔着绝缘板7贯穿基体部件5的底面部52开放的孔中,插入保护帽84,埋设在上述底面部52中。加热器71上,竖立地设置保护管85,该保护管85中装有护套金属81和保护帽84的一部分。护套金属81的上部侧通过固定部件86(参照图2)与基体部件5固定。在图2中,87是控制部,88是电源部,控制器87根据温度检测部8的温度检测值,通过电源部88,调整加热器71的供电量,进行温度控制。88a是供电线路。
如果使护套金属81与基体部件5(底面部52)接触,在护套金属81和热电偶83之间施加流入基体部件5的高频,氧化镁82引起绝缘破坏,上述保护帽84的作用就是防止这种破坏发生。作为保护帽84的材质,可以使用AlN,但是因为AlN的介电常数大,由于感应加热而产生的热量大,由该热量引起的温度上升对温度检测值产生影响,导致温度控制不稳定。反之,如果使用氧化铝作为保护帽84的材质,由于其介电常数小,所以由于感应加热而产生的热量小,能够实现精度良好且稳定的温度控制。
下面,对于上述实施方式的作用,以在晶片W表面形成Ti膜的情况为例进行说明。现在,清洗处理容器2内,然后进行处理。首先,在对晶片W进行处理之前,先进行处理容器2内的预涂层处理。该预涂层处理是,在暴露于处理氛围气体的部件表面,预先涂敷与对晶片W进行成膜处理的膜相同、或同种类的膜的处理,在本例中,形成Ti膜。
具体而言,在不将晶片W装置于载物台21上的状态下,将作为第一气体的TiCl4气体和Ar气体的混合气体从气体供给源102、103通过气体供给路101送入混合部58,并且将作为第二气体的H2气体从气体供给源106通过气体供给路105送入混合部58,这些气体混合后通过气体导管57向气体喷头4的扩散空间62吹出并扩散,从喷淋板6的气体供给孔61提供到处理氛围。
另一方面,通过真空泵27,使处理容器2内排气为真空,调整设置在排气管26上的未图示的压力调整阀,使处理容器2内的压力达到设定压力,同时,从高频电源部33向作为上部电极的气体喷头4与作为下部电极的载物台21之间供给高频电力,使处理气体即第一气体和第二气体等离子体化,用H2还原TiCl4,使Ti堆积在与处理氛围气体接触的部件的表面,具体而言在载物台21、喷淋板6的下面,形成预涂层的薄膜。此时,反应的副产物HCl与未反应气体一起被排出。
在此阶段中,为了形成膜质良好的预涂层的膜,即形成不易脱落的致密的膜,必须将喷淋板6的设置温度设定为某设定温度、例如420℃,但是将载物台21的温度设定为例如650℃,由于来自载物台21的辐射热使气体喷头4升温。然而,如上所述,因为气体喷头4的一部分(上述侧壁部53等)通过使用哈斯特洛依耐蚀耐热镍基合金,抑制热量的损失,喷淋板6和基体部件5底面部52中的蓄热量增多,所以温度大幅度上升,这样便会超过设定温度420℃。为此,从设置在基体部件5底面部52的上方侧的空气冷却管75吹出空气,冷却气体喷头4。
此时,例如通过持续吹出空气,使气体喷头4整体保持低于420℃的状态,在此基础上,使加热器71运转,根据其发热进行温度控制,使得温度检测值在规定的温度。如果通过吹出空气与设定温度吻合,由于吸热量的变化迟缓,对温度控制而言难以达到高度的稳定性,但是如上所述,如果用加热器71使之与设定温度吻合,气体喷头4的温度控制稳定,结果,能够进行良好的预涂层处理。
这样,完成预涂层处理后,下面如图1所示,用未图示的搬送臂,将作为基板的晶片W通过打开闸阀28的搬送口29搬入处理容器2内,通过与支承栓销23的联动作用,传送到载物台21上。关闭闸阀28后,与预涂层处理同样,进行成膜处理,在晶片W上形成Ti膜,此时,同样进行气体喷头4的温度控制。
然后,停止供给作为第一气体的TiCl4气体与作为第二气体的H2气体,同时,开始供给NH3(氨)气体。由此,将NH3气体吹入气体扩散空间62并扩散,从气体供给孔61吹入处理空间。此时,也向处理空间供给高频电力,在晶片W上已经形成的Ti薄膜的表面被NH3的活性种氮化。氮化完成后,停止供给高频电力和NH3气体,之后,采用与上述搬入操作相反的操作从处理容器2搬出晶片W。
这样,完成规定数量的晶片W的成膜处理后,进行清洗处理。该清洗处理,不产生等离子体,通过将C1F3气体通过气体供给路101从气体喷头4供给到处理容器2内而进行,但是必须将喷淋板6的温度设定在200~250℃左右。因为持续从空气冷却管75吹出空气,如果关闭加热器71,然后来自空气冷却管75的空气仅产生冷却作用,结果,气体喷头4被急冷,迅速地进入清洗处理。
根据上述实施方式,在喷淋板6周边部的上面与基体部件周边部的下面之间,设置有用于防止贴合的由哈斯特洛依耐蚀耐热镍基合金构成的环状的中间部件63,所以能够防止由于高温引起的镍部件之间的贴合。因此,因为在维护时能够容易地将气体喷头分解,可不增加操作者的负担、通过对内部进行清洗和检修,而再次利用。能够避免不进行维护而更换气体喷头4导致的操作成本过高等不合理现象。
另外,如上所述,基体部件5的侧壁部53以及作为加固部件的支柱55由导热系数低的哈斯特洛依耐蚀耐热镍基合金构成,因为哈斯特洛依耐蚀耐热镍基合金的强度大,可以将侧壁部53做成例如厚度为1mm左右的薄壁,从基体部件5的底面部52向外部的热损失减少,能够对喷淋板6进行有效的加热,结果,能够抑制电力消耗。并且,由此喷淋板6通过处理导致蓄热量增多,有时会超过设定温度,那时,持续进行空气冷却,使温度稍低于设定温度,在此状态中通过加热器71进行温度调节,可得到良好的温度控制。另外,全部由镍部件构成基体部件5产生的热量损失,大于持续进行空气冷却产生的热量损失,所以进行这种方式的温度控制,也能够抑制电力消耗。
进一步,如上所述,因为在由AlN构成的绝缘板7上搭载加热器71,所以不存在加热器71绝缘破坏的危险,另外,因为用氧化铝帽84盖住温度检测部8的前端部,能够提供一种可进行稳定的温度控制等优异的预先混合型气体喷头4。
这里,对确认中间部件效果的试验结果加以说明。在本实验中,采用长、宽分别为34mm、16mm的由镍部件构成的矩形的部件,将它们重叠,相互之间通过螺栓固定,使得扭矩为3~5N·m左右,分别在450℃和500℃两种温度下加热50小时。另外,将厚度为0.15mm的由哈斯特洛依耐蚀耐热镍基合金构成的薄板插入部件之间,同样进行加热。每个实验均准备多个进行。结果,使镍部件之间接合的情况下,在450℃的加热中,如果不施加200Kgf的拉伸应力便无法使其分开。反之,使用了中间部件时,在450℃的加热中,没有发生贴合现象,或是即使发生了贴合现象也能很简单的用手将其分开。在500℃时,也仅观察到稍微贴合。因而,可知通过插入中间部件,能够有效地抑制镍部件由于高温而产生的贴合现象。
这里,使用作为上述处理基板装置的成膜装置,进行晶片W成膜处理时,多数情况下,根据作为最终产品的集成电路的种类以及实施成膜的部位,准备多个晶片W的设定温度。另一方面,在使用TiCl4气体以及H2气体形成Ti膜,继而使用NH3气体进行使Ti膜氮化的连续处理时,必须留意气体喷头4中与处理氛围气体接触的温度(将该温度称为喷淋板6的温度)。首先,在Ti膜的成膜处理中,在等离子体中TiCl4气体被分解,生成低级的分解生成物TiClx(x=1、2、3)。该TiClx附着在处理容器内的低温部位上,成为成膜处理的不稳定因素。特别是当TiClx附着在与等离子体接触的喷淋板6上,如果以这种不稳定的状态残留,Ti成膜时,向等离子体中提供多余的Ti原子,使Ti成膜的重复性显著劣化。因此,必须将喷淋板6的温度维持在附着的TiClx进一步分解形成Ti膜而稳定化的高温。TiClx的分解(稳定化)温度还与Ti成膜之后的氮化处理有关,大约在400℃以上。
另外,在450℃附近的温度中,作为气体喷头4材质的镍与NH3气体发生反应,生成固体生成物的镍化合物。因为该镍化合物在500℃附近的温度升华,处在这个温度范围的部位上会附着镍化合物。分别将TiClx的分解温度、上述镍化合物的生成温度和该镍化合物的升华温度用TA、TB和TC表示,温度与化合物状态的关系如图8所示。
根据上述理由,本发明人对晶片W的设定温度分别采用450℃、550℃和600℃的三种方式进行研究。此时,一旦镍化合物附着在喷淋板6上,其就会脱落,成为颗粒污染的主要原因。因此作为喷淋板6的温度,必须低于上述镍化合物的生成温度TB,或者高于其升华温度TC,但是不产生上述镍化合物,可使系统安全运转,故优选低于上述镍化合物的生成温度TB。另外,晶片W的温度低于上述镍化合物的升华温度TC时,必须使喷淋板6的温度低于TB。因为,如果喷淋板6的温度高于TC,使镍化合物升华,其升华物也会附着在晶片W的表面。
本发明人研究的处理方案中,TiClx的分解温度区域高于400℃,由于上述镍化合物的生成温度高于450℃,此时晶片的温度、喷淋板6的温度(喷淋温度)以及加热器71的温度的设定例如下所示。
晶片的温度(℃)    喷淋温度(℃)  加热器71的温度(℃)
450               400           400
450                450          475
550                400          320
550                450          410
600                400          180
600                450          300
另外,本发明的气体喷头不局限于预先混合型,也可使用作为现有例的图10所示的所谓后混型,即分别向处理容器2内供给第一气体和第二气体的类型。
另外,本发明不仅限于Ti的成膜,也适用于进行半导体制造处理中的高温下的成膜处理等气体处理的情况,例如W、Cu、Ta、Ru、Hf等金属,或者TiN、TiSi、WSi等金属化合物,再或者SiN、SiO2等绝缘膜的薄膜的形成。并且,作为适用本发明的气体喷头的基板处理装置,不限于等离子体CVD装置,也适用于热CVD装置、蚀刻装置、灰化装置、溅射装置、退火装置等。实施例中,作为基板,以半导体晶片为例,但也适用于LCD基板和玻璃基板。并且,对于镍部件的接合部分也不仅限于上述例子,例如将2张板重合构成喷淋板时,其接合面中也可插入中间部件。即,本发明适用于组合多个镍部件构成的气体供给装置。

Claims (14)

1.一种气体供给装置,其以气密地填塞在用于对基板进行处理的处理容器的天井部形成的开口部的方式设置,从在下面形成的多个气体供给孔向处理容器内供给处理气体,其特征在于,包括:
喷淋板,其由形成有多个所述气体供给孔的镍部件构成;
基体部件,该喷淋板周边部的上面与该基体部件的周边部的下面相互气密地接合,在该基体部件与该喷淋板之间形成处理气体扩散空间,同时该基体部件被气密地安装在处理容器的所述开口部的周边部,且该基体部件的至少与喷淋板相对的部分由镍部件构成;
加热器,配置在所述基体部件的上面,对所述喷淋板进行加热;和
防止贴合用的中间部件,其介于所述喷淋板周边部的上面与所述基体部件周边部的下面之间,由不同于镍部件的材质构成,
所述中间部件由哈斯特洛依耐蚀耐热镍基合金构成,
所述基体部件的侧壁部由导热系数小于镍部件的材质构成。
2.如权利要求1所述的气体供给装置,其特征在于:
所述基体部件构成为扁平的有底筒状体,该基体部件的上端周边部被气密地安装在处理容器的所述开口部的周边部,该基体部件的底面部的周边部被气密地接合在喷淋板的周边部。
3.如权利要求2所述的气体供给装置,其特征在于:
所述基体部件包括用于加固侧壁部、由导热系数小于镍部件的材质构成的加固部件。
4.如权利要求2或3所述的气体供给装置,其特征在于:
导热系数小于镍部件的材质为哈斯特洛依耐蚀耐热镍基合金。
5.如权利要求1所述的气体供给装置,其特征在于:
所述基体部件的上端周边部形成有制冷剂流路。
6.如权利要求5所述的气体供给装置,其特征在于:空气在所述制冷剂流路中流通。
7.如权利要求1所述的供给装置,其特征在于:
平面式加热器隔着绝缘板配置在所述基体部件的上面,所述绝缘板与加热器分别沿平面方向被分成多块。
8.如权利要求1所述的气体供给装置,其特征在于:
处理气体包括相互反应生成成膜成分的第一气体和第二气体,
这些气体被预先混合后导入其中,将该混合气体供给至处理容器内。
9.一种基板处理装置,其特征在于:
包括:气密的处理容器;
载置台,其设置在该处理容器内,用于载置基板;
排气单元,其排出处理容器内的气体;和
权利要求1~8中任一项所述的气体供给装置,
该基板处理装置,通过从气体供给装置供给的处理气体,处理载置台上的基板。
10.一种基板处理装置,其特征在于:
包括:气密的处理容器;
载置台,其设置在该处理容器内,用于载置基板;
排气单元,其排出处理容器内的气体;和
权利要求1所述的气体供给装置,
所述基体部件的上端部,隔着用于高频绝缘的由氧化铝构成的上侧绝缘部件,固定在处理容器上,
在所述上侧绝缘部件的下方侧,设置有用于高频绝缘的由石英构成的下侧绝缘部件,使得包围与所述处理容器中的所述基体部件横向相对的部位,
该基板处理装置,通过从所述气体供给装置供给的处理气体,处理载置台上的基板。
11.如权利要求9或10所述的基板处理装置,其特征在于:
基体部件的上端周边部与处理容器的所述开口部周边部,通过树脂密封部件气密地接合。
12.一种基板处理装置,其特征在于:
包括:气密的处理容器;
载置台,其设置在该处理容器内,用于载置基板;
排气单元,其排出处理容器内的气体;
权利要求1~8中任一项所述的气体供给装置;
第一温度调节单元,其用于加热基板,设置在载置台上;
第二温度调节单元,其用于控制所述气体供给装置中与处理氛围气体接触的面的温度,设置在气体供给装置中;和
控制部,其控制所述第一温度调节单元和第二温度调节单元,
为在基板上形成钛膜,从气体供给装置向处理容器内供给四氯化钛气体和氢气,然后,为了使基板上的钛膜氮化,进行气体供给控制,使得向处理容器内供给氨气,
所述控制部确定第二温度调节单元的设定温度,使得所述气体供给装置中与处理氛围气体接触的面的温度低于镍与氨反应产生固体生成物的温度,并且处于TiClx的分解温度区域,其中x为1、2或3。
13.如权利要求12所述的基板处理装置,其特征在于:
控制所述第二温度调节单元,使得所述气体供给装置中与处理氛围气体接触的面的温度为400~450℃。
14.如权利要求13所述的基板处理装置,其特征在于:
控制所述第一温度调节单元,使得在基板温度在450~600℃的范围内进行加热;同时所述第二温度调节单元根据所述基板温度,在180~475℃的温度范围内进行控制。
CN2006101427762A 2005-10-31 2006-10-31 气体供给装置及基板处理装置 Active CN1958170B (zh)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
JP2005317496 2005-10-31
JP2005317496 2005-10-31
JP2005-317496 2005-10-31
JP2006-006697 2006-01-13
JP2006006697 2006-01-13
JP2006006697A JP5044931B2 (ja) 2005-10-31 2006-01-13 ガス供給装置及び基板処理装置

Publications (2)

Publication Number Publication Date
CN1958170A CN1958170A (zh) 2007-05-09
CN1958170B true CN1958170B (zh) 2011-07-20

Family

ID=38138018

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2006101427762A Active CN1958170B (zh) 2005-10-31 2006-10-31 气体供给装置及基板处理装置

Country Status (5)

Country Link
US (1) US20070131168A1 (zh)
JP (1) JP5044931B2 (zh)
KR (1) KR100776057B1 (zh)
CN (1) CN1958170B (zh)
TW (1) TW200725702A (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104681464A (zh) * 2013-11-29 2015-06-03 株式会社日立国际电气 衬底处理装置及半导体器件的制造方法

Families Citing this family (287)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5045000B2 (ja) * 2006-06-20 2012-10-10 東京エレクトロン株式会社 成膜装置、ガス供給装置、成膜方法及び記憶媒体
JP5008478B2 (ja) * 2007-06-27 2012-08-22 東京エレクトロン株式会社 基板処理装置およびシャワーヘッド
KR100920417B1 (ko) * 2007-08-01 2009-10-14 주식회사 에이디피엔지니어링 센싱유닛 및 이를 가지는 기판처리장치
DE102008026001B4 (de) * 2007-09-04 2012-02-16 Von Ardenne Anlagentechnik Gmbh Verfahren und Vorrichtung zur Erzeugung und Bearbeitung von Schichten auf Substraten unter definierter Prozessatmosphäre und Heizelement
US8179152B2 (en) 2008-07-07 2012-05-15 Lam Research Corporation Passive capacitively-coupled electrostatic (CCE) probe arrangement for detecting plasma instabilities in a plasma processing chamber
JP5661622B2 (ja) * 2008-07-07 2015-01-28 ラム リサーチ コーポレーションLam Research Corporation プラズマ処理チャンバで用いるための真空ギャップを備えたプラズマ対向プローブ装置
JP5430192B2 (ja) * 2009-03-19 2014-02-26 東京エレクトロン株式会社 温度調節装置、温度調節方法、基板処理装置及び対向電極
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US20110120651A1 (en) * 2009-11-17 2011-05-26 Applied Materials, Inc. Showerhead assembly with improved impact protection
KR20120043636A (ko) * 2010-10-26 2012-05-04 가부시키가이샤 한도오따이 에네루기 켄큐쇼 플라즈마 처리 장치 및 플라즈마 cvd 장치
JP5798766B2 (ja) * 2011-03-11 2015-10-21 東京エレクトロン株式会社 ボルトの緩み防止装置ならびにその取付方法および取付治具
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
JP5843627B2 (ja) * 2012-01-20 2016-01-13 東京エレクトロン株式会社 ガス供給ヘッド及び基板処理装置
US9631273B2 (en) * 2012-07-25 2017-04-25 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus for dielectric deposition process
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
TWI627305B (zh) * 2013-03-15 2018-06-21 應用材料股份有限公司 用於轉盤處理室之具有剛性板的大氣蓋
KR101467195B1 (ko) * 2013-05-14 2014-12-01 주식회사 아바코 가스 분사기 및 이를 포함하는 박막 증착 장치
CN103320852A (zh) * 2013-06-14 2013-09-25 光垒光电科技(上海)有限公司 用于外延沉积的反应腔
CN103305907A (zh) * 2013-06-14 2013-09-18 光垒光电科技(上海)有限公司 用于外延沉积的反应腔
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US20150280051A1 (en) * 2014-04-01 2015-10-01 Tsmc Solar Ltd. Diffuser head apparatus and method of gas distribution
JP6456601B2 (ja) * 2014-05-07 2019-01-23 東京エレクトロン株式会社 プラズマ成膜装置
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
USD787458S1 (en) * 2015-11-18 2017-05-23 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
TWD178424S (zh) * 2016-01-08 2016-09-21 ASM知識產權私人控股有&#x9 用於半導體製造設備的氣流控制板
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
JP6899697B2 (ja) * 2017-05-11 2021-07-07 東京エレクトロン株式会社 ゲートバルブ装置及び基板処理システム
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
JP6522180B1 (ja) 2018-02-08 2019-05-29 Sppテクノロジーズ株式会社 基板載置台及びこれを備えたプラズマ処理装置及びプラズマ処理方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102657269B1 (ko) 2018-02-14 2024-04-16 에이에스엠 아이피 홀딩 비.브이. 주기적 증착 공정에 의해 기판 상에 루테늄-함유 막을 증착하는 방법
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11084694B2 (en) 2018-03-27 2021-08-10 Samsung Electronics Co., Ltd. Jacking tool and semiconductor process apparatus having the same
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
JP1624668S (zh) * 2018-06-08 2019-02-18
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP1648531S (zh) * 2019-01-28 2019-12-23
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
JP2022543747A (ja) * 2019-07-29 2022-10-14 アプライド マテリアルズ インコーポレイテッド 半導体処理チャンバ及びそれを洗浄するための方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112542370B (zh) * 2019-09-23 2024-04-05 中微半导体设备(上海)股份有限公司 一种等离子体处理器及其加热器组件
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
CN111455350A (zh) * 2020-04-07 2020-07-28 沈阳拓荆科技有限公司 射频从喷淋板导入的喷淋板装置
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
JP2021172884A (ja) 2020-04-24 2021-11-01 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム含有層を形成する方法および窒化バナジウム含有層を含む構造体
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
CN113265648B (zh) * 2021-04-01 2022-09-16 湖南卓荣金属材料科技有限公司 一种一体式粉末气相沉积喷涂装置
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
CN115366546B (zh) * 2021-05-21 2024-03-29 广东聚华印刷显示技术有限公司 干燥装置
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050034674A1 (en) * 2002-03-29 2005-02-17 Tokyo Electron Limited Processing apparatus for object to be processed and processing method using same
US20050078953A1 (en) * 2003-10-10 2005-04-14 Applied Materials, Inc. Substrate heater assembly

Family Cites Families (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US295371A (en) * 1884-03-18 Half to heney d
US2295726A (en) * 1941-07-01 1942-09-15 Metal Textile Corp Wire cable or rope
GB9012475D0 (en) * 1990-06-05 1990-07-25 P E D Limited Solenoids
JP2939355B2 (ja) * 1991-04-22 1999-08-25 東京エレクトロン株式会社 プラズマ処理装置
JP3480271B2 (ja) * 1997-10-07 2003-12-15 東京エレクトロン株式会社 熱処理装置のシャワーヘッド構造
EP1090417A1 (en) * 1999-04-20 2001-04-11 Tokyo Electron Limited Method for single chamber processing of pecvd-ti and cvd-tin films in ic manufacturing
US6214121B1 (en) * 1999-07-07 2001-04-10 Applied Materials, Inc. Pedestal with a thermally controlled platen
US6477980B1 (en) * 2000-01-20 2002-11-12 Applied Materials, Inc. Flexibly suspended gas distribution manifold for plasma chamber
JP4703810B2 (ja) * 2000-03-07 2011-06-15 東京エレクトロン株式会社 Cvd成膜方法
US7220937B2 (en) * 2000-03-17 2007-05-22 Applied Materials, Inc. Plasma reactor with overhead RF source power electrode with low loss, low arcing tendency and low contamination
US6635117B1 (en) * 2000-04-26 2003-10-21 Axcelis Technologies, Inc. Actively-cooled distribution plate for reducing reactive gas temperature in a plasma processing system
KR100638917B1 (ko) * 2000-05-17 2006-10-25 동경 엘렉트론 주식회사 처리 장치 부품의 조립 기구 및 그 조립 방법
JP4381588B2 (ja) * 2000-10-25 2009-12-09 ソニー株式会社 加熱を伴う処理装置
WO2002063065A1 (fr) * 2001-02-09 2002-08-15 Tokyo Electron Limited Dispositif de formation de pellicule mince
JP4260404B2 (ja) * 2001-02-09 2009-04-30 東京エレクトロン株式会社 成膜装置
TWI224815B (en) * 2001-08-01 2004-12-01 Tokyo Electron Ltd Gas processing apparatus and gas processing method
US6827815B2 (en) * 2002-01-15 2004-12-07 Applied Materials, Inc. Showerhead assembly for a processing chamber
JP4288036B2 (ja) * 2002-02-20 2009-07-01 東京エレクトロン株式会社 ガスシャワーヘッド、成膜装置及び成膜方法
US20050139234A1 (en) * 2002-07-05 2005-06-30 Tokyo Electron Limited Method of cleaning substrate processing apparatus and computer-readable recording medium
JP4393071B2 (ja) * 2002-07-12 2010-01-06 東京エレクトロン株式会社 成膜方法
US20050136657A1 (en) * 2002-07-12 2005-06-23 Tokyo Electron Limited Film-formation method for semiconductor process
JP4753276B2 (ja) * 2002-11-26 2011-08-24 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US7500445B2 (en) * 2003-01-27 2009-03-10 Applied Materials, Inc. Method and apparatus for cleaning a CVD chamber
US7009281B2 (en) * 2003-03-14 2006-03-07 Lam Corporation Small volume process chamber with hot inner surfaces
JP2005167087A (ja) * 2003-12-04 2005-06-23 Tokyo Electron Ltd クリーニング方法及び半導体製造装置
JP4698251B2 (ja) * 2004-02-24 2011-06-08 アプライド マテリアルズ インコーポレイテッド 可動又は柔軟なシャワーヘッド取り付け

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050034674A1 (en) * 2002-03-29 2005-02-17 Tokyo Electron Limited Processing apparatus for object to be processed and processing method using same
US20050078953A1 (en) * 2003-10-10 2005-04-14 Applied Materials, Inc. Substrate heater assembly

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104681464A (zh) * 2013-11-29 2015-06-03 株式会社日立国际电气 衬底处理装置及半导体器件的制造方法
CN104681464B (zh) * 2013-11-29 2017-08-18 株式会社日立国际电气 衬底处理装置及半导体器件的制造方法

Also Published As

Publication number Publication date
KR20070046749A (ko) 2007-05-03
CN1958170A (zh) 2007-05-09
US20070131168A1 (en) 2007-06-14
KR100776057B1 (ko) 2007-11-15
JP5044931B2 (ja) 2012-10-10
JP2007146270A (ja) 2007-06-14
TW200725702A (en) 2007-07-01

Similar Documents

Publication Publication Date Title
CN1958170B (zh) 气体供给装置及基板处理装置
JP4513329B2 (ja) 処理装置
US6454860B2 (en) Deposition reactor having vaporizing, mixing and cleaning capabilities
EP1371751B1 (en) Film forming device
EP1505172B9 (en) Device and method for manufacturing thin films
CN100505175C (zh) 气体处理装置和成膜装置
CN101903980B (zh) 载置台构造以及热处理装置
US8197600B2 (en) Vaporizer and semiconductor processing system
TWI404157B (zh) Mounting method of the mounting apparatus, a discharge prevention method between the processing apparatus and the power supply line of the stage apparatus
EP1592051A1 (en) Cvd method for forming silicon nitride film on target substrate
US20060169201A1 (en) Apparatus for supplying gas and apparatus for forming a layer having the same
US20030172872A1 (en) Apparatus for cyclical deposition of thin films
CN101665918B (zh) 成膜方法和成膜装置
JPH08291385A (ja) 処理装置のシャワーヘッド構造及び処理ガスの供給方法
CN101288157A (zh) 基板处理装置和基板处理方法
KR20050046797A (ko) 고유전상수 박막의 증착장치
WO2007010887A1 (ja) ガス処理装置
CN104395498A (zh) 使用快速热处理的原子层沉积
JP2010267925A (ja) 半導体装置の製造方法及び基板処理装置
WO2001012875A1 (fr) Dispositif de formation de film
KR20050016157A (ko) 샤워헤드, 박막제조장치 및 제조방법
CN100477087C (zh) 放置台结构以及具有该放置台结构的热处理装置
KR100589821B1 (ko) Mocvd 시스템
WO2004076715A1 (ja) 真空処理装置
KR20060026477A (ko) 가스 반응 장치 및 반도체 처리 장치

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant