JP2007146270A - ガス供給装置及び基板処理装置 - Google Patents

ガス供給装置及び基板処理装置 Download PDF

Info

Publication number
JP2007146270A
JP2007146270A JP2006006697A JP2006006697A JP2007146270A JP 2007146270 A JP2007146270 A JP 2007146270A JP 2006006697 A JP2006006697 A JP 2006006697A JP 2006006697 A JP2006006697 A JP 2006006697A JP 2007146270 A JP2007146270 A JP 2007146270A
Authority
JP
Japan
Prior art keywords
gas
gas supply
processing
temperature
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2006006697A
Other languages
English (en)
Other versions
JP5044931B2 (ja
Inventor
Hisashi Gomi
久 五味
Tetsuya Saito
哲也 斉藤
Takashi Kakegawa
崇 掛川
Takahisa Mase
貴久 間瀬
Makoto Koizumi
真 小泉
Kunihiro Tada
國弘 多田
Satoru Wakabayashi
哲 若林
Kensaku Narishima
健索 成嶋
Shigeru Ho
成 方
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to JP2006006697A priority Critical patent/JP5044931B2/ja
Priority to US11/588,423 priority patent/US20070131168A1/en
Priority to KR1020060105843A priority patent/KR100776057B1/ko
Priority to CN2006101427762A priority patent/CN1958170B/zh
Priority to TW095140282A priority patent/TW200725702A/zh
Publication of JP2007146270A publication Critical patent/JP2007146270A/ja
Application granted granted Critical
Publication of JP5044931B2 publication Critical patent/JP5044931B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/4557Heated nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45572Cooled nozzles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/304Mechanical treatment, e.g. grinding, polishing, cutting

Abstract

【課題】CVD装置などに用いられ、ニッケル部材を組み立てて構成されるガスシャワーヘッド(ガス供給装置)において、高温によるニッケル部材同士の貼り付きを防止すること。
【解決手段】多数のガス供給孔が形成されたニッケル部材からなるシャワープレートと、このシャワープレートとの間に処理ガスの通流空間が形成されると共に処理容器の天井部の開口部の周縁部に気密に取り付けられるニッケル部材からなるベース部材と、を互いに周縁部にてネジで接合するにあたり、互いの接合面の間にニッケル部材とは異なる材質例えばハステロイやカーボンなどの中間部材を介在させる。
【選択図】図2

Description

本発明は、例えば基板に対して所定の成膜処理を行うために、基板に対向する多数のガス供給孔から処理ガスを処理容器内に供給するガス供給装置、及びこのガス供給装置を用いた基板処理装置に関する。
半導体製造プロセスの一つに成膜処理があり、このプロセスは通常真空雰囲気下で処理ガスを例えばプラズマ化あるいは熱分解することで活性化し、基板表面上に活性種あるいは反応生成物を堆積させることにより行われる。そして成膜処理の中には、複数種類のガスを反応させて成膜するプロセスがあり、このプロセスとしては、Ti、Cu、Taなどの金属、またはTiN、TiSi、WSiなどの金属化合物、あるいはSiN、SiO2などの絶縁膜といった薄膜の形成を挙げることができる。
このような成膜処理を行うための装置は、真空チャンバをなす処理容器内に基板を載置するための載置台が配置されると共に処理容器にガス供給装置が設けられ、更にガスにエネルギーを与えるための手段である加熱装置やプラズマ発生手段などが組み合わせて設けられている。そしてガス供給装置は一般にガスシャワーヘッドと呼ばれ、処理容器の天井部に形成された開口部を塞ぐようにかつ前記載置台と対向するように設けられている。このガスシャワーヘッドは例えば特許文献1に記載されており、図10に示すように、処理容器の上部の開口部を塞ぐ扁平な有底筒状体からなるベース部材11と、このベース部材11の底面部の下方側に設けられたシャワープレート12と、を備えている。ベース部材11は処理容器内の真空雰囲気と大気雰囲気とを仕切る役割もあることから、上端周縁部のフランジ部13と処理容器の開口部の周縁部14とがリング状の樹脂シール部材であるOリング15により気密に接合されている。
シャワープレート12の周縁部には起立した側壁が設けられていて、この側壁の上縁がフランジ部12aとして構成され、前記フランジ部12aとベース部材11の底面部15aの周縁部とがボルト16により接合されている。またベース部材11の中央部には、2本のガス供給管17a及び17bが接続されており、これらガス供給管17a及び17bのガスが夫々分離されたシャワープレート12のガス供給孔18a及び18bから噴出するように構成されている。
そしてガスシャワーヘッドの材質としては、ニッケルが用いられている。その理由については、ニッケルは例えば500℃程度の高温下においても耐食性が高いこと、また基板に対するメタルコンタミのおそれが少ないこと、更にプラズマ処理を行うときには電極を兼用し、その導電性が大きいことなどである。しかしながらこのようなガスシャワーヘッドは、次のような問題がある。
プロセスの種別によっては処理雰囲気の温度が高く、ガスシャワーヘッドが例えば420℃以上の高温になる場合があるが、高温によりシャワープレート12とベース部材11との接合部分が互いに貼り付くおそれがある。後述のように実験の上で450℃で強固な貼り付きが起きてしまうことから、420℃以上の高温で使用すると、使用の態様や接合面の状態によっては貼り付きの起こるおそれは大きい。このため装置のメンテナンス時に、ガスシャワーヘッドの内部を洗浄するためにガスシャワーヘッドを分解するときに、両者の分離ができないかあるいは大きな力を加えなければならない事態が生じる。このように貼り付きがおこる理由は、ニッケル材の表面原子が接合界面を介して拡散し、表面には微細ではあるが凹凸が存在するのでアンカー効果により接合面同士が接着することに基づく。このためメンテナンス作業が困難な作業になるか、あるいはこれらを例えば定期的に交換しなければならなくなり、ガスシャワーヘッドはニッケル材で構成されていることもあってランニングコストの高騰の要因になる。
更にまたニッケルは熱伝導率が大きいことから、ベース部材11の側壁を伝って放熱する熱量が大きく、そのためガスシャワーヘッドに設けられるヒータの発熱量を大きくしなければならないことから、消費電力が大きくなるし、Oリング15が温度上昇により劣化するという問題もある。Oリング15の劣化を避けるためには冷却機構を設ければよいが、ベース部材11側からの放熱量が大きいため、冷却のための消費エネルギーが大きくなる。
更に上述のガスシャワーヘッドは、次のような課題も抱えている。ガスシャワーヘッドの温度制御を行うために、ベース部材11の底面部の上側に面状のヒータを設け、またベース部材11の底面部にその先端が埋設される熱電対を用いた温度検出部を設け、その温度検出値に基づいてヒータの供給電力量を制御している。温度検出部は、絶縁材を充填したシース金属の中に熱電対を挿入した構造となっており、プラズマ処理をおこなうときには、ガスシャワーヘッドからの高周波がシース金属と熱電対との間に印加され、絶縁破壊が起こる懸念がある。そこで、ベース部材11と温度検出部との間に絶縁材を設けることもあるが、絶縁材の誘導加熱による発熱が温度検出値に影響を及ぼし、温度制御が不安定になるおそれがある。
特開2002−327274号公報:図3
本発明はこのような事情の下になされたものであり、その目的は、処理容器内に処理ガスを供給するガス供給装置において、高温によるニッケル部材同士の貼り付きを防止することができ、メンテナンス性のよいガス供給装置を提供することにある。また本発明の他の目的は、このガス供給装置を用いた基板処理装置を提供することにある。
本発明は、基板を処理するための処理容器の天井部に形成された開口部を気密に塞ぐように設けられると共に複数のニッケル部材を組み合わせて構成され、下面に形成された多数のガス供給孔から処理容器内に処理ガスを供給するガス供給装置において、
前記ニッケル部材同士の接合面の間に、ニッケル部材とは異なる材質からなる貼り付き防止用の中間部材を設けたことを特徴とする。
より具体的なガス供給装置の発明としては、多数のガス供給孔が形成されたニッケル部材からなるシャワープレートと、
このシャワープレートの周縁部の上面とその周縁部の下面とが互いに気密に接合されて、当該シャワープレートとの間に処理ガスの拡散空間が形成されると共に処理容器の前記開口部の周縁部に気密に取り付けられ、少なくともシャワープレートと対向する部分がニッケル部材からなるベース部材と、
前記シャワープレートの周縁部の上面と前記ベース部材の周縁部の下面との間に介在し、ニッケル部材とは異なる材質からなる貼り付き防止用の中間部材と、を備えた構成を挙げることができる。
ニッケル部材とは、ニッケル100%の部材に限らず、ニッケルを主成分とする部材も含む意味である。前記中間部材は、ハステロイまたはカーボンなどを挙げることができる。例えばベース部材は、上端周縁部が処理容器の前記開口部の周縁部に気密に取り付けられ、底面部の周縁部がシャワープレートの周縁部に気密に接合された扁平な有底筒状体として構成されている。また前記ベース部材の側壁部は、例えばニッケル部材よりも熱伝導率が小さい材質により構成されている。この材質としてはハステロイが好ましい。また前記ベース部材は、側壁部を補強するためにニッケル部材よりも熱伝導率が小さい材質からなる補強部材を備えていることが好ましい。前記ベース部材の上端周縁部は冷媒流路例えばエアー流路が形成されていることが好ましい。
本発明のガス供給装置は、例えばいわゆるプリミックス型として構成される。この場合、処理ガスは、互いに反応して成膜成分を生成する第1のガスと第2のガスとを含み、ガス供給装置はこれらガスが予め混合されてその中に導入され、その混合ガスを処理容器内に供給するように構成されている。
本発明は、基板処理装置例えば成膜装置としても成り立ち、この装置は、気密な処理容器と、この処理容器内に設けられ、基板を載置するための載置台と、処理容器内のガスを排気する排気手段と、本発明のガス供給装置と、を備え、ガス供給装置から供給される処理ガスにより載置台上の基板を処理することを特徴とする。この場合、ベース部材の上端周縁部は処理容器の前記開口部の周縁部とは、樹脂シール部材を介して気密に接合される構成を挙げることができる。
他の発明に係る基板処理装置は、気密な処理容器と、この処理容器内に設けられ、基板を載置するための載置台と、処理容器内のガスを排気する排気手段と、本発明のガス供給装置と、を備え、
前記ベース部材の上端部は、高周波絶縁のためのアルミナからなる上側絶縁部材を介して処理容器に固定され、
前記上側絶縁部材の下方側には、前記処理容器における前記ベース部材と横方向に対向する部位を囲むように高周波絶縁のための石英からなる下側絶縁部材が設けられ、
前記ガス供給装置から供給される処理ガスにより載置台上の基板を処理することを特徴とする。
更に本発明は、気密な処理容器と、この処理容器内に設けられ、基板を載置するための載置台と、処理容器内のガスを排気する排気手段と、請求項1ないし9のいずれか一つに記載のガス供給装置と、基板を加熱するために載置台に設けられた第1の温調手段と、前記ガス供給装置における処理雰囲気に接する面の温度を制御するためにガス供給装置に設けられた第2の温調手段と、前記第1の温調手段及び第2の温調手段を制御する制御部と、を備え、基板上にチタン膜を成膜するためにガス供給装置から四塩化チタンガスと水素ガスとを処理容器内に供給し、続いて基板上のチタン膜を窒化するためにアンモニアガスを処理容器内に供給するようにガス供給制御を行う基板処理装置であって、
前記制御部は、前記ガス供給装置における処理雰囲気に接する面の温度が、ニッケルとアンモニアとが反応して固体生成物が生成される温度よりも低くかつTiClx(xは1、2または3)の分解温度領域となるように、第2の温調手段の設定温度が決められていることを特徴とする。
この基板処理装置においては、前記第2の温調手段は前記ガス供給装置における処理雰囲気に接する面の温度が400〜450℃になるよう制御されることが好ましい。この場合、前記第1の温調手段は基板温度を450〜600℃の範囲で加熱するように制御されるとともに、前記第2の温調手段は前記基板温度に応じて180〜475℃の温度範囲で制御されることが好ましい。
本発明によれば、複数のニッケル部材を組み合わせて構成したガス供給装置において、ニッケル部材同士の接合面の間に、ニッケル部材とは異なる材質からなる貼り付き防止用の中間部材を設けているため、例えばシャワープレートの周縁部の上面と、このシャワープレートとの間に処理ガスの通流空間を形成するベース部材の下面との間に貼り付き防止用の中間部材を設けているため、高温によるニッケル部材同士の貼り付きを防止することができる。従ってメンテナンス時にガスシャワーヘッドを容易に分解することができるので、内部の洗浄や点検などを行うことで再使用することができ、メンテナンスを行わずに交換するといった不具合を解消することができる。
本発明のガス供給装置をプラズマCVDにより成膜を行うための成膜装置に組み込んだ実施の形態について説明する。先ず成膜装置の全体構成について、図1の概略図に基づいて構成の概略を説明しておく。図1において2は例えばアルミニウムからなる真空チャンバである処理容器であり、この処理容器2は、上側が大径の円筒部2aでその下側に小径の円筒部2bが連設されたいわばキノコ形状に形成され、その内壁を加熱するための図示しない加熱機構が設けられている。処理容器2内には、基板である例えば半導体ウエハ(以下ウエハという)Wを水平に載置するための基板載置台をなすステージ21が設けられ、このステージ21は、小径部2bの底部に支持部材22を介して支持されている。
ステージ21内にはウエハWの温調手段をなすヒータ21a(図2参照)及び後述する下部電極となる図示しない導電部材が設けられている。また必要に応じてウエハWを静電吸着するための図示しない静電チャックが設けられる。更にステージ21には、ウエハWを保持して昇降させるための例えば3本の支持ピン23がステージ21の表面に対して突没自在に設けられ、この支持ピン23は、支持部材24を介して処理容器2の外の昇降機構25に接続されている。処理容器2の底部には排気管26の一端側が接続され、この排気管26の他端側には真空排気手段である真空ポンプ27が接続されている。また処理容器2の大径部2aの側壁には、ゲートバルブ28により開閉される搬送口29が形成されている。
更に処理容器2の天井部には開口部31が形成され、この開口部31を塞ぐようにかつステージ21に対向するように本発明のガス供給装置であるガスシャワーヘッド4が設けられている。ここでガスシャワーヘッド4及びステージ21は夫々上部電極及び下部電極を兼用しており、ガスシャワーヘッド4は整合器32を介して高周波電源部33に接続されると共に、下部電極であるステージ21は接地されている。なお図1では配線図は略解的に記載してあるが、実際にはステージ21は処理容器2に電気的に接続され、処理容器2の上部から図示しないマッチングボックスを介して接地され、高周波の導電路が処理空間を包み込むようになっている。
ガスシャワーヘッド4は、図2に示すように大きく分けると上部分であるベース部材5と下部分であるシャワープレート6とからなる。ベース部材5は、上面が開口し、下面が閉じられた扁平な有底筒状体(言い換えれば円形状プレートの周縁を垂直に起立させた形状)として構成され、この筒状体の上端周縁部は外側に屈曲されてフランジ部51を形成している。処理容器2の前記開口部31の内周縁部には、上部電極であるガスシャワーヘッド4と処理容器2の金属本体部分とを絶縁(高周波絶縁)するための絶縁部材34が設けられ、ベース部材5のフランジ部51は図示しないネジにより前記絶縁部材34の上面に固定されている。より詳しくは、絶縁部材34の上面に形成されたリング状の溝内には、リング状の樹脂シール材であるOリング35が密合され、フランジ部51の下面と絶縁部材34の上面とがこのOリング35を介して気密に接合されている。またフランジ部5のネジによる固定部位はOリング35の外側部位となる。なお36は、フランジ部51を絶縁部材34側に押しつけるように設けられた絶縁材からなる押圧リング部材である。このようにベース部材5は、前記開口部31を気密に塞ぐように設けられていることになる。
前記絶縁部材34は、上側部分(上側絶縁部材)34aと下側部分(下側絶縁部材)34bとからなる2層構造として構成されており、上側部分34aの材質はアルミナが用いられ、下側部分34bの材質は石英が用いられている。下側部分34bは、前記処理容器における前記ベース部材と横方向に対向する部位を囲むように設けられる。このように2層構造を採用している理由は、次の通りである。即ちシャワーヘッド4を囲む下側部分34bを比誘電率の低い石英で構成することにより、シャワーヘッド4と処理容器2の壁部との間の高周波インピーダンスをできるだけ大きくして、両者の間における高周波のリークを抑え、電力のロスやノイズの漏洩を低減し、また異常放電を起こりにくくしている。一方石英は加工性が悪いので、上側部分34aを比誘電率は高いが加工性の良いアルミナで構成し、これによりシャワーヘッド4のフランジ部51を固定するためのネジ孔加工を容易にしている。従って上側部分34aにネジ孔を加工することができる範囲内でできるだけ下側部分34の上端位置を上方側に位置させ、シャワーヘッド4を囲む下側部分34bの領域を大きくすることが望ましい。
ベース部材5は、フランジ部51及び底面部52がニッケル部材により構成されている。ニッケル部材とは、ニッケルが100%の材質からなる部材及びニッケル以外の成分を含むがニッケルを主成分とする部材のいずれも含む。そしてベース部材5の側壁部53は、ニッケル部材よりも熱伝導率が小さい材質、この例ではハステロイにより構成されている。この側壁部53は、ガスシャワーヘッド4の処理雰囲気側の部位を加熱することにより発熱した熱が上部に伝熱される部位であるため、その伝熱を抑えるために厚さを小さく例えば1mmに設定してある。ハステロイは熱伝導率が小さい上に強度も大きいため、ニッケル部材と同等の強度を得ながら厚さを小さくできるが、処理容器2内は真空雰囲気であり、この側壁部53に大きな力が加わるため、変形防止のために補強構造を採用している。
即ち、後述の図4の分解斜視図にも示されているように、ベース部材5の上端の内周縁部に内側に突出する突出部54が周方向に沿って複数個所例えば等間隔に4個所設けられ、この突出部54とベース部材5の底面部52とを連結する補強部材例えば補強パイプからなる支柱55が設けられている。突出部54及び支柱55は、下部側から上部側に伝熱する部位でもあるため、この例ではニッケル部材よりも熱伝導率が小さい材質例えばハステロイにより構成されている。なおニッケル部材にハステロイからなる部材を組み合わせるための手法としては、例えばロー付けなどが行われる。
またOリング35の昇温を抑えるためにフランジ部51には冷却機構をなす冷媒流路である空冷流路51aが形成されており、この空冷流路51aには冷媒である冷却用エアーが通流されるようになっている。
次ぎにガスシャワーヘッド4の下部分であるシャワープレート6について述べる。シャワープレート6は、ステージ21に対向する部位に相当する円形のプレート部の周縁部を起立させ、その起立縁の上部を外側に屈曲してフランジ部60を形成してなり、ネジ止めされる個所は外周面から内側に切り欠かれている。シャワープレート6には、ベース部材5と接合されることでその間に形成されるガスの拡散空間62に連通し、処理容器2内に処理ガスを供給するための多数のガス供給孔61が穿設されている。またシャワープレート6は、既述したニッケル部材により構成され、図2及び図3に示すように、フランジ部60の上面とベースプレート5の底面部52の周縁部の下面とが、その間にリング状の中間部材63を介在させた状態で互いに接合され、ネジ64により固定されている。この中間部材63は、ニッケル部材同士の貼り付き防止のためのものであり、ニッケル部材とは異なる材質が用いられるが、その中でも金属汚染を引き起こすおそれのない材質が好ましく、例えばハステロイやカーボンなどが挙げられる。この例では中間部材63は、例えばハステロイからなり、厚さ2.6mmに成形されている。
この実施の形態のガスシャワーヘッド62は、複数の処理ガスが予め混合された混合ガスが導入され、その混合ガスである処理ガスを処理容器2内に供給するいわゆるプリミックスタイプのものとして構成されている。このためベース部材5の底面部52の中央部には、処理ガス(混合ガス)を供給するためのガス導入ポート56が穿設され、このガス導入ポート56に連続するように起立したガス導入管57が設けられている。図1に示すようにガス導入管57の上流端側にはガス混合部58が接続され、ガス混合部58には、ガス供給路101を介して例えばTiCl4ガス源102、Arガス源103及びClF3ガス源104に接続されていると共に、ガス供給路105を介して例えばH2ガス源106及びNH3ガス源107に接続されている。なお鎖線で囲んだ108で示す部分は、各ガス供給路に設けられたバルブやマスフローコントローラなどのガス供給機器の群である。
ベース部材5の底面部52の上には、ガスの拡散空間62や処理雰囲気に面しているシャワープレート6の温度を調整するための温度調整機構が設けられている。この温度調整機構について図4も参照しながら述べると、前記底面部52の上には、絶縁部材例えば窒化アルミニウム(AlN)からなる例えば厚さ4mmの絶縁プレート7が設けられ、この絶縁プレート7の上に面状のヒータ71が載置されている。これら絶縁プレート7及びヒータ71は平面方向に複数に分割できる構造とすることがメンテナンス性が良好である観点から望ましく、この例では、夫々4分割構造及び2分割構造として構成されている。面状のヒータ71は、図5を参照すると、絶縁材である例えばマイカからなるコアプレート72に抵抗発熱線72aを巻回し、このコアプレート72を2枚のマイカからなる保護プレート73、74により挟んでサンドイッチ構造としたものである。
またシャワーヘッド4のベース部材5とヒータ71との関係については、両者の中心が一致していてかつベース部材5の表面積に対するヒータ71の表面積の割合が80%以上であることが、シャワープレート6の温度を安定化させる上で好ましい。
このようにベース部材5とヒータ71との間にAlNからなる絶縁プレートを介在させることにより、プラズマ処理を行う場合には、ベース部材5を流れる高周波がヒータ71に影響を及ぼすことを抑えることができ、このためヒータ71のマイカの絶縁破壊を防止できる。またAlNは熱伝導率が大きいので、ヒータ71からの熱を効率よくベース部材5側に伝熱することができる。
更に図2、図4及び図5に示すようにヒータ71の上には、冷却機構である空冷パイプ75が設けられている。この空冷パイプ75は、リング状に形成され、リング部分から送気管76が立ち上げられ、送気管76の基端側はエアー源76aに接続されている。また空冷パイプ75は、下部側において鉛直線に対して斜め45度の内側及び外側方向に向けて例えば常温のエアーを吹き出すための吹き出し孔77、78が長さ方向(リング部分の周方向)に沿って間隔をおいて多数設けられている。この空冷パイプ75からのエアー吹き出しによる冷却は、成膜プロセスからクリーニングプロセスに移行するときにベース部材5の底面部52を急冷する場合に利用されるが、プロセス時例えばプリコート時などにおいてステージ21からの輻射熱により底面部52の検出温度が設定温度を越えてしまうとき等において常時利用するようにしてもよい。前記吹き出し孔77、78から吹き出したエアーは、夫々ヒータ71における中央寄りの領域及び周縁側領域を冷却するが、空冷パイプ75のリング状部分の大きさ(直径)は、適宜実験により決めることになる。
またベース部材5の底面部52には、熱電対を備えた温度検出部8の先端部が埋設されている。この温度検出部8は、図6に示すようにシース金属81の中に絶縁材である例えばマグネシア(酸化マグネシウム)82を充填し更に熱電対83が挿入されて構成されており、シース金属81の先端部には、絶縁材であるアルミナを材質とする保護キャップ84が被せられている。そして温度検出部8は、ヒータ71から絶縁プレート7を介してベース部材5の底面部52に亘って開けられた孔部の中に保護キャップ84を挿入して、前記底面部52に埋設された構造となっている。ヒータ71の上には、保護管85が起立して設けられ、この保護管85の中にシース金属81及び保護キャップ84の一部が収まっている。シース金属81の上部側は固定部材86(図2参照)を介してベース部材5に固定されている。図2において87は制御部、88は電源部であり、制御部87は、温度検出部8の温度検出値に基づいて電源部88を介してヒータ71の供給電力を調整して温度制御を行っている。88aは給電路である。
前記保護キャップ84の役割は、シース金属81をベース部材5(底面部52)に接触させると、ベース部材5に流れる高周波がシース金属81と熱電対83との間に印加されてマグネシア(酸化マグネシウム)82が絶縁破壊を起こすので、これを防止することにある。保護キャップ84の材質としてはAlNを用いることもできるが、AlNは誘電率が大きいために誘導加熱による発熱量が大きく、この発熱による温度上昇が温度検出値に影響を及ぼすので温度制御が不安定になる。これに対して保護キャップ84の材質としてアルミナを用いれば、その誘電率が小さいため誘導加熱による発熱量が小さく、精度良く、安定した温度制御を実現できる。
次に上述の実施の形態の作用について、ウエハW表面にTi膜を成膜する場合を例にとって述べる。今、処理容器2内がクリーニングされ、これからプロセスを行うものとすると、先ずウエハWに対してプロセスを行う前に処理容器2内のプリコート処理を行う。このプリコート処理は、処理雰囲気にさらされる部材の表面に、ウエハWに対して成膜しようとする膜と同じあるいは同種の膜を予め付けておくための処理であり、この例ではTi膜が成膜される。
具体的には、ウエハWをステージ21に載せない状態でガス供給源102、103から第1のガスであるTiCl4ガス及びArガスの混合ガスがガス供給路101を介して混合部58に送られ、またガス供給源106から第2のガスであるH2ガスがガス供給路105を介してガス混合部58に送られ、これらのガスが混合されてガス導入管57を介してガスシャワーヘッド4の拡散空間62に吐出して拡散し、シャワープレート6のガス供給孔61より処理雰囲気に供給される。
一方真空ポンプ27により処理容器2内を真空排気し、排気管26に設けられた図示しない圧力調整バルブを調整して処理容器2内の圧力を設定圧力にすると共に、高周波電源部33から上部電極であるガスシャワーヘッド4と下部電極であるステージ21との間に高周波電力を供給して、処理ガスつまり第1のガス及び第2のガスをプラズマ化し、TiCl4をH2により還元して処理雰囲気に接する部材の表面、具体的にはステージ21、シャワープレート6の下面にTiを堆積して薄膜であるプリコート膜を形成する。このとき反応副生成物であるHClは未反応ガスとともに排気される。
この段階において、プリコート膜の膜質を良好なものつまり剥がれにくい緻密な膜とするためには、シャワープレート6の温度をある設定温度例えば420℃に設定することが必要であるが、ステージ21の温度は例えば650℃に設定されており、ステージ21からの輻射熱によりガスシャワーヘッド4が昇温する。ところで既述のようにガスシャワーヘッド4の一部(前記側壁部53など)にハステロイを使用して熱の逃げを抑えていることから、シャワープレート6やベース部材5の底面部52における蓄熱量が多くなるので昇温の程度が大きくなり、このままでは設定温度である420℃を越えてしまう。このためベース部材5の底面部52の上方側に設けられた空冷パイプ75からエアーを吹き出してガスシャワーヘッド4を冷却する。
このとき例えばエアーを常時吹き出すようにすることにより、ガスシャワーヘッド4全体が420℃よりも低くなる状態とし、その上でヒータ71を動作させてその発熱により、温度検出値が所定の温度になるように温度制御している。エアー吹き付けにより設定温度に合わせ込もうとすると、吸熱量の変化が鈍いことから、温度制御について高い安定性が得がたいが、上述のように設定温度の合わせ込みをヒータ71に受け持たせることによりガスシャワーヘッド4の温度制御が安定し、その結果良好なプリコート処理が行える。
こうしてプリコート処理が終了すると、次いで図1に示すように基板であるウエハWが図示しない搬送アームによりゲートバルブ28を開とした搬送口29を介して処理容器2内に搬入され、支持ピン23との協働作用によりステージ21上に受け渡される。ゲートバルブ28を閉じた後、プリコート処理と同様にして成膜処理が行われ、ウエハWにTi膜が成膜されるが、このときにおいても同様にガスシャワーヘッド4の温度制御が行われる。
続いて第1のガスであるTiCl4ガスと第2のガスであるH2ガスの供給を停止すると共にNH3(アンモニア)ガスの供給を開始する。これによりNH3ガスがガス拡散空間62に吐出されて拡散し、ガス供給孔61から処理空間に吐出する。このときにおいても高周波電力が処理空間に供給され、ウエハW上に既に形成されているTi薄膜の表面がNH3の活性種により窒化される。窒化終了後、高周波電力の供給とNH3ガスの供給とを停止し、その後ウエハWを既述の搬入動作と逆の動作で処理容器2から搬出する。
こうしてウエハWの成膜処理が所定枚数行われた後、クリーニング処理を行う。このクリーニング処理は、プラズマを立てずにClF3ガスをガス供給路101を介してガスシャワーヘッド4から処理容器2内に供給することにより行われるが、シャワープレート6の温度を200〜250℃程度に設定する必要がある。空冷パイプ75からは常時エアーが吹き出しているのでヒータ71をオフにすると、後は空冷パイプ75からのエアーによる冷却作用だけになり、この結果ガスシャワーヘッド4が急冷され、速やかにクリーニング処理に移行される。
上述の実施の形態によれば、シャワープレート6の周縁部の上面と、ベース部材の周縁部の下面との間に貼り付き防止用のハステロイからなるリング状部材である中間部材63を設けているため、高温によるニッケル部材同士の貼り付きを防止することができる。従ってメンテナンス時にガスシャワーヘッドを容易に分解することができるので、作業者に大きな負担をかけることなく内部の洗浄や点検などを行うことで再使用することができ、メンテナンスを行わずにガスシャワーヘッド4を交換するといったランニングコストの高騰の要因になるような不具合を避けることができる。
また既述のようにベース部材5の側壁部53及び補強部材である支柱55を熱伝導率の小さいハステロイで構成しており、ハステロイは強度が大きいので側壁部53を例えば1mm程度と肉薄にできることもあって、ベース部材5の底面部52から外部への熱の逃げが少なくなり、シャワープレート6を効率よく加熱することができ、結果として消費電力を抑えることができる。またこれによりシャワープレート6がプロセスによっては蓄熱量が多くなって設定温度を越える場合もあるが、そのときは常時空冷して温度を設定温度よりも少し下げ、この状態でヒータ71により温度調整を行うので、良好な温度制御ができる。なお、常時空冷することによる熱の逃げよりもベース部材5の全部をニッケル部材で構成したことによる熱の逃げの方が大きいため、このような温度制御を行っても消費電力を抑えることができる。
更に既述のようにAlNからなる絶縁プレート7の上にヒータ71を載せているのでヒータ71の絶縁破壊のおそれもなく、また温度検出部8の先端部をアルミナキャップ84で被覆しているので、安定した温度制御を行えるなど、優れたプリミックスタイプのガスシャワーヘッド4を提供することができる。
ここで上記の中間部材の効果を確認する実験結果について述べておく。この実験では、縦、横が夫々34mm、16mmのニッケル部材からなる角型のブロックを用い、これらを重ねてトルクを3〜5N・m程度として互いにボルト締めし、450℃、500℃、の2通りの温度で50時間加熱した。また厚さが0.15mmのハステロイからなる薄板をブロック間に介在させて同様に加熱を行った。いずれの実験も複数個用意して行った。その結果ニッケル部材同士を接合させた場合には450℃の加熱において200Kgfもの引っ張り応力をかけないと外れないものもあった。これに対して中間部材を用いた場合には、450℃の加熱では貼り付きが起こらなかったか、貼り付きが起きたとしても簡単に手で外すことができ、500℃おいては多少貼り付きのあるものが見られた程度であった。従って中間部材を介在させることでニッケル部材の高温による貼り付きを有効に抑えられることがわかる。
ここで上述の基板処理装置である成膜装置を用いてウエハWを成膜するにあたっては、最終製品である集積回路の種類や成膜を施す部位などに応じてウエハWの設定温度が複数用意される場合が多い。一方TiCl4ガス及びH2ガスを用いてTi膜を成膜し更に続いてNH3ガスによりTi膜を窒化する連続処理を行う場合には、ガスシャワーヘッド4における処理雰囲気に接する温度(この温度をシャワープレート6の温度と呼ぶ)については注意を払う必要がある。先ずTi膜の成膜プロセスではプラズマ中でTiCl4ガスが分解され、低次の分解生成物TiClx(x=1,2,3)を生成する。このTiClxは処理容器内の低温部位に付着し、成膜プロセスの不安定要因となる。特にTiClxはプラズマに接するシャワープレート6に付着し、そのまま不安定な形態で残留すると、Ti成膜の際プラズマ中に余分なTi原子を供給し、Ti成膜の再現性を著しく劣化させる。このためシャワープレート6の温度を、付着したTiClxが更に分解してTi膜となり安定化する高い温度に維持する必要がある。TiClxの分解(安定化)温度はTi成膜に続く窒化処理にも依存するが、約400℃以上である。
また450℃付近の温度において、ガスシャワーヘッド4の材質であるニッケルとNH3ガスとが反応して固体生成物であるニッケル化合物が生成される。このニッケル化合物は500℃付近の温度で昇華するため、この温度範囲における部位にはニッケル化合物が付着する。TiClxの分解温度、前記ニッケル化合物の生成温度及び当該ニッケル化合物の昇華温度を夫々TA、TB及びTCとすると、温度と化合物の状態との関係は図8のように表される。
本発明者は、既述の理由によりウエハWの設定温度として450℃、550℃及び600℃の3通りを含む運用を検討している。この場合、シャワープレート6にニッケル化合物が付着するとそれが剥がれてパーティクル汚染の要因となるので、シャワープレート6の温度としては、前記ニッケル化合物の生成温度TBよりも低いかまたはその昇華温度TC以上とすることが必要であるが、前記ニッケル化合物の発生そのものが起こらないほうがシステムとしては安全サイドに働くので、前記ニッケル化合物の生成温度TBよりも低い方が好ましい。またウエハWの温度が前記ニッケル化合物の昇華温度TCよりも低い場合には、必ずシャワープレート6の温度をTBよりも低くしなければならない。何故なら、シャワープレート6の温度をTC以上としてニッケル化合物を昇華させても、その昇華物がウエハWの表面に付着するからである。
本発明者が検討しているプロセスレシピにおいては、TiClxの分解温度領域が400℃以上であり、前記ニッケル化合物の生成温度が450℃よりも高いことから、この場合におけるウエハの温度、シャワープレート6の温度(シャワー温度)及びヒータ71の温度の設定例について示しておく。
ウエハ温度(℃) シャワー温度(℃) ヒータ71の温度(℃)
450 400 400
450 450 475
550 400 320
550 450 410
600 400 180
600 450 300
なお本発明のガスシャワーヘッドは、プリミックスタイプのものに限らず、従来例として示した図10に示したいわゆるポストミックスタイプ、つまり第1のガスと第2のガスとを別々に処理容器2内に供給するタイプのものにも適用できる。
また本発明は、Tiの成膜に限られるものではなく、半導体製造プロセスで行われる高温下の成膜処理などのガス処理を行う場合、例えばW、Cu、Ta、Ru、Hfなどの金属、またはTiN、TiSi、WSiなどの金属化合物、あるいはSiN、SiO2などの絶縁膜といった薄膜の形成などに適用できる。更に本発明のガスシャワーヘッドを適用した基板処理装置としては、プラズマCVD装置に限らず熱CVD装置、エッチング装置、アッシング装置、スパッタ装置、アニール装置などにも適用することができる。実施例では基板として半導体ウエハを例としたが、LCD基板、ガラス基板にも用いることができる。そしてまたニッケル部材の接合部分については上述の例に限られるものではなく、例えばシャワープレートを2枚のプレートを重ねて構成される場合、その接合面に中間部材を介在させてもよい。即ち、本発明は、複数のニッケル部材を組み合わせて構成したガス供給装置に適用できる。
本発明の実施の形態に係るガス供給装置を組み込んだ成膜装置を示す縦断面図である。 上記の実施の形態に係るガス供給装置を詳細に示す縦断面図である。 上記の実施の形態に係るガス供給装置の一部を示す分解断面図である。 上記の実施の形態に係るガス供給装置の一部を示す分解斜視図である。 上記の実施の形態に係るガス供給装置のベース部材と温度調整手段とを拡大して示す断面図である。 上記の実施の形態のガス供給装置に用いられる温度検出部がベースプレートの底面部に埋設された状態を示す縦断面図である。 上記の温度検出部の先端構造を示す断面図である。 チタン化合物の生成と温度との関係を示す説明図である。 上記のガス供給装置の温度制御系を示す構成図である。 従来のガス供給装置示す縦断面図である。
符号の説明
2 処理容器
21 ステージ(基板載置台)
31 開口部
34 絶縁部材
35 Oリング
4 ガスシャワーヘッド(ガス供給装置)
5 ベース部材
51 フランジ部
51a 空冷流路
52 底面部
53 側壁部
55 補強用の支柱
6 シャワープレート
61 ガス供給孔
63 中間部材
57 ガス導入管
58 ガス混合部

Claims (17)

  1. 基板を処理するための処理容器の天井部に形成された開口部を気密に塞ぐように設けられると共に複数のニッケル部材を組み合わせて構成され、下面に形成された多数のガス供給孔から処理容器内に処理ガスを供給するガス供給装置において、
    前記ニッケル部材同士の接合面の間に、ニッケル部材とは異なる材質からなる貼り付き防止用の中間部材を設けたことを特徴とするガス供給装置。
  2. 基板を処理するための処理容器の天井部に形成された開口部を気密に塞ぐように設けられ、下面に形成された多数のガス供給孔から処理容器内に処理ガスを供給するガス供給装置において、
    前記多数のガス供給孔が形成されたニッケル部材からなるシャワープレートと、
    このシャワープレートの周縁部の上面とその周縁部の下面とが互いに気密に接合されて、当該シャワープレートとの間に処理ガスの拡散空間が形成されると共に処理容器の前記開口部の周縁部に気密に取り付けられ、少なくともシャワープレートと対向する部分がニッケル部材からなるベース部材と、
    前記シャワープレートの周縁部の上面と前記ベース部材の周縁部の下面との間に介在し、ニッケル部材とは異なる材質からなる貼り付き防止用の中間部材と、を備えたことを特徴とするガス供給装置。
  3. 前記ベース部材は、上端周縁部が処理容器の前記開口部の周縁部に気密に取り付けられ、底面部の周縁部がシャワープレートの周縁部に気密に接合された扁平な有底筒状体として構成されていることを特徴とする請求項2記載のガス供給装置。
  4. 前記ベース部材の側壁部は、ニッケル部材よりも熱伝導率が小さい材質により構成されていることを特徴とする請求項3記載のガス供給装置。
  5. 前記ベース部材は、側壁部を補強するためにニッケル部材よりも熱伝導率が小さい材質からなる補強部材を備えていることを特徴とする請求項4記載のガス供給装置。
  6. ニッケル部材よりも熱伝導率が小さい材質は、ハステロイであることを特徴とする請求項4または5記載のガス供給装置。
  7. 前記ベース部材の上端周縁部は冷媒流路が形成されていることを特徴とする請求項2ないし6の記載のガス供給装置。
  8. 前記冷媒流路にはエアーが流通することを特徴とする請求項7記載のガス供給装置。
  9. 前記ベース部材の上面には絶縁プレートを介して面状のヒーターが配置され、前記絶縁プレートとヒーターは各々平面方向に複数に分割されていることを特徴とする請求項2ないし8のいずれか一つに記載の供給装置。
  10. 中間部材は、ハステロイまたはカーボンからなることを特徴とする請求項1ないし9のいずれか一つに記載のガス供給装置。
  11. 処理ガスは、互いに反応して成膜成分を生成する第1のガスと第2のガスとを含み、これらガスが予め混合されてその中に導入され、その混合ガスを処理容器内に供給するように構成されている請求項1ないし10のいずれか一つに記載のガス供給装置。
  12. 気密な処理容器と、この処理容器内に設けられ、基板を載置するための載置台と、処理容器内のガスを排気する排気手段と、請求項1ないし11のいずれか一つに記載のガス供給装置と、を備え、ガス供給装置から供給される処理ガスにより載置台上の基板を処理することを特徴とする基板処理装置。
  13. 気密な処理容器と、この処理容器内に設けられ、基板を載置するための載置台と、処理容器内のガスを排気する排気手段と、請求項2記載のガス供給装置と、を備え、
    前記ベース部材の上端部は、高周波絶縁のためのアルミナからなる上側絶縁部材を介して処理容器に固定され、
    前記上側絶縁部材の下方側には、前記処理容器における前記ベース部材と横方向に対向する部位を囲むように高周波絶縁のための石英からなる下側絶縁部材が設けられ、
    前記ガス供給装置から供給される処理ガスにより載置台上の基板を処理することを特徴とする基板処理装置。
  14. ベース部材の上端周縁部は、処理容器の前記開口部周縁部に対して樹脂シール部材を介して気密に接合されるものであることを特徴とする請求項12または13に記載の基板処理装置。
  15. 気密な処理容器と、この処理容器内に設けられ、基板を載置するための載置台と、処理容器内のガスを排気する排気手段と、請求項1ないし11のいずれか一つに記載のガス供給装置と、基板を加熱するために載置台に設けられた第1の温調手段と、前記ガス供給装置における処理雰囲気に接する面の温度を制御するためにガス供給装置に設けられた第2の温調手段と、前記第1の温調手段及び第2の温調手段を制御する制御部と、を備え、基板上にチタン膜を成膜するためにガス供給装置から四塩化チタンガスと水素ガスとを処理容器内に供給し、続いて基板上のチタン膜を窒化するためにアンモニアガスを処理容器内に供給するようにガス供給制御を行う基板処理装置であって、
    前記制御部は、前記ガス供給装置における処理雰囲気に接する面の温度が、ニッケルとアンモニアとが反応して固体生成物が生成される温度よりも低くかつTiClx(xは1、2または3)の分解温度領域となるように、第2の温調手段の設定温度が決められていることを特徴とする基板処理装置。
  16. 前記第2の温調手段は前記ガス供給装置における処理雰囲気に接する面の温度が400〜450℃になるよう制御されることを特徴とする請求項15記載の基板処理装置。
  17. 前記第1の温調手段は基板温度を450〜600℃の範囲で加熱するように制御されるとともに、前記第2の温調手段は前記基板温度に応じて180〜475℃の温度範囲で制御されることを特徴とする請求項16記載の基板処理装置。
JP2006006697A 2005-10-31 2006-01-13 ガス供給装置及び基板処理装置 Active JP5044931B2 (ja)

Priority Applications (5)

Application Number Priority Date Filing Date Title
JP2006006697A JP5044931B2 (ja) 2005-10-31 2006-01-13 ガス供給装置及び基板処理装置
US11/588,423 US20070131168A1 (en) 2005-10-31 2006-10-27 Gas Supplying unit and substrate processing apparatus
KR1020060105843A KR100776057B1 (ko) 2005-10-31 2006-10-30 가스 공급 장치 및 기판 처리 장치
CN2006101427762A CN1958170B (zh) 2005-10-31 2006-10-31 气体供给装置及基板处理装置
TW095140282A TW200725702A (en) 2005-10-31 2006-10-31 Gas supplying apparatus and substrate processing apparatus

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP2005317496 2005-10-31
JP2005317496 2005-10-31
JP2006006697A JP5044931B2 (ja) 2005-10-31 2006-01-13 ガス供給装置及び基板処理装置

Publications (2)

Publication Number Publication Date
JP2007146270A true JP2007146270A (ja) 2007-06-14
JP5044931B2 JP5044931B2 (ja) 2012-10-10

Family

ID=38138018

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2006006697A Active JP5044931B2 (ja) 2005-10-31 2006-01-13 ガス供給装置及び基板処理装置

Country Status (5)

Country Link
US (1) US20070131168A1 (ja)
JP (1) JP5044931B2 (ja)
KR (1) KR100776057B1 (ja)
CN (1) CN1958170B (ja)
TW (1) TW200725702A (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2015214716A (ja) * 2014-05-07 2015-12-03 東京エレクトロン株式会社 基板処理装置

Families Citing this family (285)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5045000B2 (ja) * 2006-06-20 2012-10-10 東京エレクトロン株式会社 成膜装置、ガス供給装置、成膜方法及び記憶媒体
JP5008478B2 (ja) * 2007-06-27 2012-08-22 東京エレクトロン株式会社 基板処理装置およびシャワーヘッド
KR100920417B1 (ko) * 2007-08-01 2009-10-14 주식회사 에이디피엔지니어링 센싱유닛 및 이를 가지는 기판처리장치
DE102008026001B4 (de) * 2007-09-04 2012-02-16 Von Ardenne Anlagentechnik Gmbh Verfahren und Vorrichtung zur Erzeugung und Bearbeitung von Schichten auf Substraten unter definierter Prozessatmosphäre und Heizelement
CN102084471B (zh) 2008-07-07 2012-11-28 朗姆研究公司 用于检测等离子体处理室中的等离子体不稳定的无源电容耦合静电(cce)探针装置
JP5661622B2 (ja) * 2008-07-07 2015-01-28 ラム リサーチ コーポレーションLam Research Corporation プラズマ処理チャンバで用いるための真空ギャップを備えたプラズマ対向プローブ装置
JP5430192B2 (ja) * 2009-03-19 2014-02-26 東京エレクトロン株式会社 温度調節装置、温度調節方法、基板処理装置及び対向電極
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US20110120651A1 (en) * 2009-11-17 2011-05-26 Applied Materials, Inc. Showerhead assembly with improved impact protection
KR20120043636A (ko) * 2010-10-26 2012-05-04 가부시키가이샤 한도오따이 에네루기 켄큐쇼 플라즈마 처리 장치 및 플라즈마 cvd 장치
JP5798766B2 (ja) * 2011-03-11 2015-10-21 東京エレクトロン株式会社 ボルトの緩み防止装置ならびにその取付方法および取付治具
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
JP5843627B2 (ja) * 2012-01-20 2016-01-13 東京エレクトロン株式会社 ガス供給ヘッド及び基板処理装置
US9631273B2 (en) * 2012-07-25 2017-04-25 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus for dielectric deposition process
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
TWI627305B (zh) * 2013-03-15 2018-06-21 應用材料股份有限公司 用於轉盤處理室之具有剛性板的大氣蓋
KR101467195B1 (ko) * 2013-05-14 2014-12-01 주식회사 아바코 가스 분사기 및 이를 포함하는 박막 증착 장치
CN103320852A (zh) * 2013-06-14 2013-09-25 光垒光电科技(上海)有限公司 用于外延沉积的反应腔
CN103305907A (zh) * 2013-06-14 2013-09-18 光垒光电科技(上海)有限公司 用于外延沉积的反应腔
JP5971870B2 (ja) * 2013-11-29 2016-08-17 株式会社日立国際電気 基板処理装置、半導体装置の製造方法及び記録媒体
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US20150280051A1 (en) * 2014-04-01 2015-10-01 Tsmc Solar Ltd. Diffuser head apparatus and method of gas distribution
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
TWD177995S (zh) * 2015-11-18 2016-09-01 ASM知識產權私人控股有&#x9 用於半導體製造設備之氣體供應板
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
TWD178424S (zh) * 2016-01-08 2016-09-21 ASM知識產權私人控股有&#x9 用於半導體製造設備的氣流控制板
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
JP6899697B2 (ja) * 2017-05-11 2021-07-07 東京エレクトロン株式会社 ゲートバルブ装置及び基板処理システム
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) * 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
JP6522180B1 (ja) 2018-02-08 2019-05-29 Sppテクノロジーズ株式会社 基板載置台及びこれを備えたプラズマ処理装置及びプラズマ処理方法
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11084694B2 (en) 2018-03-27 2021-08-10 Samsung Electronics Co., Ltd. Jacking tool and semiconductor process apparatus having the same
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
JP1624668S (ja) * 2018-06-08 2019-02-18
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
WO2020002995A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP1648531S (ja) * 2019-01-28 2019-12-23
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
WO2021021518A1 (en) * 2019-07-29 2021-02-04 Applied Materials, Inc. Semiconductor processing chamber and methods for cleaning the same
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112542370B (zh) * 2019-09-23 2024-04-05 中微半导体设备(上海)股份有限公司 一种等离子体处理器及其加热器组件
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210089077A (ko) 2020-01-06 2021-07-15 에이에스엠 아이피 홀딩 비.브이. 가스 공급 어셈블리, 이의 구성 요소, 및 이를 포함하는 반응기 시스템
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
CN111455350A (zh) * 2020-04-07 2020-07-28 沈阳拓荆科技有限公司 射频从喷淋板导入的喷淋板装置
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
CN113265648B (zh) * 2021-04-01 2022-09-16 湖南卓荣金属材料科技有限公司 一种一体式粉末气相沉积喷涂装置
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
CN115366546B (zh) * 2021-05-21 2024-03-29 广东聚华印刷显示技术有限公司 干燥装置
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH11111626A (ja) * 1997-10-07 1999-04-23 Tokyo Electron Ltd 熱処理装置のシャワーヘッド構造
JP2001247968A (ja) * 2000-03-07 2001-09-14 Tokyo Electron Ltd Cvd成膜方法
JP2002129338A (ja) * 2000-10-25 2002-05-09 Sony Corp 加熱を伴う処理装置
JP2002327274A (ja) * 2001-02-09 2002-11-15 Tokyo Electron Ltd 成膜装置
JP2002542399A (ja) * 1999-04-20 2002-12-10 東京エレクトロン株式会社 IC製造におけるPECVD−TiフィルムとCVD−TiNフィルムの単一室処理方法
JP2003247073A (ja) * 2002-02-20 2003-09-05 Tokyo Electron Ltd ガスシャワーヘッド、成膜装置及び成膜方法
JP2004076023A (ja) * 2001-08-01 2004-03-11 Tokyo Electron Ltd ガス処理装置およびガス処理方法
JP2004096060A (ja) * 2002-07-12 2004-03-25 Tokyo Electron Ltd 成膜方法
JP2004193567A (ja) * 2002-11-26 2004-07-08 Tokyo Electron Ltd プラズマ処理方法及びプラズマ処理装置
WO2005054543A1 (ja) * 2003-12-04 2005-06-16 Tokyo Electron Limited クリーニング方法
JP2005256172A (ja) * 2004-02-24 2005-09-22 Applied Materials Inc 可動又は柔軟なシャワーヘッド取り付け

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US295371A (en) * 1884-03-18 Half to heney d
US2295726A (en) * 1941-07-01 1942-09-15 Metal Textile Corp Wire cable or rope
GB9012475D0 (en) * 1990-06-05 1990-07-25 P E D Limited Solenoids
JP2939355B2 (ja) * 1991-04-22 1999-08-25 東京エレクトロン株式会社 プラズマ処理装置
US6214121B1 (en) * 1999-07-07 2001-04-10 Applied Materials, Inc. Pedestal with a thermally controlled platen
US6477980B1 (en) * 2000-01-20 2002-11-12 Applied Materials, Inc. Flexibly suspended gas distribution manifold for plasma chamber
US7220937B2 (en) * 2000-03-17 2007-05-22 Applied Materials, Inc. Plasma reactor with overhead RF source power electrode with low loss, low arcing tendency and low contamination
US6635117B1 (en) * 2000-04-26 2003-10-21 Axcelis Technologies, Inc. Actively-cooled distribution plate for reducing reactive gas temperature in a plasma processing system
KR100638917B1 (ko) * 2000-05-17 2006-10-25 동경 엘렉트론 주식회사 처리 장치 부품의 조립 기구 및 그 조립 방법
WO2002063065A1 (fr) * 2001-02-09 2002-08-15 Tokyo Electron Limited Dispositif de formation de pellicule mince
US6827815B2 (en) * 2002-01-15 2004-12-07 Applied Materials, Inc. Showerhead assembly for a processing chamber
JP4106948B2 (ja) * 2002-03-29 2008-06-25 東京エレクトロン株式会社 被処理体の跳上り検出装置、被処理体の跳上り検出方法、プラズマ処理装置及びプラズマ処理方法
US20050139234A1 (en) * 2002-07-05 2005-06-30 Tokyo Electron Limited Method of cleaning substrate processing apparatus and computer-readable recording medium
US20050136657A1 (en) * 2002-07-12 2005-06-23 Tokyo Electron Limited Film-formation method for semiconductor process
US7500445B2 (en) * 2003-01-27 2009-03-10 Applied Materials, Inc. Method and apparatus for cleaning a CVD chamber
US7009281B2 (en) * 2003-03-14 2006-03-07 Lam Corporation Small volume process chamber with hot inner surfaces
US7024105B2 (en) * 2003-10-10 2006-04-04 Applied Materials Inc. Substrate heater assembly

Patent Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH11111626A (ja) * 1997-10-07 1999-04-23 Tokyo Electron Ltd 熱処理装置のシャワーヘッド構造
JP2002542399A (ja) * 1999-04-20 2002-12-10 東京エレクトロン株式会社 IC製造におけるPECVD−TiフィルムとCVD−TiNフィルムの単一室処理方法
JP2001247968A (ja) * 2000-03-07 2001-09-14 Tokyo Electron Ltd Cvd成膜方法
JP2002129338A (ja) * 2000-10-25 2002-05-09 Sony Corp 加熱を伴う処理装置
JP2002327274A (ja) * 2001-02-09 2002-11-15 Tokyo Electron Ltd 成膜装置
JP2004076023A (ja) * 2001-08-01 2004-03-11 Tokyo Electron Ltd ガス処理装置およびガス処理方法
JP2003247073A (ja) * 2002-02-20 2003-09-05 Tokyo Electron Ltd ガスシャワーヘッド、成膜装置及び成膜方法
JP2004096060A (ja) * 2002-07-12 2004-03-25 Tokyo Electron Ltd 成膜方法
JP2004193567A (ja) * 2002-11-26 2004-07-08 Tokyo Electron Ltd プラズマ処理方法及びプラズマ処理装置
WO2005054543A1 (ja) * 2003-12-04 2005-06-16 Tokyo Electron Limited クリーニング方法
JP2005256172A (ja) * 2004-02-24 2005-09-22 Applied Materials Inc 可動又は柔軟なシャワーヘッド取り付け

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2015214716A (ja) * 2014-05-07 2015-12-03 東京エレクトロン株式会社 基板処理装置

Also Published As

Publication number Publication date
TW200725702A (en) 2007-07-01
KR20070046749A (ko) 2007-05-03
CN1958170A (zh) 2007-05-09
KR100776057B1 (ko) 2007-11-15
US20070131168A1 (en) 2007-06-14
JP5044931B2 (ja) 2012-10-10
CN1958170B (zh) 2011-07-20

Similar Documents

Publication Publication Date Title
JP5044931B2 (ja) ガス供給装置及び基板処理装置
JP4889683B2 (ja) 成膜装置
KR100628888B1 (ko) 샤워 헤드 온도 조절 장치 및 이를 갖는 막 형성 장치
TWI404157B (zh) Mounting method of the mounting apparatus, a discharge prevention method between the processing apparatus and the power supply line of the stage apparatus
US9062376B1 (en) Substrate processing apparatus, method of manufacturing semiconductor device and non-transitory computer readable recording medium
US20150221503A1 (en) Method of manufacturing semiconductor device
JP2006299294A (ja) ガス供給装置及び成膜装置
KR100715054B1 (ko) 진공 처리 장치
JP4260404B2 (ja) 成膜装置
WO2005003403A1 (ja) プラズマcvdを用いた成膜方法および成膜装置
JP2010065309A (ja) Ti系膜の成膜方法および記憶媒体
JP2010050483A (ja) 受け渡し機構及び処理装置
US10903086B2 (en) Titanium silicide region forming method
JP2003293138A (ja) 処理装置及びそのクリーニング方法
US20060105104A1 (en) Method for introducing gas to treating apparatus having shower head portion
JP4483795B2 (ja) 受け渡し機構及び処理装置
JP4782761B2 (ja) 成膜装置
JP4396645B2 (ja) 成膜方法及び処理装置
JP4453666B2 (ja) ガス導入部及び処理装置

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20090106

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120327

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120528

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20120619

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20120702

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20150727

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Ref document number: 5044931

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250