JP2004193567A - プラズマ処理方法及びプラズマ処理装置 - Google Patents

プラズマ処理方法及びプラズマ処理装置 Download PDF

Info

Publication number
JP2004193567A
JP2004193567A JP2003358432A JP2003358432A JP2004193567A JP 2004193567 A JP2004193567 A JP 2004193567A JP 2003358432 A JP2003358432 A JP 2003358432A JP 2003358432 A JP2003358432 A JP 2003358432A JP 2004193567 A JP2004193567 A JP 2004193567A
Authority
JP
Japan
Prior art keywords
plasma
processing
processing gas
region
density
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2003358432A
Other languages
English (en)
Other versions
JP4753276B2 (ja
Inventor
Akira Koshiishi
公 輿石
Jun Hirose
潤 廣瀬
Masahiro Ogasawara
正宏 小笠原
Taichi Hirano
太一 平野
Hiromitsu Sasaki
寛充 佐々木
Tetsuo Yoshida
哲雄 吉田
Michishige Saito
道茂 斎藤
Hiroyuki Ishihara
博之 石原
Atsushi Oyabu
淳 大藪
Koji Numata
幸治 沼田
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority to JP2003358432A priority Critical patent/JP4753276B2/ja
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to AU2003284683A priority patent/AU2003284683A1/en
Priority to CNB2003801042942A priority patent/CN100416773C/zh
Priority to KR1020057009444A priority patent/KR100652982B1/ko
Priority to PCT/JP2003/015029 priority patent/WO2004049419A1/ja
Priority to TW092133236A priority patent/TW200416793A/zh
Publication of JP2004193567A publication Critical patent/JP2004193567A/ja
Priority to US11/137,673 priority patent/US20060000803A1/en
Priority to US12/607,828 priority patent/US20100043974A1/en
Priority to US13/108,612 priority patent/US8512510B2/en
Application granted granted Critical
Publication of JP4753276B2 publication Critical patent/JP4753276B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32422Arrangement for selecting ions or species in the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma Technology (AREA)
  • Drying Of Semiconductors (AREA)
  • Cleaning In General (AREA)
  • ing And Chemical Polishing (AREA)

Abstract

【課題】 ラジカルとイオンとを同時に作用させるプラズマ処理の最適化を可能とすること。
【解決手段】 このプラズマエッチング装置では、外側上部電極36と内側上部電極38との間で電界強度の比率を可変することによって行なわれるプラズマ密度空間分布の制御が、中心シャワーヘッド(62,56a)と周辺シャワーヘッド(64,56a)との間で処理ガスの流量の比率を可変することによって行なわれるラジカル密度空間分布の制御に実質的な影響を及ぼさない。つまり、上記中心シャワーヘッドと上記周辺シャワーヘッドより噴出される処理ガスの解離が内側上部電極38直下のエリア内で行なわれるため、内側上部電極38と外側上部電極36との間で電界強度のバランスを変えても、ラジカル密度の空間分布にはさほど影響しない。

【選択図】 図1

Description

本発明は、被処理基板にプラズマ処理を施す技術に係り、特にプラズマ中のラジカルとイオンを用いて加工するプラズマ処理技術に関する。
半導体デバイスやFPD(Flat Panel Display)の製造プロセスにおけるエッチング、堆積、酸化、スパッタリング等の処理では、処理ガスに比較的低温で良好な反応を行わせるためにプラズマが多く利用されている。従来より、枚葉式のプラズマ処理装置の中では、容量結合型平行平板プラズマ処理装置が主流になっている。
一般に、容量結合型平行平板プラズマ処理装置は、減圧可能な処理容器または反応室内に上部電極と下部電極とを平行に配置し、下部電極を接地してその上に被処理基板(半導体ウエハ、ガラス基板等)を載置し、上部電極および/または下部電極に整合器を介して高周波を印加するとともに、上部電極に設けたシャワーヘッドより処理ガスを噴射させる。そうすると、上部電極と下部電極との間に生成された電界により電子が加速され、電子と処理ガスとの衝突電離によってプラズマが発生し、プラズマ中の中性ラジカルやイオン等によって基板上表面に所望の微細加工が施される。
プラズマ中のイオンの殆どは正イオンであり、電子とほぼ同数だけ存在する。もっとも、イオンまたは電子の密度は中性粒子またはラジカルの密度よりはるかに小さい。一般に、プラズマエッチングでは、基板表面にラジカルとイオンを同時に作用させ、ラジカルには化学反応による等方性のエッチングを行わせるとともに、イオンにはこれを電界により基板表面に垂直に入射して垂直性(異方性)のエッチングを促進させるようにしている。
従来のプラズマ処理装置では、プラズマ中で生成されたラジカルとイオンとが同様の密度分布で基板表面に作用していた。つまり、ラジカルの密度が基板周辺部よりも基板中心部で高くなっているときは、イオンの密度(つまり電子密度またはプラズマ密度)も基板周辺部よりも基板中心部で高くなるという関係があった。特に、上記のような平行平板プラズマ処理装置では、上部電極に印加する高周波の周波数が高くなると、高周波電源から給電棒を通って電極背面に印加される高周波が表皮効果により電極表面を伝わって電極下面(プラズマ接触面)の中心部に集中し、電極下面の中心部の電界強度がエッジ部の電界強度よりも高くなって、ラジカル密度およびイオン密度(電子密度)のいずれも電極中心部の方が電極エッジ部より高くなる傾向があった。しかし、このようにラジカルとイオンとが同様の密度分布で基板表面に作用する関係に拘束ないし律則されるのでは、基板に対して所望のプラズマ処理を施すのは難しく、特に処理状態ないし処理結果の均一性を向上させるのは難しい。
本発明は、上記の問題点を解決するものであり、被処理体にラジカルとイオンとを同時に作用させるプラズマ処理の最適化を図れるようにしたプラズマ処理方法およびプラズマ処理装置を提供することを目的とする。
上記の目的を達成するために、本発明のプラズマ処理方法は、処理ガスをプラズマ化し、プラズマに曝される被処理体に所望の微細加工を施すプラズマ処理方法であって、前記被処理体に対して前記プラズマの密度の空間分布と前記プラズマ中のラジカルの密度の空間分布とを独立に制御して、前記被処理体の被処理面全体にわたって所望の処理状態を得る。
また、本発明のプラズマ処理装置は、真空可能な処理容器内に設定された所定のプラズマ生成空間で処理ガスをプラズマ化し、前記プラズマ生成空間に配置した被処理体に所望の微細加工を施すプラズマ処理装置であって、前記被処理体に対して前記プラズマの密度の空間分布を制御するためのプラズマ密度制御部と、前記被処理体に対して前記プラズマ密度の空間分布から独立して前記プラズマ中のラジカルの密度の空間分布を制御するためのラジカル密度制御部とを有する。
本発明では、被処理体に対してプラズマ密度(つまり電子密度またはイオン密度)の空間分布とラジカル密度の空間分布とを独立に制御することにより、ラジカルベースのエッチングとイオンベースのエッチングとのバランスや相乗作用を最適化することができる。
本発明の好ましい一態様によれば、被処理体と対向する対向面に、プラズマ密度の空間分布を制御するための第1および第2の高周波放電領域と、ラジカル密度の空間分布を制御するための第1および第2の処理ガス噴出領域とが独立したレイアウトで設定される。第1および第2の高周波放電領域の間で高周波電界の強度または投入電力のバランス(比)を可変することでプラズマ密度(イオン密度)の空間分布を制御できるとともに、第1および第2の処理ガス噴出領域の間でガス流量のバランス(比)を可変することでラジカル密度の空間分布を制御できる。ここで、第1および第2の高周波放電領域がそれぞれ第1および第2の処理ガス噴出領域に一致または対応していると、投入電力比の変化がラジカル密度の空間分布に影響し、逆にガス流量比の変化がプラズマ密度(イオン密度)の空間分布に影響する。高周波放電領域の分割レイアウトと処理ガス噴出領域の分割レイアウトとを独立させることで、そのような相互作用の関係を絶ち、プラズマ密度分布とラジカル密度分布の独立制御が可能となる。
このような独立型レイアウトの好適な一態様は、被処理体の中心部を通る垂線を中心とする径方向において対向面を周辺側の領域と中心側の領域とに2分割して、周辺側領域および中心側領域をそれぞれ第1および第2の高周波放電領域とし、第2の高周波放電領域内で対向面を径方向で周辺側の領域と中心側の領域とに2分割して、周辺側領域および中心側領域をそれぞれ第1および第2の処理ガス噴出領域とするものである。より好ましくは、第1の高周波放電領域を被処理体の外周端よりも径方向外側に配置してよい。
かかるレイアウトにおいては、第1および第2の高周波放電領域との間で電界強度または投入電力の比率を可変することによって行なわれるプラズマ密度空間分布の制御が、第1および第2の処理ガス噴出領域の間で処理ガスの流量の比率を可変することによって行なわれるラジカル密度空間分布の制御に実質的な影響を及ぼさない。つまり、第1および第2の処理ガス噴出領域より噴出される処理ガスの解離が第2の高周波放電領域のエリア内で行なわれるため、第1および第2の高周波放電領域の間で電界強度または投入電力のバランスを変えても、第1および第2の処理ガス噴出領域間のラジカル生成量ないし密度のバランスには実質的に影響しない。これによって、プラズマ密度の空間分布とラジカル密度の空間分布とを独立に制御することができる。
本発明の好ましい一態様においては、単一の高周波電源より出力される高周波を所望の割合で分割して第1の高周波放電領域と第2の高周波放電領域とから放電させる。また、単一の処理ガス供給源より送出される処理ガスを所望の割合で分割して第1の処理ガス噴出領域と第2の処理ガス噴出領域とから噴出させる。この場合、第1および第2の処理ガス噴出領域よりほぼ単位面積当たりの流量を異ならせて処理ガスを噴出させてもよい。さらには、第1の処理ガス噴出領域より処理ガスを第1のガス混合比で噴出させ、第2の処理ガス噴出領域より処理ガスを第2のガス混合比で噴出させることも可能である。
本発明の好ましい一態様によれば、被処理体の被処理面の各部における加工速度が主としてプラズマ密度の空間分布にしたがって制御され、被処理体の被処理面の各部における加工の選択性および加工形状の少なくとも1つが主としてラジカル密度の空間分布にしたがって制御される。
好ましい一態様として、本発明のプラズマ処理装置は、一定周波数の高周波を出力する高周波電源と、この高周波電源からの高周波を所望の割合で分割して第1および第2の高周波放電領域に伝送する高周波分配部と、処理ガスを送出する処理ガス供給源と、この処理ガス供給源からの処理ガスを所望の割合で分割して第1および第2の処理ガス噴出領域に送る処理ガス分配部とを有する。この場合、好ましくは、高周波分配部が、高周波電源から第1の高周波放電領域までの第1の給電回路のインピーダンスと高周波電源から第2の高周波放電領域までの第2の給電回路のインピーダンスの少なくとも1つを可変制御するためのインピーダンス制御部を有してよい。また、第1および第2の高周波放電領域が互いに電気的に絶縁された第1および第2の電極でそれぞれ構成され、第1および第2の処理ガス噴出領域が第2の電極に設けられる構成が好ましい。
本発明によれば、上記のような構成と作用により、被処理体にラジカルとイオンとを同時に作用させるプラズマ処理の最適化を図ることができる。
以下、添付図を参照して本発明の好適な実施形態を説明する。
図1に、本発明の第1の実施例におけるプラズマエッチング装置の構成を示す。このプラズマエッチング装置は、容量結合型平行平板プラズマエッチング装置として構成されており、たとえば表面がアルマイト処理(陽極酸化処理)されたアルミニウムからなる円筒形のチャンバ(処理容器)10を有している。チャンバ10は保安接地されている。
チャンバ10の底部には、セラミックなどの絶縁板12を介して円柱状のサセプタ支持台14が配置され、このサセプタ支持台14の上にたとえばアルミニウムからなるサセプタ16が設けられている。サセプタ16は下部電極を構成し、この上に被処理基板としてたとえば半導体ウエハWが載置される。
サセプタ16の上面には半導体ウエハWを静電吸着力で保持するための静電チャック18が設けられている。この静電チャック18は導電膜からなる電極20を一対の絶縁層または絶縁シートの間に挟み込んだものであり、電極20には直流電源22が電気的に接続されている。直流電源22からの直流電圧により、半導体ウエハWがクーロン力で静電チャック18に吸着保持されるようになっている。静電チャック18の周囲でサセプタ16の上面には、エッチングの均一性を向上させるためのたとえばシリコンからなるフォーカスリング24が配置されている。サセプタ16およびサセプタ支持台14の側面にはたとえば石英からなる円筒状の内壁部材26が貼り付けられている。
サセプタ支持台14の内部には、たとえば円周方向に延在する冷媒室28が設けられている。この冷媒室28には、外付けのチラーユニット(図示せず)より配管30a,30bを介して所定温度の冷媒たとえば冷却水が循環供給される。冷媒の温度によってサセプタ16上の半導体ウエハWの処理温度を制御できる。
さらに、伝熱ガス供給機構(図示せず)からの伝熱ガスたとえばHeガスがガス供給ライン32を介して静電チャック18の上面と半導体ウエハWの裏面との間に供給される。
サセプタ16の上方には、このサセプタと平行に対向して上部電極34が設けられている。両電極16,34の間の空間はプラズマ生成空間である。上部電極34は、サセプタ(下部電極)16上の半導体ウエハWと対向してプラズマ生成空間と接する面つまり対向面を形成する。上部電極34は、サセプタ16と所望の間隔を置いて対向配置されているリング形状またはドーナツ形状の外側(outer)上部電極36と、この外側上部電極36の半径方向内側に絶縁して配置されている円板形状の内側(inner)上部電極38とで構成される。これら外側上部電極36と内側上部電極38とは、プラズマ生成に関して、前者(36)が主で、後者(38)が補助の関係を有している。
図2に、この実施例におけるプラズマエッチング装置の要部の構成を示す。図2に明示するように、外側上部電極36と内側上部電極38との間にはたとえば0.25〜2.0mmの環状ギャップ(隙間)が形成され、このギャップにたとえば石英からなる誘電体40が設けられる。また、このギャップにセラミック96を設けることもできる。この誘電体40を挟んで両電極36,38の間にコンデンサが形成される。このコンデンサのキャパシタンスC40は、ギャップのサイズと誘電体40の誘電率に応じて所望の値に選定または調整される。外側上部電極36とチャンバ10の側壁との間には、たとえばアルミナ(Al23)からなるリング形状の絶縁性遮蔽部材42が気密に取り付けられている。
外側上部電極36は、ジュール熱の少ない低抵抗の導電体または半導体たとえばシリコンで構成されるのが好ましい。外側上部電極36には、整合器44、上部給電棒46、コネクタ48および給電筒50を介して第1の高周波電源52が電気的に接続されている。第1の高周波電源52は、13.5MHz以上の周波数たとえば60MHzの高周波電圧を出力する。整合器44は、高周波電源52の内部(または出力)インピーダンスに負荷インピーダンスを整合させるためのもので、チャンバ10内にプラズマが生成されている時に高周波電源50の出力インピーダンスと負荷インピーダンスが見かけ上一致するように機能する。整合器44の出力端子は上部給電棒46の上端に接続されている。
給電筒50は、円筒状または円錐状あるいはそれらに近い形状の導電板たとえばアルミニウム板または銅板からなり、下端が周回方向で連続的に外側上部電極36に接続され、上端がコネクタ48によって上部給電棒46の下端部に電気的に接続されている。給電筒50の外側では、チャンバ10の側壁が上部電極34の高さ位置よりも上方に延びて円筒状の接地導体10aを構成している。この円筒状接地導体10aの上端部は筒状の絶縁部材54により上部給電棒46から電気的に絶縁されている。かかる構成においては、コネクタ48からみた負荷回路において、給電筒50および外側上部電極36と円筒状接地導体10aとで前者(36,50)を導波路とする同軸線路が形成される。
再び図1において、内側上部電極38は、多数のガス通気孔56aを有するたとえばSi、SiCなどの半導体材料からなる電極板56と、この電極板56を着脱可能に支持する導電材料たとえば表面がアルマイト処理されたアルミニウムからなる電極支持体58とを有する。電極支持体58の内部には、たとえばOリングからなる環状隔壁部材60で分割された2つのガス導入室つまり中心ガス導入室62と周辺ガス導入室64とが設けられている。中心ガス導入室62とその下面に設けられている多数のガス噴出孔56aとで中心シャワーヘッドが構成され、周辺ガス導入室64とその下面に設けられている多数のガス噴出孔56aとで周辺シャワーヘッドが構成されている。
これらのガス導入室62,64には、共通の処理ガス供給源66からの処理ガスが所望の流量比で供給されるようになっている。より詳細には、処理ガス供給源66からのガス供給管68が途中で2つに分岐してガス導入室62,64に接続され、それぞれの分岐管68a,68bに流量制御弁70a,70bが設けられている。処理ガス供給源66からガス導入室62,64までの流路のコンダクタンスは等しいので、流量制御弁70a,70bの調整により、両ガス導入室62,64に供給する処理ガスの流量比を任意に調整できるようになっている。なお、ガス供給管68にはマスフローコントローラ(MFC)72および開閉バルブ74が設けられている。このように、中心ガス導入室62と周辺ガス導入室64とに導入する処理ガスの流量比を調整することで、中心ガス導入室62に対応する電極中心部のガス通気孔56aつまり中心シャワーヘッドより噴出されるガスの流量FCと周辺ガス導入室64に対応する電極周辺部のガス通気孔56aつまり周辺シャワーヘッドより噴出されるガスの流量F E との比率(FC/FE)を任意に調整できるようになっている。なお、中心シャワーヘッドおよび周辺シャワーヘッドよりそれぞれ噴出させる処理ガスの単位面積当たりの流量を異ならせることも可能である。さらに、中心シャワーヘッドおよび周辺シャワーヘッドよりそれぞれ噴出させる処理ガスのガス種またはガス混合比を独立または別個に選定することも可能である。
内側上部電極38の電極支持体58には、整合器44、上部給電棒46、コネクタ48および下部給電筒76を介して第1の高周波電源52が電気的に接続されている。下部給電筒76の途中には、キャパシタンスを可変調整できる可変コンデンサ78が設けられている。
図示省略するが、外側上部電極36および内側上部電極38にも適当な冷媒室または冷却ジャケット(図示せず)を設けて、外部のチラーユニットにより冷媒を介して電極の温度を制御できるように構成してもよい。
チャンバ10の底部には排気口80が設けられ、この排気口80に排気管82を介して排気装置84が接続されている。排気装置84は、ターボ分子ポンプなどの真空ポンプを有しており、チャンバ10内のプラズマ処理空間を所望の真空度まで減圧できるようになっている。また、チャンバ10の側壁には半導体ウエハWの搬入出口を開閉するゲートバルブ86が取り付けられている。
この実施例のプラズマエッチング装置では、反応性イオンエッチング(RIE)を行うために、下部電極としてのサセプタ16に整合器88を介して第2の高周波電源90が電気的に接続されている。この第2の高周波電源90は、2〜27MHzの範囲内の周波数、たとえば2MHzの高周波電圧を出力する。整合器88は、高周波電源90の内部(または出力)インピーダンスに負荷インピーダンスを整合させるためのもので、チャンバ10内にプラズマが生成されている時に高周波電源90の内部インピーダンスと負荷インピーダンスが見かけ上一致するように機能する。
内側上部電極38には、第1の高周波電源52からの高周波(60MHz)を通さずに第2の高周波電源98からの高周波(2MHz)をグランドへ通すためのローパスフィルタ(LPF)92が電気的に接続されている。このローパスフィルタ(LPF)92は、好適にはLRフィルタまたはLCフィルタで構成されてよいが、1本の導線だけでも第1の高周波電源52からの高周波(60MHz)に対しては十分大きなリアクタンスを与えることができるので、それで済ますこともできる。一方、サセプタ16には、第1の高周波電源52からの高周波(60MHz)をグランドへ通すためのハイパスフィルタ(HPF)94が電気的に接続されている。
このプラズマエッチング装置において、エッチングを行なうには、先ずゲートバルブ86を開状態にして加工対象の半導体ウエハWをチャンバ10内に搬入して、サセプタ16の上に載置する。そして、処理ガス供給源66よりエッチングガス(一般に混合ガス)を所定の流量および流量比でガス導入室62,64に導入し、排気装置84によりチャンバ10内の圧力つまりエッチング圧力を設定値(たとえば数mTorr〜1Torrの範囲内)とする。さらに、第1の高周波電源52よりプラズマ生成用の高周波(60MHz)を所定のパワーで上部電極34(36,38)に印加するとともに、第2の高周波電源90よりRIE用の高周波(2MHz)を所定のパワーでサセプタ16に印加する。また、直流電源22より直流電圧を静電チャック18の電極20に印加して、半導体ウエハWをサセプタ16に固定する。内側上部電極38のガス通気孔56aより吐出されたエッチングガスは上部電極34(36,38)とサセプタ16間のグロー放電中でプラズマ化し、このプラズマで生成されるラジカルやイオンによって半導体ウエハWの被処理面がエッチングされる。
このプラズマエッチング装置では、上部電極34に対して高い周波数領域(イオンが動けない5〜10MHz以上)の高周波を印加することにより、プラズマを好ましい解離状態で高密度化し、より低圧の条件下でも高密度プラズマを形成することができる。
また、上部電極34において、半導体ウエハWと真正面に対向する内側上部電極38をシャワーヘッド兼用型とし、中心シャワーヘッド(62,56a)と周辺シャワーヘッド(64,56a)とでガス吐出流量の比率を任意に調整できるので、ガス分子またはラジカル密度の空間分布を径方向で制御し、ラジカルベースによるエッチング特性の空間的な分布特性を任意に制御することもできる。
一方、上部電極34においては、後述するように、プラズマ生成のための高周波電極として外側上部電極36を主、内側上部電極38を副とし、両高周波電極36,38より電極直下の電子に与える電界強度の比率を調整可能にしているので、プラズマ密度の空間分布を径方向で制御し、反応性イオンエッチングの空間的な特性を任意かつ精細に制御することができる。
ここで、重要なことは、外側上部電極36と内側上部電極38との間で電界強度または投入電力の比率を可変することによって行なわれるプラズマ密度空間分布の制御が、中心シャワーヘッド(62,56a)と周辺シャワーヘッド(64,56a)との間で処理ガスの流量やガス密度またはガス混合比の比率を可変することによって行なわれるラジカル密度空間分布の制御に実質的な影響を及ぼさないことである。つまり、中心シャワーヘッド(62,56a)と周辺シャワーヘッド(64,56a)より噴出される処理ガスの解離が内側上部電極38直下のエリア内で行なわれるため、内側上部電極38と外側上部電極36との間で電界強度のバランスを変えても、内側上部電極38内(同一エリア内)の中心シャワーヘッド(62,56a)と周辺シャワーヘッド(64,56a)との間のラジカル生成量ないし密度のバランスにはさほど影響しない。このように、本発明によれば、プラズマ密度の空間分布とラジカル密度の空間分布とを実質上独立に制御することができる。
また、このプラズマエッチング装置は、外側上部電極36の直下でプラズマの大部分ないし過半を生成して内側上部電極38の直下に拡散させる方式である。この方式によると、シャワーヘッドを兼ねる内側上部電極38においては、プラズマのイオンから受けるアタックが少ないため交換部品である電極板56のガス吐出口56aのスパッタ進行度を効果的に抑制し、電極板56の寿命を大幅に延ばすことができる。一方、外側上部電極36は、電界の集中するガス吐出口を有してはいないため、イオンのアタックは少なく、内側上部電極38の代わりに電極寿命が短くなるようなことはない。
図2は、先に述べたように、このプラズマエッチング装置の要部(特に、プラズマ生成手段を構成する要部)の構成を示している。図中、内側上部電極38のシャワーヘッド部(56a、62,64)の構造を省略している。図3に、プラズマ生成手段の要部の等価回路を示す。この等価回路では各部の抵抗を省略している。
この実施例では、上記のように、コネクタ48からみた負荷回路において、外側上部電極36および給電筒50と円筒状接地導体10aとで前者(36,50)を導波路Joとする同軸線路が形成される。ここで、給電筒50の半径(外径)をao、円筒状接地導体10aの半径をbとすると、この同軸線路の特性インピーダンスまたはインダクタンスLoは下記の式(1)で近似できる。
Lo=K・In(b/ao) ‥‥‥(1)
ただし、Kは導電路の移動度および誘電率で決まる定数である。
一方、コネクタ48からみた負荷回路において、下部給電棒76と円筒状接地導体10aとの間でも前者(76)を導波路Jiとする同軸線路が形成される。内側上部電極38も下部給電棒76の延長上にあるが、直径が違いすぎており、下部給電棒76のインピーダンスが支配的になる。ここで、下部給電棒76の半径(外径)をaiとすると、この同軸線路の特性インピーダンスまたはインダクタンスLiは下記の式(2)で近似できる。
Li=K・In(b/ai) ‥‥‥(2)
上記の式(1),(2)より理解されるように、内側上部電極38に高周波を伝える内側導波路Jiは従来一般のRFシステムと同様のインダクタンスLiを与えるのに対して、外側上部電極36に高周波を伝える外側導波路Joは径が大きい分だけ著しく小さなインダクタンスLoを与えることができる。これにより、整合器44からみてコネクタ48より先の負荷回路では、低インピーダンスの外側導波路Joで高周波が伝播しやすく(電圧降下が小さく)、外側上部電極36に多めの高周波電力Poを供給して、外側上部電極36の下面(プラズマ接触面)に強い電界強度Eoを得ることができる。一方、高インピーダンスの内側導波路Jiでは高周波が伝播しにくく(電圧降下が大きく)、内側上部電極38に外側上部電極36に供給される高周波電力Poよりも小さい高周波電力Piを供給して、内側上部電極38の下面(プラズマ接触面)に得られる電界強度Eiを外側上部電極36側の電界強度Eoよりも小さくすることができる。
このように、上部電極34では、外側上部電極36の直下で相対的に強い電界Eoで電子を加速させると同時に、内側上部電極38の直下では相対的に弱い電界Eiで電子を加速させることとなり、これによって外側上部電極36の直下でプラズマPの大部分ないし過半が生成され、内側上部電極38の直下では補助的にプラズマPの一部が生成される。そして、外側上部電極36の直下で生成された高密度のプラズマが径方向の内側と外側に拡散することにより、上部電極34とサセプタ16との間のプラズマ処理空間においてプラズマ密度が径方向で均される。
ところで、外側上部電極36および給電筒50と円筒状接地導体10aとで形成される同軸線路における最大伝送電力Pmaxは、給電筒50の半径aoと円筒状接地導体10aの半径bとに依存し、下記の式(3)で与えられる。
max/Eo 2 max=ao 2[In(b/ao)]2/2Zo ‥‥‥(3)
ここで、Zoは整合器44側からみた当該同軸線路の入力インピーダンスであり、EomaxはRF伝送系の最大電界強度である。
上記の式(3)において、最大伝送電力Pmaxは(b/ao)≒1.65で極大値となる。このことから、外側導波路Joの電力伝送効率を向上させるには、給電筒50の径サイズに対して円筒状接地導体10aの径サイズの比(b/ao)が約1.65となるように構成する(給電筒50および/または円筒状接地導体10aの径サイズを選定する)のが最も好ましく、少なくとも1.2〜2.0の範囲内に入るように構成するのが好ましく、1.5〜1.7の範囲内に入るように構成するのがさらに好ましい。
この実施例では、プラズマ密度の空間分布を任意かつ精細に制御するために、外側上部電極36直下の外側電界強度Eo(または外側上部電極36側への投入電力Po)と内側上部電極38直下の内側電界強度Ei(または内側上部電極38側への投入電力Pi)との比率つまりバランスを調整するための手段として、下部給電棒76の途中に可変コンデンサ78を挿入している。この可変コンデンサ78のキャパシタンスC78を変えることにより、内側導波路Jiのインピーダンスまたはリアクタンスを増減させ、外側導波路Joの電圧降下と内側導波路Jiの電圧降下との相対比率を変えることができ、ひいては外側電界強度Eo(外側投入電力Po)と内側電界強度Ei(内側投入電力Pi)との比率を調整することができる。
なお、プラズマの電位降下を与えるイオンシースのインピーダンスは一般に容量性である。図3の等価回路では、外側上部電極36直下におけるシースインピーダンスのキャパシタンスをCpo、内側上部電極38直下におけるシースインピーダンスのキャパシタンスをCpiと仮定(擬制)している。また、外側上部電極36と内側上部電極38との間に形成されるコンデンサのキャパシタンスC40は、可変コンデンサ78のキャパシタンスC78と組み合わさって上記のような外側電界強度Eo(外側投入電力Po)と内側電界強度Ei(内側投入電力Pi)とのバランスを左右するものであり、可変コンデンサ78による電界強度(投入電力)バランス調整機能を最適化できるような値に選定または調整されてよい。
ここで、図4および図5に、この実施例の可変コンデンサ78による電界強度バランス調整機能の検証例(シミュレーションデータ)を示す。図4は、可変コンデンサ78のキャパシタンスC78をパラメータにして電極の径方向における電界強度(相対値)の分布特性を示す。図5は、可変コンデンサ78のキャパシタンスC78を変えたときの外側電界強度Eoと内側電界強度Eiとの相対比率を示す。なお、このシミュレーションでは、半導体ウエハWの口径を200mmとし、円板形状の内側上部電極38の半径を100mm、リング形状の外側上部電極36の内側半径および外側半径をそれぞれ101mm、141mmに選んでいる。この場合、半導体ウエハWの面積(314cm2)に対して、内側上部電極38の面積は314cm2でウエハWと同じであり、外側上部電極36の面積は304cm2でウエハWよりも幾らか小さい。概して、外側上部電極36の面積は内側上部電極38の面積の約1/4倍〜約1倍に選ばれるのが好ましい。
図4に示すように、外側上部電極36直下の外側電界強度Eoの方が内側上部電極38直下の内側電界強度Eiよりも大きく、両電極36,38の境界付近で電界強度に大きな段差が生じる。特に、外側上部電極36直下の外側電界強度Eoは内側上部電極38との境界付近で最大になり、半径方向の外側にいくほど低くなる傾向があることがわかる。この例では、図5に示すように、可変コンデンサ78のキャパシタンスC78を180〜350pFの範囲内で変えると、電界強度Ei,Eoの比率Ei/Eoを約10%〜40%の範囲内で連続的に制御できることがわかる。なお、C78=125〜180pFは負荷回路が共振する領域であり、制御不能となっている。基本的に、安定領域では、可変コンデンサ78のキャパシタンスC78を大きくするほど、内側導波路Jiのリアクタンスを減少させて、内側上部電極38直下の内側電界強度Eiを相対的に増大させ、外側電界強度Eoと内側電界強度Eiの比率Ei/Eoを高くする方向に制御できる。
この実施例においては、給電筒50により構成される外側導波路Joのリアクタンスを著しく小さくできるため、整合器44の出力端子からみた負荷回路のインピーダンスのリアクタンスを容量性の負値にすることができる。このことは、整合器44の出力端子から容量性のイオンシースに至るまでの導波路において、リアクタンスが誘電性の正値から負値に極性反転するような共振ポイントが存在しないことを意味する。共振ポイントの発生を回避することで、共振電流の発生を回避し、高周波エネルギーの損失を低減できるとともにプラズマ密度分布制御の安定性を確保できる。
図6A、図6Bおよび図7A、図7Bに、この実施例のプラズマエッチング装置において得られる電子密度分布特性およびエッチングレート分布特性の一例(実験データ)を示す。図4および図5の電界強度分布特性と同様に可変コンデンサ78のキャパシタンスC78をパラメータにして、プラズマ吸収プローブ(PAP)を用いて径方向の各位置における電子密度を測定するとともに、半導体ウエハ上のシリコン酸化膜をエッチングして径方向の各ウエハ位置におけるエッチング速度を測定した。この実験でも、内側上部電極38の半径を100mm、外側上部電極36の内側半径および外側半径をそれぞれ101mm、141mmに選んでいる。主なエッッチング条件は下記のとおりである。
ウエハ口径=200mm
チャンバ内の圧力=15mTorr、
温度(上部電極/チャンバ側壁/下部電極)=60/50/20゜C、
電熱ガス(Heガス)供給圧力(センター部/エッジ部)=15/25Torr、
上部及び下部電極間距離=50mm
プロセスガス(C58/Ar/O2)≒流量20/380/20sccm
高周波電力(60MHz/2MHz)≒2200W/1500W(C78=500pF,1000pF),1800W(C78=120pF)
図6Aおよび図6Bにおいて、可変コンデンサ78のキャパシタンスC78を120pFに選んだときは、外側電界強度Eoと内側電界強度Eiの比率Ei/Eoを高めに選んだ場合であり、この場合は、電極中心付近が最大で、径方向外側にいくほど単調に減少するような電子密度つまりプラズマ密度の分布特性を得ることができる。これは、主プラズマ生成部である外側上部電極36の直下におけるプラズマ生成率と副プラズマ生成部である内側上部電極38の直下におけるプラズマ生成率との差をプラズマの拡散率が上回って、全方向から集まる中心部のプラズマ密度が周りよりも相対的に高くなるものと考えられる。
一方、キャパシタンスC78を1000pFに選んだときは、外側電界強度Eoと内側電界強度Eiの比率Ei/Eoを低めに選んだ場合であり、この場合は、径方向でウエハの内側よりも外側の位置(中心から140mmの位置付近)で電子密度を極大化させ、ウエハの内側(0〜100mm)ではほぼ均一な電子密度分布を得ることができる。これは、内側上部電極38の直下におけるプラズマ生成率の増大によって半径方向外側への拡散が強まるためと考えられる。いずれにしても、可変コンデンサ78のキャパシタンスC78を適当な範囲内で細かく可変調整することにより、電子密度つまりプラズマ密度の空間分布特性を自在かつ精細に制御できることがわかる。
また、下部電極16にRIE用の高周波バイアス(2MHz)を印加した場合(図6A)は、印加しない場合(図6B)と比較して、各位置の電子密度がある程度増大することと、分布パターンはほとんど変わらないことがわかる。つまり、この実施形態においてはプラズマ発生効率やプラズマ密度分布がRIEによってほとんど影響を受けないことがわかる。
図7Aおよび図7Bの実験データによれば、可変コンデンサ78のキャパシタンスC78を可変調整することで、図6Aおよび図6Bの電子密度空間分布特性に対応するパターンのエッチングレート空間分布特性が得られることがわかる。すなわち、可変コンデンサ78のキャパシタンスC78を適当な範囲内で細かく可変調整することにより、ウエハ面内のエッチングレート空間分布特性も自在かつ精細に制御可能できることがわかる。
また、この実施例のプラズマエッチング装置では、上記したように内側上部電極36のシャワーヘッド機構において中心部と外周部とでガス吐出流量の比率を可変調整する機能により、ラジカルベースでエッチングレート空間分布特性の制御を併せて行うことも可能である。
図8に、本発明の第2の実施例におけるプラズマエッチング装置の構成を示す。図中、上記した第1実施例の装置(図1)におけるものと同様の構成または機能を有する部分には同一の符号を付してある。
この第2の実施例における特徴の一つは、高周波電源52からの高周波を外側上部電極36に伝送するための伝送路つまり給電筒50に鋳物を用いる構成である。この鋳物の材質は導電性と加工性に優れた金属が好ましく、たとえばアルミニウムでよい。鋳物の利点の一つはコストが低いことであり、板材製のものと比べて1/7以下のコストに抑えることができる。別の利点として、鋳物は一体化が容易で、RF接面を低減できるため、RF損失を少なくすることができる。
さらに、給電筒50を鋳物で構成しても、高周波伝送効率が低下することはない。すなわち、図9A,図9Bおよび図10A,図10Bの実験データに示すように、給電筒50を板材で構成しても鋳物で構成しても、エッチングレートは殆ど違わないことが確認されている。なお、図9Aおよび図9Bはシリコン酸化膜(SiO2)に係るエッチングレートの空間分布特性を示し、図10Aおよび図10Bはフォトレジスト(PR)に係るエッチングレートの空間分布特性を示す。この検証例における主なエッチング条件は下記のとおりである。
ウエハ口径=300mm
チャンバ内の圧力=25mTorr
温度(上部電極/チャンバ側壁/下部電極)=60/60/20゜C
伝熱ガス(Heガス)供給圧力(センター部/エッジ部)=15/40Torr
上部及び下部電極間距離=45mm
プロセスガス(C58/Ar/O2)≒流量30/750/50sccm
高周波電力(60MHz/2MHz)≒3300W/3800W
測定時間=120秒
この第2の実施例における第2の特徴は、給電筒50内で給電棒76の回りにリング状の導体部材100を設ける構成である。この導体部材100の主たる役目は、以下に述べるように、給電棒76回りのインダクタンスを小さくして、可変コンデンサ78による外側/内側投入電力バランス調整機能のレンジを改善することにある。
このプラズマ処理装置では、上記のように、可変コンデンサ78のキャパシタンスC78を変えることで、外側上部電極36に対する投入電力Poと内側上部電極38に対する投入電力Piの比率を任意に調節することができる。一般に、可変コンデンサ78におけるキャパシタンスC78の可変調整は、ステップモータ等を用いてステップ的に行なわれる。このキャパシタンス可変調整では、上記のように制御不能な共振領域(図5では125pF<C78<180pFの領域)を避ける必要があり、上記第1の実施例における実験検証例(図6A,図6B,図7A,図7B)では主に共振領域より右側の安定領域(C78≧180pF)を使用した。しかしながら、右側安定領域は内側投入電力Piの比率を上げるのに限界があるうえ、電力の損失も大きいという側面がある。この点、図4および図5からも明らかなように共振領域より左側の領域(C78≦125pF)は内側投入電力Piの比率を上げるのに有利であるうえ、電力損失も少ないという利点がある。ただし、共振領域より左側の領域では内側投入電力Piの比率を上げるほど共振領域に近づくため、図11のAのような変化率(傾斜)の大きな特性曲線の下では共振領域手前での微調整が非常に難しくなるという側面がある。
これを解決するには、図11のBで示すようにキャパシタンス−内側投入電力比率特性曲線において共振領域より左側の領域の変化率(傾斜)を小さくして調整レンジを広げることが有効である。そして、図11のBのような傾斜の緩いブロードの特性曲線を得るには、以下に述べるように給電棒76回りのインダクタンスLiを小さくするのが有効である。
すなわち、このプラズマエッチング装置におけるプラズマ生成用の高周波給電回路を図12に示すような等価回路で表すと、給電棒76回りのリアクタンスωLiはコンデンサ78のリアクタンス1/ωC78よりも常に大きい絶対値をとることから、内側導波路Jiの合成リアクタンスXは常に誘導性であり、X=ωLaと表すことができる。この見かけ上のインダクタンスLaとキャパシタンスC40とで形成される並列回路が共振状態になるときは、インダクタンスLaのサセプタンス1/ωLaとキャパシタンスC40のサセプタンスωC40とが相殺して零になるとき、つまり1/ωLa=1/(ωLi−1/ωC78)=ωC40が成立するときである。ここで、Liを小さくするほど、上記共振条件を成立させるC78の値が大きくなり、図11のBに示すような共振領域の手前で傾斜の緩やかなブロードの特性曲線が得られる。なお、図12の等価回路では、説明を簡単にするために、外側導波路JoのインダクタンスLoを省いている。この等価回路にインダクタンスLoが入っていても原理は同じである。
図13に、この実施例における導体部材100の作用を示す。給電棒76に時間的に変化する電流Iが流れるとき、給電棒76の回りにはループ状の磁束Bが生成されるとともに、電磁誘導によって導体部材100の内部では磁束Bと鎖交する誘導電流iが流れる。そうすると、この誘導電流iによって導体部材100の内外にループ状の磁束bが生成され、導体部材100の内部では磁束bの分だけ磁束Bが相殺される。こうして、給電棒76の回りに導体部材100を設けることで、給電棒76回りの正味の磁束発生量を低減してインダクタンスLiを小さくすることができる。
導体部材100の外観構造は、周回方向に連続した単一のリング形体が好ましいが、複数の導体部材を周回方向に並べて配置する構造であってもよい。また、導体部材100の内部構造は、図13に示すようなリング状の空洞を有する中空体でもよいが、図8に示すような内部の埋まったブロック体構造の方がより大きなインダクタンス低減効果を得ることができる。導体部材100の容積は大きいほど好ましく、理想的には給電筒50内側の空間を埋め尽くすのが最も好ましい。実用的には、給電筒50と外側上部電極36とで囲まれる空間の1/10〜1/3を導体部材100で埋めるのが好ましい。導体部材100の材質は任意の導電材料でよく、たとえばアルミニウムの鋳物でもよい。なお、導体部材100は、付近の導体すなわち給電棒76や内側上部電極38等と電気的に絶縁された状態で配置される。
図14に、この実施例における導体部材100による上記ブロード効果の実証例を実験データで示す。図14において、特性曲線B'はこの実施例の装置構造で得られたものであり、特性曲線A'は導体部材100を設けない装置構造で得られたものである。これらの特性曲線A',B'はそれぞれ図11の特性曲線A,Bを上下にひっくり返したものに対応する。すなわち、この種の平行平板形プラズマ装置では、上部電極34の中心部への投入電力(内側投入電力Pi)の比率を上げるほど、サセプタ16側では基板W近傍のプラズマ密度が高くなり、これによって(プラズマ密度と反比例する)サセプタ16側のバイアス周波数のVppが低くなるという関係がある。この関係から、可変コンデンサ78のバリコンステップ値(キャパシタンスC78の値に比例する制御量)を可変して各ステップ値で得られる自己バイアスVppの測定値をプロットして得られる特性曲線A',B'(図14)は、図11の特性曲線A,Bを上下にひっくり返したものにそれぞれ対応する。図14の特性曲線B' から実証されるように、この実施例によれば、給電棒76の回りに導体部材100を設けることで、可変コンデンサ78による外側/内側投入電力バランス調整において内側投入電力投入電力Piの比率を共振領域の手前で可及的に高い値まで安定かつ精細に制御することができる。
この実施例における第3の特徴は、内側上部電極38とグランド電位との間に接続されるローパスフィルタ92に係るものである。図15Aに示すように、この実施例におけるローパスフィルタ92は、可変抵抗器93とコイル95とを直列接続してなり、プラズマ生成用の高周波(60MHz)を通さず、バイアス用の高周波(2MHz)以下の交流周波数と直流とを通すように構成されている。このローパスフィルタ92によれば、可変抵抗器93の抵抗値R93を可変調整することによって、内側上部電極38の直流電位または自己バイアス電圧Vdcを調整できる。
より詳細には、図16に示すように、抵抗器93の抵抗値R93を小さくするほど、抵抗器93の電圧降下が小さくなり、負の直流電位Vdcは上昇する(グランド電位に近づく)。逆に、抵抗器93の抵抗値R93を大きくするほど、抵抗器93の電圧降下が大きくなり、直流電位Vdcは下がる。もっとも、直流電位Vdcが高くなりすぎると(通常は−150Vよりも高くなると)、プラズマポテンシャルが上昇して、異常放電またはアーキングが発生する。一方、直流電位Vdcが低くなりすぎると(通常は−450Vよりも低くなると)、内側上部電極38へのイオンのアタックが強くなって電極の消耗が早まる。別な見方をすれば、図17に示すように、直流電位Vdcには上記のような異常放電および電極消耗のいずれも防止ないし抑制できる適性範囲(−450V〜−150V)があり、この適性範囲に対応する抵抗値R93の範囲(Ra〜Rb)が存在する。したがって、抵抗器93側から抵抗値R93を上記範囲(Ra〜Rb)内に選定または調整することで、直流電位Vdcを上記適性範囲(−450V〜−150V)内に調整することができる。また、上部電極34(外側上部電極36および内側上部電極38)全体に印加する高周波電力の値によっても抵抗値R93の適性範囲(Ra〜Rb)が変わる。一例として、3000Wの高周波パワーに対して、下限抵抗値Ra=約1MΩの実験結果が得られている。
また、図15Bに示すように、内側上部電極38を可変直流電源97を介してグランドに接地し、直流電位Vdcを電源電圧によって直接的に制御することも可能である。可変直流電源97は、バイポーラ電源で構成するのが好ましい。
この第2の実施例における第4の特徴は、上部電極34において、外側上部電極36の下面を内側上部電極38の下面よりも下方つまりサセプタ16側に突出させる構成である。図18に、この特徴部分の構成を拡大して示す。図示の例では、外側上部電極36を上部電極部材36Aと下部電極部材36Bとからなる上下2分割構造としている。本体の上部電極部材36Aは、たとえばアルマイト処理されたアルミニウムで構成され、給電筒50に接続される。交換部品の下部電極部材36Bは、たとえばシリコンで構成され、内側上部電極38の下面よりも所定値Hだけ突出した状態でボルト(図示せず)等により上部電極部材36Aに着脱可能に密着して固定される。両電極部材36A,36Bの間には、熱コンダクタンスを高めるための部材102として、シリコーンラバーシート等が設けられる。また、両電極部材36A,36Bの接触面をテフロン(商品名)でコーティングすることで、熱抵抗を低くすることも可能である。
外側上部電極36における突出部36Bの突出量Hおよび内径(直径)Φは、外側上部電極36ないし上部電極34よりプラズマ生成空間に与える電界の強度や方向等を規定し、ひいてはプラズマ密度の空間分布特性を左右する重要なファクタである。
図19A〜図19Eに、突出部36Bの突出量Hおよび内径(直径)Φをパラメータとする電子密度空間分布特性の一例(実験データ)を示す。この実験でもプラズマ吸収プローブ(PAP)を用いて半径方向の各位置における電子密度を測定した。ただし、半導体ウエハの口径を300mmとしている。主たるパラメータΦ,Hは、図19Aの実験例ではΦ=329mm、H=15mm、図19Bの実験例ではΦ=329mm、H=20mm、図19Cの実験例ではΦ=339mm、H=20mm、図19Dの実験例ではΦ=349mm、H=20mm、図19Eの実験例ではΦ=359mm、H=25mmである。また、副次的なパラメータとして、内側投入電力Piと外側投入電力Poの比率Pi/Po(RFパワー比)を(30/70)、(27/73)、(20/80)、(14/86)の4通りに選んでいる。
図19A〜図19Eの実験データから、電子密度の急激にドロップする変曲点Fが、外側上部電極36における突出部36Bの内径(直径)Φを大きくするほど半径方向外側へ移動し、突出部36Bの突出量Hを大きくするほど上がることがわかる。理想的な特性は、変曲点Fがウエハエッジ位置(150mm位置)の真上に位置し、かつ中心部側とのフラットな関係を高い位置で維持している分布特性である。その点では、図19Dの特性(Φ=349mm、H=20mm)、特にRFパワー比Pi/Poを30/70に選んだ場合の特性が最も理想値に近いといえる。
図20Aに、Φ,Hを二次元パラメータとする電子密度空間分布のトータル均一性UTおよびエッジ均一性UEの特性を示す。ここで、トータル均一性UTとは、図20Bに示すように、ウエハ中心位置(R0)からウエハエッジ位置(R150)までの半径方向全区間の面内均一性である。また、エッジ均一性UEは、ウエハエッジ付近の領域たとえば半径130mmの位置(R130)からウエハエッジ位置(R150)までの区間における面内均一性である。
図20Aの特性から、突出部36Bの突出量Hはトータル均一性UTを大きく左右し、エッジ均一性UEに対しても大きな影響力を有することがわかる。一方、突出部36Bの内径(直径)Φは、エッジ均一性Eに対して作用するものの、トータル均一性Tには殆ど影響しないことがわかる。総じて、突出部36Bの突出量Hは、25mm以下とするのが好ましく、特に20mm付近に選ぶのが最も好ましいといえる。また、突出部36Bの内径(直径)Φは、348mm〜360mmの範囲内に選ぶのが好ましく、特に349mm付近に選ぶのが最も好ましいといえる。なお、Φ=348mm〜360mmは、突出部36Bがウエハのエッジよりも半径方向外側に24mm〜30mm離れた位置に配置されることを意味する。重要なことは、外側上部電極36の突出部36Bは、プラズマ生成空間に対して周辺側から半径方向内向きの電界を与えることによりプラズマを閉じ込める作用を奏する点であり、このことからプラズマ密度空間分布特性の均一性を図るにはウエハのエッジよりも半径方向外側に位置することが必須といえるほど望ましい。一方で、突出部36Bの径方向の幅サイズは重要ではなく、任意の幅サイズに選んでよい。
図21に、第3の実施例における特徴部分の構成を示す。特徴以外の部分は、上記第2の実施例のものと同じでよい。この第3の実施例の特徴は、上記第2の実施例における外側上部電極36の突出部36B回りにシールド部材104を設ける構成である。
このシールド部材104は、たとえば表面をアルマイト処理されたアルミニウム板からなり、処理容器10の側壁に物理的かつ電気的に結合され、容器側壁から外側上部電極36の突出部36Bの下までほぼ水平に延びており、突出部36Bおよびリング形遮蔽部材42の下面を非接触または絶縁状態で覆っている。外側上部電極36の下部電極部材36Bは断面L形に構成され、外周側の部分が垂直下方に延びて突出部を形成している。この突出部の突出量Hおよび内径Φは上記第2実施例と同様の数値条件で選ばれてよい。
シールド部材104の機能は、外側上部電極36の突出部36Bの下面およびリング形遮蔽部材42の下面からの高周波放電を遮断また封印して、その直下におけるプラズマ生成を抑制することである。これにより、一次的にはプラズマをウエハ直上に閉じ込める効果を一層高めることができる。
図22Aおよび図22Bに、シールド部材104によるプラズマ閉じ込め効果の実証例(実験データ)を示す。シールド部材104を設けない場合は、図22Bに示すように、半径方向においてプラズマ電子密度がウエハエッジ位置(150mm)の外側でいったんドロップしてから再び上昇して山を形成している。これは、外側上部電極36の突出部36Bの下面およびリング形遮蔽部材42の下面から垂直下方に高周波電力が放出されることにより、その直下でもプラズマが生成され、電子やイオンが存在しているためである。このように、ウエハエッジ位置より径方向外側に相当離れた空間内に相当量のプラズマが存在することにより、そのぶんウエハ直上のプラズマ密度が薄められている。
これに対して、この実施例のようにシールド部材104を設けた場合は、図22Aに示すように、電子密度(プラズマ密度)はウエハエッジ位置(150mm)の外側では半径方向外側に向って実質的に単調減少し、逆にウエハ直上では全体的に増大している。これは、シールド部材104の存在により、外側上部電極36の突出部36Bの下面およびリング形遮蔽部材42の下面が高周波の通り路ではなくなり、その直下でのプラズマ生成が大幅に減少するためである。また、高周波電源52のRFパワーを大きくするほど、シールド部材104によるプラズマ閉じ込め効果なしプラズマ拡散防止効果も大きくなることがわかる。
さらに、二次的効果として、上記のようにシールド部材104によりウエハエッジ位置の外側でプラズマ生成を大幅に弱められることにより、その付近でのラジカルやイオン等のエッチング種も少なくし、不所望な重合膜が容器内の各部(特にシールド部材104付近)に付着するのを効果的に防止することができる。たとえば、従来より、Low-k膜(低誘電率層間絶縁膜)のエッチング加工では、プラズマエッチングの後に同一チャンバ内でO2ガスを用いてアッシング(レジスト除去)を行う際、先のプラズマエッチングで容器内にポリマーの形態で付着していた反応種(たとえばCF,F等)がプラズマ中の活性な酸素原子により誘起されてLow-k膜のビアホールをボーイング形状に削ったり膜内に侵入してk値を変える等のダメージ(Low-kダメージ)を生ずることがあった。しかし、この実施例によれば、プラズマエッチングにおける反応種の不所望な堆積をシールド部材104で効果的に抑止できるため、上記のようなLow-kダメージの問題も解決することができる。なお、シールド部材104は、任意の導電材または半導体(たとえばシリコン)を材質とすることが可能であり、異なる材質を組み合わせて構成してもよい。
図21では、上部電極34(36,38)に冷媒通路106,108を設ける構成も示している。これらの冷媒通路106,108にはそれぞれ配管110,112を介してチラー装置(図示せず)より温調された冷却媒体が循環供給される。外側上部電極36においては、上部電極部材36Aに冷媒通路106が設けられる。下部電極部材36Bは、熱コンダクタンスを高めるコーティングまたはシート102によって上部電極部材36Aに結合されているため、冷却機構による冷却を効果的に受けることができる。
なお、高周波電源52,90をオフにしている間も、各電極には冷媒が供給される。従来より、この種のプラズマ処理装置において、たとえばガルデン等の絶縁性の冷媒を使用する場合は、冷媒が冷媒通路を流れる際に摩擦で発生する静電気によって電極が異常な高電圧状態となり、高周波電源オフ中のメンテナンス等で人の手が触れると感電するおそれがあった。しかし、本発明のプラズマ処理装置においては、ローパスフィルタ92(図8)内の抵抗器93を通して内側上部電極38に発生した静電気をグランドに逃がすことが可能であり、感電の危険性を防止できる。
上記第3の実施例におけるプラズマエッチング装置(図8、図21)を使用し、シリコン酸化膜(SiO2)に開口径(Φ)0.22μmの孔を形成するエッチングにおいて、外側上部電極36および内側上部電極38に対するRF電力の投入比率(Pi/Po)をパラメータにしてエッチング特性(特にエッチングレート)を実験で評価した。他のエッチング条件は下記のとおりであり、図23〜図25に実験結果のデータを示す。
ウエハ口径=300mm
チャンバ内の圧力=20mTorr
温度(上部電極/チャンバ側壁/下部電極)=20/60/60゜C
伝熱ガス(Heガス)供給圧力(センター部/エッジ部)=20/35Torr
上部及び下部電極間距離=45mm
外側上部電極の突出量(H)=15mm
プロセスガス(C58/CH22/N2/Ar/O2)≒10/20/110/560/10sccm
高周波電力(60MHz/2MHz)≒2300W/3500W
エッチング時間=120秒
図23に示すように、内側投入電力Piの比率を14%、18%、30%と上げていくと、電子密度つまりプラズマ密度は、ウエハ中心部付近ではPiの比率に比例して高くなる一方で、ウエハエッジ部付近ではそれほど変わらない。このことから、RF電力の投入比率(Pi/Po)を可変することで、径方向におけるプラズマ密度の空間分布特性を制御できることがわかる。
図24は、RF電力の投入比率(Pi/Po)を可変したときにラジカル密度の受ける影響をみるために、ラジカル密度と比例関係にある反応生成物や反応種で形成される重合膜の堆積速度を半径方向の各位置で測定した結果を示す。なお、重合膜を堆積させるサンプル基板にベアシリコンウエハを用いた。図24の実験データから、RF電力の投入比率(Pi/Po)を可変しても、重合膜の堆積速度つまりラジカル密度の空間分布特性に与える影響は非常に少ないことが確認された。
図25は、上記SiO2のエッチングにおいてウエハ上の半径方向の各位置で測定したエッチング深さを示す。図示のように、内側投入電力Piの比率を14%、18%、30%と上げていくと、エッチング深さは、ウエハ中心部付近ではPiの比率に比例して大きくなる一方で、ウエハエッジ部付近ではそれほど変わらない。つまり、電子密度(図24)と同様の傾向を示す。
このように、図23〜図25の実験データから、本発明においては、外側上部電極36および内側上部電極38に対するRF電力の投入比率(Pi/Po)を可変することで、ラジカル密度の空間分布特性に実質的な影響を及ぼすことなく、つまりラジカル密度の空間分布制御から独立して、径方向におけるプラズマ密度の空間分布を制御し、それによってエッチング深さつまりエッチングレートの均一性を改善できることが確認された。なお、上記第1または第2の実施例におけるプラズマエッチング装置(図1、図8、図18)を使用しても、上記と同様の実験結果が得られることは明らかである。
上記第3の実施例のプラズマエッチング装置(図8、図21)でCF系の処理ガスを使用するシリコン酸化膜(SiO2)のエッチングにおいて、中心シャワーヘッド(62,56a)より噴射される処理ガスの流量FCと周辺シャワーヘッド(64,56a)より噴射される処理ガスの流量FEとの比率(FC/FE)をパラメータにして、各ラジカルまたは各反応生成物の分布をシミュレーションで評価した。このシミュレーションでは、ウエハ表面では反応が起こらず反応生成物や反応種の吸着も生じないものとし、ブランケットSiO2膜上で単純に下記の反応が起きているものと仮定した。
2CF2+SiO2→SiF4+2CO
他の主なエッチング条件は下記のとおりであり、図26〜図30に各ラジカルまたは各反応生成物についてのシミュレーション結果を示す。図31には、主エッチングガス(C48)の分子から段階的な解離によって生成されるラジカルの種類と発生率(括弧内%数字)を示している。
ウエハ口径=200mm
チャンバ内の圧力=50mTorr
温度(上部電極/チャンバ側壁/下部電極)=20/60/60゜C
伝熱ガス(Heガス)供給圧力(センター部/エッジ部)=10/35Torr
上部及び下部電極間距離=30mm
外側上部電極の突出量(H)=15mm
プロセスガス(C48/N2/Ar)≒5/120/1000sccm
高周波電力(60MHz/2MHz)≒1200W/1700W
図26に示すように、主たる反応種であるCF2の密度分布特性は、中心/周辺ガス流量比率(FC/FE)に大きく左右される。すなわち、中心ガス流量FCの比率を上げるほどウエハ中心部付近のCF2密度は高くなる一方で、ウエハエッジ部付近のCF2密度は殆ど変わらない。図28に示すように、COラジカルの密度分布特性も中心/周辺ガス流量比率(FC/FE)に対して同様の変化を示す。もっとも、図27に示すように、Arラジカルの密度分布特性は中心/周辺ガス流量比率(FC/FE)に対して殆ど変化しない。
反応生成物についてみると、図29および図30に示すように、SiF4密度およびCO密度のいずれも中心/周辺ガス流量比率(FC/FE)に大きく左右される。より詳細には、中心ガス流量FCの比率を下げるほどウエハ中心部付近のSiF4,COの密度は高くなる一方で、ウエハエッジ部付近では殆ど変わらない。中心ガス流量FCと周辺ガス流量FEを同じ(FC/FE=50/50)にしても、ウエハ中心部付近の方がウエハエッジ部付近よりも高くなる。このように中心部側に反応生成物が溜まりやすいのは、上方からの新鮮なガス流で反応生成物が側方へ押しのけられる作用が周辺部よりも弱いためである。ウエハ上で反応生成物が不均一に分布すると、各位置の処理ガス供給率や化学反応の均一性に影響するだけでなく、エッチング形状や選択性等が直接影響を受けることもある。この実施例では、図29および図30からわかるように、中心ガス流量FCを周辺ガス流量FEよりも多めに(図示の例ではFC/FE=70/30近辺に)設定することで、反応生成物の空間密度分布を均一化することができた。なお、上記第1または第2の実施例におけるプラズマエッチング装置(図1、図8、図18)を使用しても、上記と同様のシミュレーション結果が得られる。
上記第3の実施例のプラズマエッチング装置(図8、図21)を使用し、BARC(反射防止膜)のエッチングにおいて中心/周辺ガス流量比率(FC/FE)をパラメータにしてエッチング形状と選択性を評価した。図32Aに評価サンプルを示す。マスク開口径(Φ)0.12μm、フォトレジストの膜厚350nm、BARCの膜厚80nm、SiO2の膜厚700nmであり、選択性の評価項目として「オキサイドロス」と「レジスト残量」を測定し、エッチング形状または寸法精度の評価項目として「ボトムCD」を測定した。図32BにFC/FE=50/50に設定したときの各評価項目の測定値を示し、図32CにFC/FE=70/30に設定したときの各評価項目の測定値を示す。測定ポイントの「センター」はウエハの中心点の位置であり、「エッジ」はウエハのノッチ端から中心点に向って5mmの位置である。主なエッチング条件は下記のとおりである。
ウエハ口径=300mm
チャンバ内の圧力=150mTorr
伝熱ガス(Heガス)供給圧力(センター部/エッジ部)=10/25Torr
上部及び下部電極間距離=30mm
外側上部電極の突出量(H)=15mm
プロセスガス(CF4)≒200sccm
高周波電力(60MHz/2MHz)≒500W/600W
エッチング時間=30秒
このBARCエッチングの評価項目において、「オキサイドロス」はBARCエッチングの延長として下地膜のSiO2を削った深さであり、この値は小さいほど好ましいが、それ以上にウエハ上のばらつき(特にセンターとエッジ間のばらつき)が小さいほど好ましい。「レジスト残量」はエッチングの終了後に残っているフォトレジストの厚さであり、この値は大きいほど好ましく、やはりばらつきが小さいほど好ましい。「ボトムCD」はBARCに形成された孔の底の直径であり、この値はマスク径Φに近いほど好ましいが、やはりばらつきが小さいほど好ましい。
図32Bに示すように、中心ガス流量FCと周辺ガス流量FEとを同量(5:5)に設定したときは、全ての評価項目において、センターとエッジ間のばらつきが大きく、特に「レジスト残量」のばらつきが大きい。これに対して、中心ガス流量FCを周辺ガス流量FEよりも多め(7:3)に設定した場合は、図32Cに示すように、全ての評価項目が良好な値で均一に安定し、選択性およびエッチング形状が著しく改善されている。
このように、本発明によれば、処理容器10内で、特に上部電極34と下部電極16との間に設定されたプラズマ生成空間において、上部電極34の内側上部電極38に設けられた中心シャワーヘッド(62,56a)より噴射される処理ガスの流量FCと周辺シャワーヘッド(64,56a)より噴射される処理ガスの流量FEとの比率(FC/FE)を調整することで、ラジカル密度の空間分布を制御し、ラジカルベースによるエッチング特性(選択性、エッチング形状等)の均一化を達成することができる。なお、上記第1または第2の実施例におけるプラズマエッチング装置(図1、図8、図18)を使用しても、上記と同様の測定結果が得られる。
上記第3の実施例のプラズマエッチング装置(図8、図21)を使用し、SiO2膜のエッチングにおいて中心/周辺ガス流量比率(FC/FE)をパラメータにしてエッチング形状を評価した。図33Aに評価サンプルを示す。マスク開口径(Φ)0.22μm、フォトレジストの膜厚500nm、BARCの膜厚100nm、SiO2の膜厚1μmであり、エッチング形状の評価項目として「エッチング深さ」、「トップCD」、「ボトムCD」を測定した。図33BにFC/FE=50/50に設定したときの各評価項目の測定値を示し、図33CにFC/FE=10/90に設定したときの各評価項目の測定値を示す。主なエッチング条件は下記のとおりである。
ウエハ口径=300mm
チャンバ内の圧力=20mTorr
温度(上部電極/チャンバ側壁/下部電極)=20/60/60゜C
伝熱ガス(Heガス)供給圧力(センター部/エッジ部)=20/35Torr
上部及び下部電極間距離=45mm
外側上部電極の突出量(H)=15mm
プロセスガス(C58/CH22/N2/Ar/O2)≒10/20/110/560/10sccm
高周波電力(60MHz/2MHz)≒2300W/3500W
RFパワー比(内側投入電力Pi/外側投入電力Po)=30:70
エッチング時間=120秒
このSiO2エッチングの評価項目において、「エッチング深さ」は、エッチング時間(120秒)の間にSiO2膜に形成された孔の深さであり、エッチング速度に相当する。「トップCD」および「ボトムCD」は、SiO2膜に形成された孔の上端および下端(底)の直径であり、両者の値が近いほど垂直形状性(異方性)にすぐれている。もちろん、いずれの評価項目も、「センター」と「エッジ」との間でばらつきが小さいほど好ましい。
図33Bに示すように、中心ガス流量FCと周辺ガス流量FEとを同量(5:5)に設定したときは、「エッチング深さ」のばらつきがあるだけでなく各位置でボトムCD/トップCD比が小さくテーパ化の傾向が大きい。これに対して、中心ガス流量FCを周辺ガス流量FEよりも少なめ(1:9)に設定した場合は、図33Cに示すように、「エッチング深さ」つまりエッチング速度が均一化されるとともに、垂直形状性の向上と均一化も図れた。
このように、この実施例でも、内側ガス流量FCと外側ガス流量FEの比率(FC/FE)を調整することで、ラジカル密度の空間分布を制御し、ラジカルベースによるエッチング特性(特にエッチング形状)の均一化を達成できることが確認された。なお、上記第1または第2の実施例におけるプラズマエッチング装置(図1、図8、図18)を使用しても、上記と同様の測定結果が得られる。
上記のように、本発明によれば、処理容器10内に設定されたプラズマ生成空間においてプラズマ密度分布の制御とラジカル密度分布の制御とを独立に行える。このことにより、たとえば図34のマップに示すように多種多用なプラズマ処理のアプリケーションに2系統の独立制御で好適に対応することができる。
以上に述べた実施の形態および実施例は、本発明の技術思想に基づいて種々の変形が可能である。
たとえば、第1の高周波電源52からの高周波を整合器44や給電筒50等を介して外側上部電極36だけに供給し、内側上部電極38には供給しない構成も可能である。その場合でも、内側上部電極38はシャワーヘッドとして機能したり、第2の高周波電源90からの高周波をグランドへ流すための電極として機能することができる。あるいは、内側上部電極38を電極機能を有しない専用のシャワーヘッドに置き換えることも可能である。また、上記した実施形態では外側上部電極36を1つまたは単体のリング状電極で構成したが、全体でリング状に配置される複数の電極で構成することも可能である。また、外側上部電極36の内径を非常に小さくする構成や、外側上部電極36を円盤形状に構成することも可能である。また、反応性イオンエッチング(RIE)を行わないアプリケーションでは、第2の高周波電源90を省くことができる。本発明は、プラズマエッチングに限らず、プラズマCVD、プラズマ酸化、プラズマ窒化、スパッタリングなど種々のプラズマ処理に適用可能である。また、本発明における被処理基板は半導体ウエハに限るものではなく、フラットパネルディスプレイ用の各種基板や、フォトマスク、CD基板、プリント基板等も可能である。
本発明の第1の実施例におけるプラズマエッチング装置の構成を示す縦断面図である。 図1のプラズマエッチング装置の要部の構成を示す部分拡大断面図である。 実施例におけるプラズマ生成手段の要部の等価回路を示す回路図である。 実施例における電界強度バランス調整機能による電界強度(相対値)分布特性を示す図である。 実施例における電界強度バランス調整機能による電界強度比率特性を示す図である。 実施例における電子密度の空間分布特性を示す図である。 実施例におけるエッチングレートの空間分布特性を示す図である。 第2の実施例におけるプラズマエッチング装置の構成を示す断面図である。 実施例におけるエッチングレートの空間分布特性を示す図である。 実施例におけるエッチングレートの空間分布特性を示す図である。 実施例における可変キャパシタンス−内側投入電力特性を示す図である。 実施例におけるプラズマ生成用の高周波給電回路の等価回路を示す回路図である。 実施例において上部給電棒の回りに設けられる導体部材の作用を示す図である。 実施例で得られる可変キャパシタンス−ボトム自己バイアス電圧特性を示す図である。 実施例におけるローパスフィルタの回路構成を示す図である。 実施例におけるローパスフィルタ内の抵抗の作用を示す図である。 実施例におけるローパスフィルタ内の抵抗値の最適範囲を示す図である。 第3の実施例におけるプラズマエッチング装置の要部の構成を示す縦断面図である。 実施例における上部電極突出部の内径および突出量をパラメータとする電子密度空間分布特性を示す図である。 実施例における上部電極突出部の内径および突出量を二次元パラメータとする電子密度均一性の特性曲線を示す図である。 第4の実施例におけるプラズマエッチング装置の要部の構成を示す縦断面図である。 実施例におけるシールド部材の作用を実証するための電子密度の空間分布特性を示す図である。 実施例において内側/外側投入パワー比をパラメータとする電子密度の空間分布特性を示す図である。 実施例において内側/外側投入パワー比をパラメータとする重合膜堆積速度の空間分布特性を示す図である。 実施例において内側/外側投入パワー比をパラメータとするエッチング深さの空間分布特性を示す図である。 実施例において中心/周辺ガス流量比をパラメータとするCF2ラジカル密度の空間分布特性を示す図である。 実施例において中心/周辺ガス流量比をパラメータとするArラジカル密度の空間分布特性を示す図である。 実施例において中心/周辺ガス流量比をパラメータとするN2ラジカル密度の空間分布特性を示す図である。 実施例において中心/周辺ガス流量比をパラメータとするSiF4反応生成物の空間分布特性を示す図である。 実施例において中心/周辺ガス流量比をパラメータとするCO反応生成物の空間分布特性を示す図である。 実施例のシミュレーションにおけるラジカル生成(解離)の仕組みを示す図である。 実施例におけるBARCエッチングの評価モデルおよび測定データを示す図である。 実施例におけるSiO2エッチングの評価モデルおよび測定データを示す図である。 本発明によるプラズマ密度分布およびラジカル密度分布の2系統独立制御の適用例をマップ形式で示す図である。
符号の説明
10 チャンバ(処理容器)
16 サセプタ(下部電極)
34 上部電極
36 外側上部電極
36A 上部電極部材
36B 下部電極部材
38 内側上部電極
40 誘電体
44 整合器
48 コネクタ
50 給電筒
52 第1の高周波電源
56 電極板
58 電極支持板
60 環状隔壁部材
62 中心ガス導入室
(62,56a) 中心シャワーヘッド
64 周辺ガス導入室
(64,56a) 周辺シャワーヘッド
66 処理ガス供給源
68 ガス供給管
70a,70b 流量制御弁
84 排気装置
90 第2の高周波電源
92 ローパスフィルタ
93 可変抵抗器
94 ハイパスフィルタ
100 導体部材
102 シールド部材

Claims (21)

  1. 処理ガスをプラズマ化し、プラズマに曝される被処理体に所望の微細加工を施すプラズマ処理方法であって、
    前記被処理体に対して前記プラズマの密度の空間分布と前記プラズマ中のラジカルの密度の空間分布とを独立に制御して、前記被処理体の被処理面全体にわたって所望の処理状態を得るプラズマ処理方法。
  2. 所定のプラズマ生成空間で処理ガスをプラズマ化し、前記プラズマ生成空間に配置した被処理体に所望の微細加工を施すプラズマ処理方法であって、
    前記被処理体と対向する対向面側から前記被処理体に対して前記プラズマの密度の空間分布と前記プラズマ中のラジカルの密度の空間分布とを独立に制御して、前記被処理体の被処理面全体にわたって所望の処理状態を得るプラズマ処理方法。
  3. 前記対向面に、前記プラズマ密度の空間分布を制御するための第1および第2の高周波放電領域と、前記ラジカル密度の空間分布を制御するための第1および第2の処理ガス噴出領域とを独立したレイアウトで設定する請求項2に記載のプラズマ処理方法。
  4. 前記被処理体の中心部を通る垂線を中心とする径方向において前記対向面を周辺側の領域と中心側の領域とに2分割して、前記周辺側領域および前記中心側領域をそれぞれ前記第1および第2の高周波放電領域とし、
    前記第2の高周波放電領域内で前記対向面を前記径方向で周辺側の領域と中心側の領域とに2分割して、前記周辺側領域および前記中心側領域をそれぞれ前記第1および第2の処理ガス噴出領域とする請求項3に記載のプラズマ処理方法。
  5. 前記第1の高周波放電領域を前記被処理体の外周端よりも径方向外側に配置する請求項4に記載のプラズマ処理方法。
  6. 単一の高周波電源より出力される高周波を所望の割合で分割して前記第1の高周波放電領域と前記第2の高周波放電領域とから放電させる請求項3に記載のプラズマ処理方法。
  7. 単一の処理ガス供給源より送出される処理ガスを所望の割合で分割して前記第1の処理ガス噴出領域と前記第2の処理ガス噴出領域とから噴出させる請求項3に記載のプラズマ処理方法。
  8. 前記第1および第2の処理ガス噴出領域より単位面積当たりの流量を異ならせて前記処理ガスを噴出させる請求項7に記載のプラズマ処理方法。
  9. 前記第1の処理ガス噴出領域より前記処理ガスを第1のガス混合比で噴出させ、前記第2の処理ガス噴出領域より前記処理ガスを第2のガス混合比で噴出させる請求項7に記載のプラズマ処理方法。
  10. 前記被処理体の被処理面の各部における加工速度が主として前記プラズマ密度の空間分布にしたがって制御され、前記被処理体の被処理面の各部における加工の選択性および加工形状の少なくとも1つが主として前記ラジカル密度の空間分布にしたがって制御される請求項1に記載のプラズマ処理方法。
  11. 前記対向面に、高周波を印加される高周波電極を用いる請求項2に記載のプラズマ処理方法。
  12. 真空可能な処理容器内に設定された所定のプラズマ生成空間で処理ガスをプラズマ化し、前記プラズマ生成空間に配置した被処理体に所望の微細加工を施すプラズマ処理装置であって、
    前記被処理体に対して前記プラズマの密度の空間分布を制御するためのブラズマ密度制御部と、
    前記被処理体に対して前記プラズマ密度の空間分布から独立して前記プラズマ中のラジカルの密度の空間分布を制御するためのラジカル密度制御部と
    を有するプラズマ処理装置。
  13. 前記被処理体と対向して前記プラズマ生成空間と接する対向面に、前記ブラズマ密度制御手段の第1および第2の高周波放電領域と前記ラジカル密度制御部の第1および第2の処理ガス噴出領域とを独立したレイアウトで設ける請求項12記載のプラズマ処理装置。
  14. 前記被処理体の中心部を通る垂線を中心とする径方向において前記対向面を周辺側の領域と中心側の領域とに2分割して、前記周辺側領域および前記中心側領域をそれぞれ前記第1および第2の高周波放電領域とし、
    前記第2の高周波放電領域内で前記対向面を前記径方向で周辺側の領域と中心側の領域とに2分割して、前記周辺側領域および前記中心側領域をそれぞれ前記第1および第2の処理ガス噴出領域とする請求項13に記載のプラズマ処理装置。
  15. 前記第1の高周波放電領域を前記被処理体の外周端よりも径方向外側に配置する請求項14に記載のプラズマ処理方法。
  16. 前記第1の処理ガス噴出領域より前記処理ガスを第1のガス混合比で噴出させ、前記第2の処理ガス噴出領域より前記処理ガスを第2のガス混合比で噴出させる請求項14に記載のプラズマ処理方法。
  17. 前記第1および第2の高周波放電領域より高周波電力を所望の割合で前記プラズマ空間に向けて放電し、
    前記第1および第2の処理ガス噴出領域より前記処理ガスを所望の割合で前記プラズマ空間に向けて噴出する請求項13に記載のプラズマ処理装置。
  18. 一定周波数の高周波を出力する高周波電源と、
    前記高周波電源からの前記高周波を所望の割合で分割して前記第1および第2の高周波放電領域に伝送する高周波分配部と、
    前記処理ガスを供給するための処理ガス供給源と、
    前記処理ガス供給源からの前記処理ガスを所望の割合で分割して前記第1および第2の処理ガス噴出領域に送る処理ガス分配部と
    を有する請求項17に記載のプラズマ処理装置。
  19. 前記高周波分配部が、前記高周波電源から前記第1の高周波放電領域までの第1の給電回路のインピーダンスと前記高周波電源から前記第2の高周波放電領域までの第2の給電回路のインピーダンスの少なくとも1つを可変制御するためのインピーダンス制御部を有する請求項17に記載のプラズマ処理装置。
  20. 前記第1および第2の高周波放電領域が、互いに電気的に絶縁された第1および第2の電極でそれぞれ構成される請求項12に記載のプラズマ処理装置。
  21. 前記第1および第2の処理ガス噴出領域が、前記第2の電極に設けられた多数の処理ガス噴出孔を有する請求項20に記載のプラズマ処理装置。
JP2003358432A 2002-11-26 2003-10-17 プラズマ処理方法及びプラズマ処理装置 Expired - Fee Related JP4753276B2 (ja)

Priority Applications (9)

Application Number Priority Date Filing Date Title
JP2003358432A JP4753276B2 (ja) 2002-11-26 2003-10-17 プラズマ処理方法及びプラズマ処理装置
CNB2003801042942A CN100416773C (zh) 2002-11-26 2003-11-25 等离子体处理方法和装置
KR1020057009444A KR100652982B1 (ko) 2002-11-26 2003-11-25 플라즈마 처리 방법 및 장치
PCT/JP2003/015029 WO2004049419A1 (ja) 2002-11-26 2003-11-25 プラズマ処理方法及び装置
AU2003284683A AU2003284683A1 (en) 2002-11-26 2003-11-25 Plasma processing method and apparatus
TW092133236A TW200416793A (en) 2002-11-26 2003-11-26 Plasma processing apparatus and method
US11/137,673 US20060000803A1 (en) 2002-11-26 2005-05-26 Plasma processing method and apparatus
US12/607,828 US20100043974A1 (en) 2002-11-26 2009-10-28 Plasma processing method and apparatus
US13/108,612 US8512510B2 (en) 2002-11-26 2011-05-16 Plasma processing method and apparatus

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP2002341949 2002-11-26
JP2002341949 2002-11-26
JP2003358432A JP4753276B2 (ja) 2002-11-26 2003-10-17 プラズマ処理方法及びプラズマ処理装置

Publications (2)

Publication Number Publication Date
JP2004193567A true JP2004193567A (ja) 2004-07-08
JP4753276B2 JP4753276B2 (ja) 2011-08-24

Family

ID=32396266

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2003358432A Expired - Fee Related JP4753276B2 (ja) 2002-11-26 2003-10-17 プラズマ処理方法及びプラズマ処理装置

Country Status (7)

Country Link
US (3) US20060000803A1 (ja)
JP (1) JP4753276B2 (ja)
KR (1) KR100652982B1 (ja)
CN (1) CN100416773C (ja)
AU (1) AU2003284683A1 (ja)
TW (1) TW200416793A (ja)
WO (1) WO2004049419A1 (ja)

Cited By (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006032954A (ja) * 2004-07-12 2006-02-02 Applied Materials Inc 低インダクタンスプラズマチャンバーのための装置及び方法
JP2006066905A (ja) * 2004-07-30 2006-03-09 Tokyo Electron Ltd プラズマ処理装置及びプラズマ処理方法
JP2006286814A (ja) * 2005-03-31 2006-10-19 Tokyo Electron Ltd プラズマ処理装置
JP2007005491A (ja) * 2005-06-22 2007-01-11 Tokyo Electron Ltd 電極アッセンブリ及びプラズマ処理装置
JP2007146270A (ja) * 2005-10-31 2007-06-14 Tokyo Electron Ltd ガス供給装置及び基板処理装置
KR100901122B1 (ko) 2006-12-28 2009-06-08 주식회사 케이씨텍 기판 표면처리장치 및 그 방법
US7674393B2 (en) 2005-03-25 2010-03-09 Tokyo Electron Limited Etching method and apparatus
JP2012518253A (ja) * 2009-02-13 2012-08-09 アプライド マテリアルズ インコーポレイテッド プラズマチャンバ電極のためのrf母線およびrf帰還母線
US8431035B2 (en) 2004-07-30 2013-04-30 Tokyo Electron Limited Plasma processing apparatus and method
WO2014149258A1 (en) * 2013-03-15 2014-09-25 Applied Materials, Inc. Apparatus and method for tuning a plasma profile using a tuning electrode in a processing chamber
US8845853B2 (en) 2007-03-26 2014-09-30 Tokyo Electron Limited Substrate processing apparatus and substrate processing method
JP2016506592A (ja) * 2012-11-19 2016-03-03 東京エレクトロン株式会社 均一なプラズマ密度を有する容量結合プラズマ装置
US9520276B2 (en) 2005-06-22 2016-12-13 Tokyo Electron Limited Electrode assembly and plasma processing apparatus
KR20170101318A (ko) * 2012-10-26 2017-09-05 어플라이드 머티어리얼스, 인코포레이티드 Pecvd 장치 및 프로세스
US10032608B2 (en) 2013-03-27 2018-07-24 Applied Materials, Inc. Apparatus and method for tuning electrode impedance for high frequency radio frequency and terminating low frequency radio frequency to ground

Families Citing this family (40)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4753276B2 (ja) * 2002-11-26 2011-08-24 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
JP4550507B2 (ja) * 2004-07-26 2010-09-22 株式会社日立ハイテクノロジーズ プラズマ処理装置
US7250373B2 (en) * 2004-08-27 2007-07-31 Applied Materials, Inc. Method and apparatus for etching material layers with high uniformity of a lateral etch rate across a substrate
KR100744121B1 (ko) * 2006-01-11 2007-08-01 삼성전자주식회사 반도체 기판의 처리 방법
US8187415B2 (en) * 2006-04-21 2012-05-29 Applied Materials, Inc. Plasma etch reactor with distribution of etch gases across a wafer surface and a polymer oxidizing gas in an independently fed center gas zone
US8231799B2 (en) * 2006-04-28 2012-07-31 Applied Materials, Inc. Plasma reactor apparatus with multiple gas injection zones having time-changing separate configurable gas compositions for each zone
US20080006205A1 (en) * 2006-07-10 2008-01-10 Douglas Keil Apparatus and Method for Controlling Plasma Potential
US8268116B2 (en) * 2007-06-14 2012-09-18 Lam Research Corporation Methods of and apparatus for protecting a region of process exclusion adjacent to a region of process performance in a process chamber
JP5065787B2 (ja) * 2007-07-27 2012-11-07 東京エレクトロン株式会社 プラズマエッチング方法、プラズマエッチング装置、および記憶媒体
JP5474291B2 (ja) * 2007-11-05 2014-04-16 株式会社アルバック アッシング装置
US8221582B2 (en) 2008-07-07 2012-07-17 Lam Research Corporation Clamped monolithic showerhead electrode
US8161906B2 (en) 2008-07-07 2012-04-24 Lam Research Corporation Clamped showerhead electrode assembly
US8206506B2 (en) * 2008-07-07 2012-06-26 Lam Research Corporation Showerhead electrode
US8402918B2 (en) * 2009-04-07 2013-03-26 Lam Research Corporation Showerhead electrode with centering feature
US8272346B2 (en) 2009-04-10 2012-09-25 Lam Research Corporation Gasket with positioning feature for clamped monolithic showerhead electrode
US8475673B2 (en) * 2009-04-24 2013-07-02 Lam Research Company Method and apparatus for high aspect ratio dielectric etch
WO2011021539A1 (ja) * 2009-08-20 2011-02-24 東京エレクトロン株式会社 プラズマ処理装置とプラズマ処理方法
TWM412457U (en) * 2009-09-18 2011-09-21 Lam Res Corp Showerhead electrode for use in a plasma reaction chamber and showerhead electrode assembly
JP3160877U (ja) * 2009-10-13 2010-07-15 ラム リサーチ コーポレーションLam Research Corporation シャワーヘッド電極アセンブリの端部クランプ留めおよび機械固定される内側電極
JP2012004160A (ja) * 2010-06-14 2012-01-05 Tokyo Electron Ltd 基板処理方法及び基板処理装置
CN103003924B (zh) * 2010-06-28 2015-07-08 东京毅力科创株式会社 等离子体处理装置及方法
US8573152B2 (en) 2010-09-03 2013-11-05 Lam Research Corporation Showerhead electrode
JP5709505B2 (ja) * 2010-12-15 2015-04-30 東京エレクトロン株式会社 プラズマ処理装置、プラズマ処理方法、および記憶媒体
CN102590924B (zh) * 2011-01-07 2014-08-20 志圣工业股份有限公司 导光板制造方法、导光板及罩板
TWI565527B (zh) * 2011-12-26 2017-01-11 鴻海精密工業股份有限公司 電漿成膜裝置
JP6120527B2 (ja) * 2012-11-05 2017-04-26 東京エレクトロン株式会社 プラズマ処理方法
US20170002465A1 (en) 2015-06-30 2017-01-05 Lam Research Corporation Separation of Plasma Suppression and Wafer Edge to Improve Edge Film Thickness Uniformity
TWI677009B (zh) * 2016-01-24 2019-11-11 美商應用材料股份有限公司 雙端饋電可調諧電漿源
US9716005B1 (en) 2016-03-18 2017-07-25 Applied Materials, Inc. Plasma poisoning to enable selective deposition
US10991591B2 (en) * 2018-01-29 2021-04-27 Ulvac, Inc. Reactive ion etching apparatus
US20190393053A1 (en) * 2018-06-20 2019-12-26 Applied Materials, Inc. Etching apparatus
US11688586B2 (en) 2018-08-30 2023-06-27 Tokyo Electron Limited Method and apparatus for plasma processing
JP7345382B2 (ja) * 2018-12-28 2023-09-15 東京エレクトロン株式会社 プラズマ処理装置及び制御方法
US11450545B2 (en) 2019-04-17 2022-09-20 Samsung Electronics Co., Ltd. Capacitively-coupled plasma substrate processing apparatus including a focus ring and a substrate processing method using the same
KR102214333B1 (ko) 2019-06-27 2021-02-10 세메스 주식회사 기판 처리 장치 및 기판 처리 방법
US10954595B2 (en) * 2019-07-30 2021-03-23 Applied Materials, Inc. High power showerhead with recursive gas flow distribution
GB202001781D0 (en) * 2020-02-10 2020-03-25 Spts Technologies Ltd Pe-Cvd apparatus and method
KR102592414B1 (ko) * 2020-11-23 2023-10-20 세메스 주식회사 전극 제어 유닛을 구비하는 기판 처리 장치
CN114695051A (zh) * 2020-12-31 2022-07-01 拓荆科技股份有限公司 半导体处理设备及方法
KR20240063924A (ko) * 2021-09-29 2024-05-10 램 리써치 코포레이션 에지 용량성 커플링 플라즈마 (capacitively coupled plasma, ccp) 챔버 구조체

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0637051A (ja) * 1992-07-15 1994-02-10 Tokyo Electron Ltd プラズマ装置
WO1996019096A1 (fr) * 1994-12-16 1996-06-20 Hitachi, Ltd. Procede et dispositif de traitement au plasma

Family Cites Families (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5160543A (en) * 1985-12-20 1992-11-03 Canon Kabushiki Kaisha Device for forming a deposited film
US5707486A (en) * 1990-07-31 1998-01-13 Applied Materials, Inc. Plasma reactor using UHF/VHF and RF triode source, and process
US6165311A (en) * 1991-06-27 2000-12-26 Applied Materials, Inc. Inductively coupled RF plasma reactor having an overhead solenoidal antenna
JPH05136098A (ja) 1991-11-15 1993-06-01 Seiko Epson Corp 半導体装置の製造装置及び半導体装置の製造方法
JP3343944B2 (ja) * 1992-07-17 2002-11-11 株式会社村田製作所 アクティブ帯域通過フィルタ
US5580429A (en) * 1992-08-25 1996-12-03 Northeastern University Method for the deposition and modification of thin films using a combination of vacuum arcs and plasma immersion ion implantation
US5680013A (en) * 1994-03-15 1997-10-21 Applied Materials, Inc. Ceramic protection for heated metal surfaces of plasma processing chamber exposed to chemically aggressive gaseous environment therein and method of protecting such heated metal surfaces
US6042686A (en) * 1995-06-30 2000-03-28 Lam Research Corporation Power segmented electrode
US6145311A (en) * 1995-11-03 2000-11-14 Cyphelly; Ivan Pneumo-hydraulic converter for energy storage
US5683548A (en) * 1996-02-22 1997-11-04 Motorola, Inc. Inductively coupled plasma reactor and process
TW335517B (en) * 1996-03-01 1998-07-01 Hitachi Ltd Apparatus and method for processing plasma
JPH10134995A (ja) 1996-10-28 1998-05-22 Toshiba Corp プラズマ処理装置及びプラズマ処理方法
US6294026B1 (en) * 1996-11-26 2001-09-25 Siemens Aktiengesellschaft Distribution plate for a reaction chamber with multiple gas inlets and separate mass flow control loops
JPH10172792A (ja) * 1996-12-05 1998-06-26 Tokyo Electron Ltd プラズマ処理装置
TW418461B (en) * 1997-03-07 2001-01-11 Tokyo Electron Ltd Plasma etching device
JP3726477B2 (ja) 1998-03-16 2005-12-14 株式会社日立製作所 プラズマ処理装置及びプラズマ処理方法
US20010037770A1 (en) * 2000-04-27 2001-11-08 Toru Otsubo Plasma processing apparatus and processing method
JP3704023B2 (ja) 1999-04-28 2005-10-05 株式会社日立製作所 プラズマ処理装置及びプラズマ処理方法
JP4831853B2 (ja) 1999-05-11 2011-12-07 東京エレクトロン株式会社 容量結合型平行平板プラズマエッチング装置およびそれを用いたプラズマエッチング方法
JP4322350B2 (ja) 1999-05-06 2009-08-26 東京エレクトロン株式会社 プラズマ処理装置
JP4454718B2 (ja) 1999-05-07 2010-04-21 東京エレクトロン株式会社 プラズマ処理装置およびそれに用いられる電極
JP2001023959A (ja) * 1999-07-05 2001-01-26 Mitsubishi Electric Corp プラズマ処理装置
JP4388627B2 (ja) 1999-07-05 2009-12-24 東京エレクトロン株式会社 処理装置
TW580735B (en) * 2000-02-21 2004-03-21 Hitachi Ltd Plasma treatment apparatus and treating method of sample material
JP2001313286A (ja) 2000-02-24 2001-11-09 Tokyo Electron Ltd 平行平板型ドライエッチング装置
US6894245B2 (en) * 2000-03-17 2005-05-17 Applied Materials, Inc. Merie plasma reactor with overhead RF electrode tuned to the plasma with arcing suppression
US7030335B2 (en) * 2000-03-17 2006-04-18 Applied Materials, Inc. Plasma reactor with overhead RF electrode tuned to the plasma with arcing suppression
JP2001267305A (ja) * 2000-03-17 2001-09-28 Hitachi Ltd プラズマ処理装置
AU2001251216A1 (en) * 2000-03-30 2001-10-15 Tokyo Electron Limited Optical monitoring and control system and method for plasma reactors
WO2002067313A1 (fr) 2001-02-23 2002-08-29 Tokyo Electron Limited Procede et dispositif de gravure au plasma
US6887341B2 (en) * 2001-11-13 2005-05-03 Tokyo Electron Limited Plasma processing apparatus for spatial control of dissociation and ionization
US6849245B2 (en) * 2001-12-11 2005-02-01 Catalytic Materials Llc Catalysts for producing narrow carbon nanostructures
JP4753276B2 (ja) * 2002-11-26 2011-08-24 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0637051A (ja) * 1992-07-15 1994-02-10 Tokyo Electron Ltd プラズマ装置
WO1996019096A1 (fr) * 1994-12-16 1996-06-20 Hitachi, Ltd. Procede et dispositif de traitement au plasma

Cited By (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006032954A (ja) * 2004-07-12 2006-02-02 Applied Materials Inc 低インダクタンスプラズマチャンバーのための装置及び方法
US7570130B2 (en) 2004-07-12 2009-08-04 Applied Materials, Inc. Apparatus and methods for a fixed impedance transformation network for use in connection with a plasma chamber
JP4699127B2 (ja) * 2004-07-30 2011-06-08 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
JP2006066905A (ja) * 2004-07-30 2006-03-09 Tokyo Electron Ltd プラズマ処理装置及びプラズマ処理方法
US8431035B2 (en) 2004-07-30 2013-04-30 Tokyo Electron Limited Plasma processing apparatus and method
US8986493B2 (en) 2005-03-25 2015-03-24 Tokyo Electron Limited Etching apparatus
US8361275B2 (en) 2005-03-25 2013-01-29 Tokyo Electron Limited Etching apparatus
US7674393B2 (en) 2005-03-25 2010-03-09 Tokyo Electron Limited Etching method and apparatus
JP4704088B2 (ja) * 2005-03-31 2011-06-15 東京エレクトロン株式会社 プラズマ処理装置
JP2006286814A (ja) * 2005-03-31 2006-10-19 Tokyo Electron Ltd プラズマ処理装置
US9520276B2 (en) 2005-06-22 2016-12-13 Tokyo Electron Limited Electrode assembly and plasma processing apparatus
JP2007005491A (ja) * 2005-06-22 2007-01-11 Tokyo Electron Ltd 電極アッセンブリ及びプラズマ処理装置
JP2007146270A (ja) * 2005-10-31 2007-06-14 Tokyo Electron Ltd ガス供給装置及び基板処理装置
KR100901122B1 (ko) 2006-12-28 2009-06-08 주식회사 케이씨텍 기판 표면처리장치 및 그 방법
US8845853B2 (en) 2007-03-26 2014-09-30 Tokyo Electron Limited Substrate processing apparatus and substrate processing method
JP2012518253A (ja) * 2009-02-13 2012-08-09 アプライド マテリアルズ インコーポレイテッド プラズマチャンバ電極のためのrf母線およびrf帰還母線
KR20210047362A (ko) * 2012-10-26 2021-04-29 어플라이드 머티어리얼스, 인코포레이티드 Pecvd 장치 및 프로세스
KR20170101318A (ko) * 2012-10-26 2017-09-05 어플라이드 머티어리얼스, 인코포레이티드 Pecvd 장치 및 프로세스
KR102009955B1 (ko) * 2012-10-26 2019-08-12 어플라이드 머티어리얼스, 인코포레이티드 Pecvd 장치 및 프로세스
US10793954B2 (en) 2012-10-26 2020-10-06 Applied Materials, Inc. PECVD process
KR102333219B1 (ko) * 2012-10-26 2021-12-01 어플라이드 머티어리얼스, 인코포레이티드 Pecvd 장치 및 프로세스
US11613812B2 (en) 2012-10-26 2023-03-28 Applied Materials, Inc. PECVD process
US11898249B2 (en) 2012-10-26 2024-02-13 Applied Materials, Inc. PECVD process
JP2016506592A (ja) * 2012-11-19 2016-03-03 東京エレクトロン株式会社 均一なプラズマ密度を有する容量結合プラズマ装置
US9865431B2 (en) 2013-03-15 2018-01-09 Applied Materials, Inc. Apparatus and method for tuning a plasma profile using a tuning electrode in a processing chamber
US10347465B2 (en) 2013-03-15 2019-07-09 Applied Materials, Inc. Apparatus and method for tuning a plasma profile using a tuning electrode in a processing chamber
WO2014149258A1 (en) * 2013-03-15 2014-09-25 Applied Materials, Inc. Apparatus and method for tuning a plasma profile using a tuning electrode in a processing chamber
US10032608B2 (en) 2013-03-27 2018-07-24 Applied Materials, Inc. Apparatus and method for tuning electrode impedance for high frequency radio frequency and terminating low frequency radio frequency to ground

Also Published As

Publication number Publication date
TWI333229B (ja) 2010-11-11
TW200416793A (en) 2004-09-01
JP4753276B2 (ja) 2011-08-24
US20100043974A1 (en) 2010-02-25
KR100652982B1 (ko) 2006-12-01
US20060000803A1 (en) 2006-01-05
CN100416773C (zh) 2008-09-03
US20110214813A1 (en) 2011-09-08
KR20050086831A (ko) 2005-08-30
WO2004049419A1 (ja) 2004-06-10
AU2003284683A1 (en) 2004-06-18
CN1717790A (zh) 2006-01-04
US8512510B2 (en) 2013-08-20

Similar Documents

Publication Publication Date Title
JP4753276B2 (ja) プラズマ処理方法及びプラズマ処理装置
JP4584565B2 (ja) プラズマ処理装置及びプラズマ処理方法
JP4482308B2 (ja) プラズマ処理装置及びプラズマ処理方法
TWI505354B (zh) Dry etching apparatus and dry etching method
US6320320B1 (en) Method and apparatus for producing uniform process rates
JP4454781B2 (ja) プラズマ処理装置
KR102036950B1 (ko) 플라즈마 처리 방법
JP2016506592A (ja) 均一なプラズマ密度を有する容量結合プラズマ装置
TWI791615B (zh) 電漿處理裝置
TWI815822B (zh) 電漿處理裝置及電漿處理方法
JPH06283470A (ja) プラズマ処理装置
JP3868925B2 (ja) プラズマ処理装置
KR20190052633A (ko) 에칭 방법
JP5367000B2 (ja) プラズマ処理装置
TWI846723B (zh) 蝕刻方法及電漿處理裝置

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20061016

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20091208

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100204

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20101214

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110310

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20110322

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20110517

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20110519

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140603

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Ref document number: 4753276

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees