JPH0891989A - 化学蒸着に関する改良 - Google Patents

化学蒸着に関する改良

Info

Publication number
JPH0891989A
JPH0891989A JP7147781A JP14778195A JPH0891989A JP H0891989 A JPH0891989 A JP H0891989A JP 7147781 A JP7147781 A JP 7147781A JP 14778195 A JP14778195 A JP 14778195A JP H0891989 A JPH0891989 A JP H0891989A
Authority
JP
Japan
Prior art keywords
chamber
precursor
reaction
reaction chamber
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP7147781A
Other languages
English (en)
Other versions
JP3442536B2 (ja
Inventor
John Alfred Crawley
アルフレッド クローリー ジョン
Victor John Saywell
ジョン セイウェル ヴィクター
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Thomas Swan and Co Ltd
Original Assignee
Thomas Swan and Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Thomas Swan and Co Ltd filed Critical Thomas Swan and Co Ltd
Publication of JPH0891989A publication Critical patent/JPH0891989A/ja
Application granted granted Critical
Publication of JP3442536B2 publication Critical patent/JP3442536B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45514Mixing in close vicinity to the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45572Cooled nozzles
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/14Feed and outlet means for the gases; Modifying the flow of the reactive gases

Landscapes

  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Mechanical Engineering (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Crystals, And After-Treatments Of Crystals (AREA)

Abstract

(57)【要約】 【目的】 気体状の先駆物質を反応チャンバに別々に導
入し、次いで、それらを混合し、加熱された基板に近接
した位置で均質な混合物を形成し、所望の蒸着を起こす
ことを目的とする。 【構成】 化学蒸着反応は、蒸着すべき材料用の第1先
駆物質及び第2先駆物質が、それらを反応チャンバに入
る前に冷却する複数の独立したディスクリートパス(2
1、24)に沿って、反応容器(5)に導入されること
により行われる。先駆物質が、加熱された基板(4)が
収容された反応チャンバ内で混合され、基板の上に材料
を蒸着するように反応する。

Description

【発明の詳細な説明】
【0001】
【産業上の利用分野】本発明は化学蒸着に関し、より詳
細には、これに限定されるものではないが、金属有機化
学蒸着(MOCVD) に関する。
【0002】
【従来技術】MOCVD は、通常、半導体、及び、GaAs, Ga
AlAs, InP 及び、InGaAlP 等の高温超伝導化合物のエピ
タキシアル成長を達成するために使用される周知の技術
である。そのような化合物を製造するとき、適当な先駆
物質が混合され、更に、適当な基板の存在下で加熱さ
れ、化合物が先駆物質の反応によって形成され、蒸着層
の結晶配向が基板のそれと同じであるように基板の上に
蒸着される。結果物の材料は、電気的、及び、発光ダイ
オード(LEDs)及びレーザーダイオードの製造を含む光電
子の、幅広い範囲で応用される。これらのデバイスの波
長は、蒸着された材料の帯域ギャップによって決定さ
れ、その化合物に依存する。一般的に、Ga、Al、 In 、
P、及び、Asを含有する組成物では、発光は、緑から中
赤外に延びるスペクトル範囲内である。更に、スペクト
ルの青色の範囲での発光の要件がある。しかし、特に、
ガリウム窒化物が理論上、適当であるにもかかわらず、
III−V族半導体領域内で、デバイスを製造するのは
技術上、困難である。従って、他の材料系が、SiC 及び
ZnSe等の青いデバイスを製造するのに使用されてきた。
これらの材料は、あまり効率的ではないが、波長にかか
わらず、同じ基本材料系から、あらゆるデバイスを製造
することができるという利点がある。
【0003】種々の幾何学的構成を有するMOCVD 用の反
応容器が提供されていることが知られている。例えば、
ある種の反応容器では、一端に、気体状の先駆物質が混
合される流入ゾーンを有し、更に、混合された先駆物質
及び流入ゾーンからの搬送ガスが、基板の上を通ること
ができるように、加熱された水平方向に配置された基板
を収容する反応チャンバを有した水平管が設けられてい
る。先駆物質は、反応チャンバ内で分解され、基板にエ
ピタキシアルで蒸着される。また、他の構成では、反応
容器は、垂直方向の管を有し、気体状の先駆物質の混合
物が、上方の流入ゾーンで導入される。気体混合物は、
次いで、管を下方に、また、反応チャンバ内に位置決め
された水平方向に配置された基板の上に、次いで、管の
底部の流出ゾーンの排気ポートへと流れる。また、基板
が厚みの均一性及び蒸着層の組成を改善するように回転
される、多数のウエハのデザインを提供することが知ら
れている。
【0004】
【発明が解決しようとする課題】一般的に、使用される
先駆物質は、先駆物質混合物が加熱される前には、互い
に反応しない。かくして、先駆物質の良好な混合(基本
的には、蒸着層の均質性のために欠かすことができな
い)が、混合物が加熱され、所望の材料を形成する前に
達成される。従って、そのような従来の反応容器におい
ては、気体状の先駆物質は、加熱された基板から遠く離
れて、反応容器の冷たい部分内で混合され、次いで、共
に、導管に沿って、反応チャンバ内に位置決めされた加
熱された基板へと搬送される。均一な厚み及び成分を有
する良質なGa、In、As、及び、P の成長を、Ga、In、A
s、及び、P を含有する適当な気体状の先駆物質が混合
され、その混合物が、次いで、基板に近接して位置決め
された何百もの孔を通して、基板を収容している反応チ
ャンバに注入される水冷直結式インゼクターを使用する
ことにより達成することができることが報告されいる。
しかし、この技術は、基板から遠く離れて先駆物質を混
合することを伴うので、先駆物質が基板に到達する前に
反応してしまい、蒸着材料を制御するのを困難にするガ
リウム窒化物等の製造には適していない。
【0005】
【課題を解決するための手段】本発明の目的は、気体状
の先駆物質を反応チャンバに別々に導入し、次いで、そ
れらを混合し、加熱された基板に近接した位置で均質な
混合物を形成し、所望の蒸着を起こすことにより、上記
の欠点を解決することにある。従って、本発明の第1の
観点は、第1及び第2の気体状の先駆物質から、化学蒸
着によって材料を作り出すための反応容器を提供する。
該反応容器は、(1)前記材料が前記先駆物質の反応に
よって蒸着されるべき、加熱された基板を収容するため
の反応チャンバと、(2)第1先駆物質用の第1チャン
バと、(3)第2先駆物質用の第2チャンバと、(4)
第1チャンバを反応チャンバと連結して、個別の流路(d
iscrete paths)を提供し、それに沿って第1先駆物質が
反応チャンバへと通ることができる複数の第1導管と、
(5)第2チャンバを反応チャンバと連結して、個別の
流路を提供し、それに沿って第2先駆物質が反応チャン
バへと通ることができ、それにより、前記第1先駆物質
と前記第2先駆物質との間の接触が、先駆物質が反応チ
ャンバに入るまで起こらない複数の第2導管と、(6)
前記第1導管と第2導管を冷却するための手段とを有す
る。
【0006】本発明の第2の観点によれば、化学蒸着に
よる第1及び第2の気体状の先駆物質の反応によって材
料を製造する方法を提供し、その方法は、前記第1先駆
物質と第2先駆物質を冷却する工程と、前記冷却された
先駆物質を別々に、複数の個別の流路に沿って通す工程
と、前記先駆物質の混合が、前記反応チャンバ内で前記
基板の存在下で起こり、前記基板の上に前記材料の蒸着
を形成するように、前記先駆物質を、前記材料が蒸着さ
れるべき加熱された基板を収容する反応容器に注入する
工程とを有する。第1先駆物質と第2先駆物質の一方又
は双方は、単一の先駆物質の形態、あるいは、化学的に
安定した成分の混合物の形態であってもよい。多数の流
路を提供し、それによって先駆物質が反応チャンバに入
ることにより、第1先駆物質と第2先駆物質の均質な混
合物が、基板に近接した反応チャンバ内に容易に形成さ
れる。特に好ましい実施例では、第1チャンバの導管は
第2チャンバを通り、その場合、第1チャンバと第2チ
ャンバが、共通の隔壁を共有するのが都合よい。更に好
ましい実施例では、反応容器は、追加のガス用の追加の
チャンバとを有し、また、複数の第3導管が、第3チャ
ンバと反応チャンバとの間に設けられて個別の流路を提
供し、それに沿って追加のガスが反応チャンバへと通る
ことができる。第3導管の流出口を第1導管と第2導管
との間に点在させることによって、第1導管、第2導管
及び第3導管の対応する流出口を、反応チャンバにわた
って均一に配置することができ、第3導管の流出口から
出るガスは、しばらくの間、第1導管及び第2導管の流
出口から出る第1先駆物質及び第2先駆物質を分離し、
それにより、第1先駆物質と第2先駆物質の混合を、そ
れらが、材料を蒸着すべき加熱された基板の面に、より
近くなるまで遅らせる。
【0007】所望であれば、反応チャンバは、1以上の
基板を収容するようになっていてもよい。本発明、ま
た、それを、どのように実施することができるかを、よ
りよく理解するために、例示である添付の図面を参照す
る。
【0008】
【実施例】今、図1及至図5を参照すると、反応容器
は、典型的には、石英で形成される円筒状の壁1を有す
るほぼ垂直な管を備える。管内の中央には、サセプタ支
持体3に設けられたサセプタ(熱吸収体)2が、位置決
めされている。サセプタ2は、基板4(1以上のウエハ
状)が設置される水平面で終わっており、基板4は、サ
セプタと接触して、先駆物質が分解し、また反応する温
度以上に加熱されることができるようになっている。先
駆物質の加熱は、所望により、例えば、熱伝導、熱放
射、又は、抵抗加熱によって行われてもよい。反応容器
の上端はクロージャー組立体によて閉じられ、該クロー
ジャー組立体を通して、プロセス、及び、搬送ガスが、
クロージャー組立体と、MOCVD 工程が起こるサスセプタ
の水平面との間に位置決めされている反応チャンバ5に
導入される。プロセス、及び、搬送ガスは、典型的に
は、低減された圧力で、反応容器内のほぼ円筒状ライナ
6とサセプタ支持体3との間の隙間を通って、反応チャ
ンバ5から排気される。また、不活性ガス又は水素等の
パージガス流を、ライナ6と反応容器の壁1との間に導
入し、引き続き、ライナ6とサセプタ支持体3との間を
排気するための手段(図示せず)が設けられていてもよ
い。パージ流の目的は、反応チャンバ5を清浄な状態に
維持することであり、ライナ6は、廃棄ガスが反応チャ
ンバ5から対称的に取り除かれるように、適当に形成さ
れている。
【0009】サセプタ支持体3は、基板温度を計測する
手段(図示せず)を有していてもよい。しかし、もし、
支持体が石英で形成されているなら、温度は、光学的に
計測されてもよい。また、所望ならば、サセプタ支持体
3は、基板がMOCVD 工程中回転されるように、管状反応
容器の長手方向軸線を中心として回転可能であってもよ
い。このようにして、基板の上に蒸着される材料の組成
物の厚みの均一性、及び、均質性が改善される。クロー
ジャー組立体は、チャンバ内のガスの結合性を維持しつ
つ、キャリヤ及び先駆物質ガスを反応チャンバ5に導入
する手段を有する。従って、クロージャー組立体は、上
方クロージャープレート7と、インゼクター組立体8
と、クランプリング9とを有する。例えば、O−リング
等のシール10が、インゼクター組立体8と反応容器の
壁1の外面との間に設けられ、例えば、O−リング等の
シール11が、インゼクター8と反応容器の壁1の自由
端との間に設けられ、更に、例えば、O−リング等のシ
ール12が、上方クロージャープレート7とインゼクタ
ー組立体8との間に設けられている。これらのシール
は、複数のボルト13によって圧縮状態に維持される。
【0010】インゼクター組立体8は、円筒状の壁14
を有し、反応容器の壁1と同軸関係に位置決めされた管
状部分を有する。図示するように、好ましくは、ほぼ円
形状である3つのプレートが、管状部分14にわたって
間隔を隔てて平行関係に密封されている。第1(上方)
プレート15は、上方クロージャープレート7と共に、
第1チャンバ16を作る。第2(中央)プレート17
は、第1プレート15と共に、第2チャンバ18を作
る。かくして、第1プレート15は、第1チャンバ16
と第2チャンバ18との間で、共通隔壁を提供する。第
3(下方)プレート19は、中央プレート17と共に、
冷却チャンバ20を形成する。プレート19の下面と、
サセプタ上の基板4の上面との間の距離は、所望によ
り、例えば、0.5cmから10cmまでであってもよい。
複数の第1導管21が、第1チャンバ16と反応チャン
バ5との間に設けられている。それらは、第1チャンバ
16内に位置決めされ、チャンバ18及び20と連通す
ることなく、チャンバ18及び20を通り抜ける流入口
22を有する。それらは、例えば、真空ろう付(vacuum
brazing)等によって、プレート15、17及び19に結
合される。導管は、反応チャンバ5内で、インゼクター
ノズルの形態で、流出口23で終わっており、第1チャ
ンバ16から反応チャンバ5への、複数の個別的な通路
を提供する。
【0011】第2チャンバ18内の流入口25と、反応
チャンバ5内のインゼクターノズルの形態の流出口26
とを有する複数の第2導管24が設けられている。これ
らの導管は、冷却チャンバ20と連通することなく、冷
却チャンバ20を通り、また、例えば、真空ろう付等
で、プレート17及び19に結合されている。第1導管
21及び第2導管24の流出口23及び26は、各流出
口23がいくつかの流出口26によって囲まれ、また、
その逆であるように、第3プレート19の面の上に均一
に分布されている。インゼクター組立体8は、(i)イ
ンゼクター組立体8のギャラリー(gallery) 28と連通
し、次いで、第1チャンバ16と連通する第1流入口2
7(図2参照)と、(ii)インゼクター組立体8のギ
ャラリー(gallery) 30と連通し、次いで、第2チャン
バ18と連通する第2流入口29(図4参照)とを有す
る。第1流入口27は、第1先駆物質(例えば、アンモ
ニア)及び搬送ガス用であり、また、第2流入口29
は、第2先駆物質(例えば、トリメチル又はトリエチル
ガリウム)及び搬送ガス用である。インゼクター組立体
8は、冷却剤(例えば、水)を組立体に導入するための
第3流入口31と、第4流入口32とを有する。流入口
31及び32は、それぞれ、ギャラリー33及び34と
連通し、次いで、冷却チャンバ20と連通する。インゼ
クター組立体8は、また、冷却剤流出口35及び36を
有し、それらは、インゼクター組立体8内のギャラリー
によって、冷却チャンバ20に同様に連結され、それに
よって、冷却剤は、インゼクター組立体を連続的に通る
ことができる。冷却チャンバ20を通る冷却剤は、チャ
ンバ20を通って導管21及び24の外面と接触し、そ
れによって導管を通るガスを冷却する。
【0012】使用の際、第1先駆物質は、反応チャンバ
5に流入口27、第1チャンバ16及び第1導管21を
介して注入され、第2先駆物質は、反応チャンバ5に、
流入口29、第2チャンバ18、及び第2導管24を通
って注入される。かくして先駆物質は反応チャンバ5に
入るまで分離され、また、冷却される。導管流出口を底
プレート19に適当に配置することにより、加熱された
基板4の面に近づくまでの、先駆物質の間の接触を最小
限にすることができる。そこで、それらは、数多くの注
入箇所があるので急速に混合され、均質な混合物を形成
する。この混合物は、次いで、化学蒸着反応が起こる加
熱された基板に提供される。ある例では、かくして、ガ
リウム窒化物の均一な層が基板4の表面に蒸着される。
今、図6及至図10を参照すると、図1及至図5の部品
に対応する部品は、同様な符号が付されている。この場
合には、インゼクター組立体8は、更に、管状部分14
を横切って密封されたプレート37のようなディスクを
有し、インゼクター組立体内に第3ガスチャンバ38を
作る。第3ガスチャンバ38と、反応チャンバ5と連通
するノズルの形態の流出口41とを有する複数の第3導
管39が設けられている。第1導管及び第2導管の場合
のように、第3導管39は、例えば、真空ろう付等によ
って、それが貫通するプレートに結合されている。ま
た、それらは、チャンバ16、18、及び、20と連通
していない。インゼクター組立体8は、更に、ギャラリ
ー43と連通し、次いで、チャンバ38と連通する流入
口42を有し、それにより、水素又は他の適当なガス
が、チャンバ38に導入される。このガスは、次いで、
第3導管39に沿って通り、更に、先駆物質と接触する
ことなく反応チャンバ5に入り、やがて、すべての3つ
のガスが反応チャンバ5に入る。第3導管の流出口41
の位置は、流出口41から出る水素が、先駆物質気体流
を分離し、先駆物質気体流が、インゼクターノズル23
及び26で互いに接触しないようになっている。このよ
うな方法で、表面の反応の高まりの可能性を減少させ
る。
【0013】今、図11及び図12を参照すると、図1
及至図10の部品に対応する部品には、同様の符号が付
されている。この実施例では、反応容器は、均一な排気
制限部(a uniform exhaust restriction) 54が設けら
れるように、適当に形成された石英ライナ6が設けられ
た、スレンレススチールで形成された円筒状壁1を有す
る、ほぼ垂直方向の管を備える。壁6は、水流入口と水
流出口ととに連結された水冷チャンバ50を有し、それ
により、冷却水が反応容器の壁を通る。第1の実施例の
場合のように、反応容器の上端は、図2乃至図5に示さ
れた一般的な型式のクロジャー組立体によって閉じられ
る。この場合、クロージャー組立体は、上方クロージャ
ープレート7を、更に、第1プレート15、第2プレー
ト17、及び第3プレート19を介して、チャンバ5へ
と通る、複数の光高温計ポート55を有する。各高温計
ポートは、ステンレススチール管57内に収容された、
小さな直径の石英ロッド56を備え、温度計測用の高温
計(図示せず)への光学的な接続に使用される。高温計
は、基板と蒸着層が透明である波長での広域バンド検知
器を使用する型式のものである。このようにして、干渉
効果が減少される。複式高温計ポートを有することによ
り、温度の均一性を即的できる。各ポート55は流入口
58を有し、それにより、少量の水素、又は、不活性ガ
スを、ステンレススチール管57と石英ロッド56との
間に導入することができ、石英ロッドの端部への蒸着
(処理で使用される反応ガスからの)が防止される。
【0014】クロージャー組立体は、第1流入口27と
第2流入口29とを有し、各々は、第1及び第2の気体
状の先駆物質を反応チャンバ5に導入するためのもので
ある。第1流入口27は、カバープレート7と第1プレ
ート15との間に位置決めされた第1チャンバ16と連
通し、また、第1導管21で反応チャンバ5に接続され
ている。第2流入口29は、第1プレート15と第2プ
レート17との間に位置決めされた第2チャンバ18と
連通し、また、第2導管24で反応チャンバ5と接続さ
れている。クロージャー組立体は、流入口31と流出口
35とを有し、水が連続的にインゼクター組立体8を通
ることを可能にする。1以上のウエハの形態の基板4
が、反応チャンバ5に位置決めされ、使用される試薬と
適合する材料から製造された支持炉床61に設けられて
いる。例えば、シリコンカーバイドで被覆された黒鉛
が、使用される典型的な材料である。炉床61、及び存
在するあらゆる別々のウエハホルダーが、良好な温度均
一性を提供するように形成されている。炉床61は、静
止ベースプレート65の上に、回転用に設けられた2重
マグネチックロータリーシール64の回転管63に取り
付けされた円筒体62に設けられている。支持炉床61
と、回転管63と、マグネチックロータリーシール64
と、ベースプレート65は、閉鎖容器を効果的に作り、
その中には、典型的には、グラファイトである耐火性材
料から製造されたヒーター66が収容されている。ヒー
ターは、平形誘導コイルの形態であることが好ましく、
また、支持炉床61と、ヒーターの、より冷たい部分に
作るべき電気接触を可能にするための頑丈なインテグラ
ルターミナル(integral terminals)とを加熱するための
熱い上面を有する。ヒーターは、大きな炉床が多数のウ
エハを処理するのに使用されるとき、温度の均一性を改
善するように、独立した部分を有していてもよい。閉鎖
容器は、ヒーターを使用される試薬から保護し、また、
放射能遮蔽体67が、マグネチックロータリーシール6
4の加熱を防止するように設けされている。ヒーター6
6のターミナルは、水冷電導体68に、電気的に接続さ
れている。ヒーター66用の閉鎖容器は、ベースプレー
ト65のポート(図示せず)を有し、それにより、閉鎖
容器は、水素、又は不活性ガスでパージされてもよい。
排気ポート69が、制限部54を介して反応チャンバ5
との連通されるている。排気ポート69は大気と連通し
ていてもよく、又は、低圧排気装置に接続されていても
よい。
【0015】所望ならば、装置は、基板4が下方に向く
ように、逆にされていてもよい。使用の際、第1先駆物
質及び第2先駆物質は、第1流入口27及び第2流入口
29を介して反応チャンバ5に注入される。かくして、
先駆物質は、分離され、また、冷却されたままであり、
やがて反応チャンバ5に入り、加熱されたウエハの面に
近づき、そこでそれらは迅速に混合され、化学蒸着反応
が加熱された面で起こり、所望の材料の層が表面に蒸着
される。
【0016】
【発明の効果】本発明によれば、気体状の先駆物質を反
応チャンバに別々に導入し、次いで、それらを混合し、
加熱された基板に近接した位置で均質な混合物を形成
し、所望の蒸着を起こすことができる。
【図面の簡単な説明】
【図1】図1は、本発明の第1実施例による、MOCVD 反
応容器の平面図である。
【図2】図2は、図1の線B−Bに沿った反応容器の断
面図である。
【図3】図3は、図2の点線Xで囲まれた部分の拡大図
である。
【図4】図4は、図1の線C−Cに沿った反応容器の断
面図である。
【図5】図5は、図1に示す矢印Aの方向の反応容器の
底面図である。
【図6】図6は、本発明の第2実施例による反応容器の
平面図である。
【図7】図7は、図6の線B−Bに沿った反応容器の断
面図である。
【図8】図8は、図1の線C−Cに沿った反応容器の断
面図である。
【図9】図9は、図8の点線Yで囲まれた部分の拡大図
である。
【図10】図10は、図6に示す矢印Aの方向の反応容
器の底面図である。
【図11】図11は、本反応容器の第3の実施例によ
る、MOCVD の上部の、垂直方向断面概略図である。
【図12】図12は、図11の反応容器の下部の、垂直
方向断面概略図である。
【符号の説明】
2 サセプタ 4 基板 5 反応チャンバ 16 第1チャンバ 18 第2チャンバ 20 冷却チャンバ 21 第1導管 24 第2導管 38 第3ガスチャンバ 39 第3導管
───────────────────────────────────────────────────── フロントページの続き (72)発明者 ヴィクター ジョン セイウェル イギリス ケンブリッジ シービー4 4 ティービー コッテナム ランブス レー ン 89

Claims (9)

    【特許請求の範囲】
  1. 【請求項1】(1)前記材料が前記先駆物質の反応によ
    って蒸着されるべき、加熱された基板(4)を収容する
    ための反応チャンバ(5)と、 (2)第1先駆物質用の第1チャンバ(16)と、 (3)第2先駆物質用の第2チャンバ(18)と、 (4)第1チャンバを反応チャンバと連結して、個別の
    流路を提供し、それに沿って第1先駆物質が反応チャン
    バへと通ることができる複数の第1導管(21)と、 (5)第2チャンバを反応チャンバと連結して、個別の
    流路を提供し、それに沿って第2先駆物質が反応チャン
    バへと通ることができ、それにより、前記第1先駆物質
    と前記第2先駆物質との間の接触が、先駆物質が反応チ
    ャンバに入るまで起こらない複数の第2導管(24)
    と、 (6)前記第1導管と第2導管を冷却するための手段
    (31、32、33、34、35、36)とを有する、
    第1及び第2の気体状の先駆物質から、化学蒸着によっ
    て材料を作り出すための反応容器。
  2. 【請求項2】 第1導管が第2チャンバを通ること、を
    特徴とする請求項1に記載の反応容器。
  3. 【請求項3】 第1チャンバと第2チャンバが、共通隔
    壁を共有すること、を特徴とする請求項1又は2に記載
    の反応容器。
  4. 【請求項4】 追加のガス用の追加のチャンバ(38)
    と、第3チャンバと反応チャンバとの間に設けられて、
    個別の流路を提供し、それに沿って追加のガスが反応チ
    ャンバへと通ることができる複数の第3導管(39)と
    を有すること、を特徴とする請求項1乃至3のいずれか
    1項に記載の反応容器。
  5. 【請求項5】 (i)冷却された前記第1先駆物質と第
    2先駆物質を、別々に複数の個別の流路に沿って通す工
    程と、 (ii)前記先駆物質の混合が、前記反応チャンバ内で
    前記基板の存在下で起こり、前記基板の上に前記材料の
    蒸着を形成するように、前記先駆物質を、前記材料が蒸
    着されるべき加熱された基板を収容する反応容器に注入
    する工程とを有する、化学蒸着による第1及び第2の気
    体状の先駆物質の反応によって材料を製造する方法。
  6. 【請求項6】 先駆物質は、作られる材料がガリウム窒
    化物であること、を特徴とする請求項5に記載の方法。
  7. 【請求項7】 第1先駆物質は、アンモニア、及び、搬
    送ガスであり、更に、搬送ガス及び第2先駆物質は、ト
    リメチルガリウム又はトリエチルガリウム、及び、搬送
    ガスであること、を特徴とする請求項6に記載の方法。
  8. 【請求項8】 追加のガスは、複数の個別の流路に沿っ
    て、更に、反応チャンバへと通ること、を特徴とする請
    求項4乃至7のいずれか1項に記載の方法。
  9. 【請求項9】 追加のガスは、水素であること、を特徴
    とする請求項8に記載の方法。
JP14778195A 1994-06-14 1995-06-14 化学蒸着に関する改良 Expired - Lifetime JP3442536B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
GB9411911A GB9411911D0 (en) 1994-06-14 1994-06-14 Improvements in or relating to chemical vapour deposition
GB9411911:2 1994-06-14

Publications (2)

Publication Number Publication Date
JPH0891989A true JPH0891989A (ja) 1996-04-09
JP3442536B2 JP3442536B2 (ja) 2003-09-02

Family

ID=10756709

Family Applications (1)

Application Number Title Priority Date Filing Date
JP14778195A Expired - Lifetime JP3442536B2 (ja) 1994-06-14 1995-06-14 化学蒸着に関する改良

Country Status (5)

Country Link
US (1) US5871586A (ja)
EP (1) EP0687749B1 (ja)
JP (1) JP3442536B2 (ja)
DE (1) DE69504762T2 (ja)
GB (1) GB9411911D0 (ja)

Cited By (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001323377A (ja) * 2000-03-16 2001-11-22 Applied Materials Inc 半導体ウェーハ処理システムのシャワーヘッドのための上下続きの2つのガスのフェースプレート
KR100408519B1 (ko) * 2001-05-03 2003-12-06 삼성전자주식회사 원자층 형성용 반응챔버
JP2004103630A (ja) * 2002-09-05 2004-04-02 National Institute Of Advanced Industrial & Technology シャワーヘッド及びシャワーヘッドを用いた半導体熱処理装置
JP2005518104A (ja) * 2002-02-22 2005-06-16 アイクストロン、アーゲー 半導体層の堆積プロセス及び装置
JP2006524911A (ja) * 2003-04-30 2006-11-02 アイクストロン、アーゲー 一方を前処理した2種のプロセスガスを用いた半導体蒸着プロセス及び装置
JP2008508744A (ja) * 2004-08-02 2008-03-21 ビーコ・インストゥルメンツ・インコーポレイテッド Cvdリアクタ用マルチガス供給インジェクタ
JP2009016624A (ja) * 2007-07-05 2009-01-22 Nikkoshi Co Ltd 多層構造のcvd用マルチシャワーヘッドの製造方法
JP2009516777A (ja) * 2005-11-22 2009-04-23 アイクストロン、アーゲー Cvd反応装置における多層薄膜堆積方法及びcvd反応装置のガス入口部品
JP2009099972A (ja) * 2007-09-27 2009-05-07 Sharp Corp 気相成長装置及び半導体素子の製造方法
JP2009141343A (ja) * 2007-11-12 2009-06-25 Sharp Corp 気相成長装置及び気相成長方法
JP2010027675A (ja) * 2008-07-15 2010-02-04 Sharp Corp 気相成長装置
WO2010109915A1 (ja) * 2009-03-27 2010-09-30 シャープ株式会社 気相成長装置及び気相成長方法
WO2011004712A1 (ja) * 2009-07-06 2011-01-13 シャープ株式会社 気相成長装置及び気相成長方法
JP4778655B2 (ja) * 2000-02-04 2011-09-21 アイクストロン、アーゲー 1つまたは多くの被膜を基板に沈積する方法および装置
WO2011136077A1 (ja) 2010-04-28 2011-11-03 シャープ株式会社 気相成長装置、気相成長方法、および半導体素子の製造方法
WO2012132575A1 (ja) * 2011-03-28 2012-10-04 シャープ株式会社 シャワープレート、気相成長装置及び気相成長方法
WO2014203535A1 (ja) * 2013-06-21 2014-12-24 株式会社デンソー 炭化珪素半導体成膜装置およびそれを用いた成膜方法

Families Citing this family (281)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6100105A (en) * 1996-05-10 2000-08-08 Sumitomo Chemical Company, Ltd. Fabrication of InGaAlN based compound semiconductor device
US6090210A (en) * 1996-07-24 2000-07-18 Applied Materials, Inc. Multi-zone gas flow control in a process chamber
JP2001506803A (ja) * 1996-11-27 2001-05-22 エムコア・コーポレイション 化学蒸着装置
US6153260A (en) * 1997-04-11 2000-11-28 Applied Materials, Inc. Method for heating exhaust gas in a substrate reactor
GB9712400D0 (en) * 1997-06-16 1997-08-13 Trikon Equip Ltd Shower head
US6126753A (en) * 1998-05-13 2000-10-03 Tokyo Electron Limited Single-substrate-processing CVD apparatus and method
US6148761A (en) * 1998-06-16 2000-11-21 Applied Materials, Inc. Dual channel gas distribution plate
US6080241A (en) * 1998-09-02 2000-06-27 Emcore Corporation Chemical vapor deposition chamber having an adjustable flow flange
US6190732B1 (en) 1998-09-03 2001-02-20 Cvc Products, Inc. Method and system for dispensing process gas for fabricating a device on a substrate
US6364954B2 (en) * 1998-12-14 2002-04-02 Applied Materials, Inc. High temperature chemical vapor deposition chamber
US6402848B1 (en) * 1999-04-23 2002-06-11 Tokyo Electron Limited Single-substrate-treating apparatus for semiconductor processing system
US6916399B1 (en) * 1999-06-03 2005-07-12 Applied Materials Inc Temperature controlled window with a fluid supply system
EP1077274A1 (en) * 1999-08-17 2001-02-21 Applied Materials, Inc. Lid cooling mechanism and method for optimized deposition of low-k dielectric using tri methylsilane-ozone based processes
DE10007059A1 (de) 2000-02-16 2001-08-23 Aixtron Ag Verfahren und Vorrichtung zur Herstellung von beschichteten Substraten mittels Kondensationsbeschichtung
US6502530B1 (en) * 2000-04-26 2003-01-07 Unaxis Balzers Aktiengesellschaft Design of gas injection for the electrode in a capacitively coupled RF plasma reactor
DE10043601A1 (de) * 2000-09-01 2002-03-14 Aixtron Ag Vorrichtung und Verfahren zum Abscheiden insbesondere kristalliner Schichten auf insbesondere kristallinen Substraten
DE10118130A1 (de) 2001-04-11 2002-10-17 Aixtron Ag Vorrichtung oder Verfahren zum Abscheiden von insbesondere kristallinen Schichten auf insbesondere kristallinen Substraten aus der Gasphase
SG125069A1 (en) 2001-05-17 2006-09-29 Sumitomo Chemical Co Method and system for manufacturing III-V group compound semiconductor and III-V group compound semiconductor
TW573053B (en) * 2001-09-10 2004-01-21 Anelva Corp Surface processing apparatus
KR100782529B1 (ko) * 2001-11-08 2007-12-06 에이에스엠지니텍코리아 주식회사 증착 장치
JP4074461B2 (ja) * 2002-02-06 2008-04-09 東京エレクトロン株式会社 成膜方法および成膜装置、半導体装置の製造方法
US7524532B2 (en) * 2002-04-22 2009-04-28 Aixtron Ag Process for depositing thin layers on a substrate in a process chamber of adjustable height
US20060032736A1 (en) * 2004-02-02 2006-02-16 Lam Research Corporation Deformation reduction at the main chamber
US7622005B2 (en) * 2004-05-26 2009-11-24 Applied Materials, Inc. Uniformity control for low flow process and chamber to chamber matching
US7572337B2 (en) * 2004-05-26 2009-08-11 Applied Materials, Inc. Blocker plate bypass to distribute gases in a chemical vapor deposition system
US20060021703A1 (en) * 2004-07-29 2006-02-02 Applied Materials, Inc. Dual gas faceplate for a showerhead in a semiconductor wafer processing system
DE102005003984A1 (de) 2005-01-28 2006-08-03 Aixtron Ag Gaseinlassorgan für einen CVD-Reaktor
DE102005004312A1 (de) 2005-01-31 2006-08-03 Aixtron Ag Gasverteiler mit in Ebenen angeordneten Vorkammern
ITMI20050962A1 (it) * 2005-05-25 2006-11-26 Lpe Spa Dispositivo per introurre gas di reazione in una camera di reazione e reattore epitassiale che lo utilizza
JP2007191792A (ja) * 2006-01-19 2007-08-02 Atto Co Ltd ガス分離型シャワーヘッド
US20070234956A1 (en) * 2006-04-05 2007-10-11 Dalton Jeremie J Method and apparatus for providing uniform gas delivery to a reactor
KR101055330B1 (ko) * 2006-05-09 2011-08-08 가부시키가이샤 알박 박막제조장치 및 박막제조장치용 이너 블록
US7879401B2 (en) * 2006-12-22 2011-02-01 The Regents Of The University Of Michigan Organic vapor jet deposition using an exhaust
US8069817B2 (en) * 2007-03-30 2011-12-06 Lam Research Corporation Showerhead electrodes and showerhead electrode assemblies having low-particle performance for semiconductor material processing apparatuses
DE102007026349A1 (de) * 2007-06-06 2008-12-11 Aixtron Ag Aus einer Vielzahl diffusionsverschweißter Scheiben bestehender Gasverteiler
US20080311294A1 (en) * 2007-06-15 2008-12-18 Hideki Ito Vapor-phase growth apparatus and vapor-phase growth method
US20080314311A1 (en) * 2007-06-24 2008-12-25 Burrows Brian H Hvpe showerhead design
US20090149008A1 (en) * 2007-10-05 2009-06-11 Applied Materials, Inc. Method for depositing group iii/v compounds
JP2011500961A (ja) 2007-10-11 2011-01-06 バレンス プロセス イクウィップメント,インコーポレイテッド 化学気相成長反応器
US20090095221A1 (en) * 2007-10-16 2009-04-16 Alexander Tam Multi-gas concentric injection showerhead
US8668775B2 (en) * 2007-10-31 2014-03-11 Toshiba Techno Center Inc. Machine CVD shower head
US8282735B2 (en) 2007-11-27 2012-10-09 Asm Genitech Korea Ltd. Atomic layer deposition apparatus
US8628616B2 (en) * 2007-12-11 2014-01-14 Sumitomo Electric Industries, Ltd. Vapor-phase process apparatus, vapor-phase process method, and substrate
US8298338B2 (en) * 2007-12-26 2012-10-30 Samsung Electronics Co., Ltd. Chemical vapor deposition apparatus
US20090194024A1 (en) * 2008-01-31 2009-08-06 Applied Materials, Inc. Cvd apparatus
US20090211707A1 (en) * 2008-02-22 2009-08-27 Hermes Systems Inc. Apparatus for gas distribution and its applications
US8273178B2 (en) 2008-02-28 2012-09-25 Asm Genitech Korea Ltd. Thin film deposition apparatus and method of maintaining the same
KR100982987B1 (ko) * 2008-04-18 2010-09-17 삼성엘이디 주식회사 화학 기상 증착 장치
KR101004822B1 (ko) * 2008-04-18 2010-12-28 삼성엘이디 주식회사 화학 기상 증착 장치
KR101004927B1 (ko) * 2008-04-24 2010-12-29 삼성엘이디 주식회사 Cvd용 샤워 헤드 및 이를 구비하는 화학 기상 증착 장치
FR2930561B1 (fr) * 2008-04-28 2011-01-14 Altatech Semiconductor Dispositif et procede de traitement chimique en phase vapeur.
US20100071614A1 (en) * 2008-09-22 2010-03-25 Momentive Performance Materials, Inc. Fluid distribution apparatus and method of forming the same
US8568529B2 (en) * 2009-04-10 2013-10-29 Applied Materials, Inc. HVPE chamber hardware
US8183132B2 (en) 2009-04-10 2012-05-22 Applied Materials, Inc. Methods for fabricating group III nitride structures with a cluster tool
US20100263588A1 (en) * 2009-04-15 2010-10-21 Gan Zhiyin Methods and apparatus for epitaxial growth of semiconductor materials
US8138069B2 (en) * 2009-04-24 2012-03-20 Applied Materials, Inc. Substrate pretreatment for subsequent high temperature group III depositions
US20100273291A1 (en) * 2009-04-28 2010-10-28 Applied Materials, Inc. Decontamination of mocvd chamber using nh3 purge after in-situ cleaning
WO2010127156A2 (en) * 2009-04-29 2010-11-04 Applied Materials, Inc. Method of forming in-situ pre-gan deposition layer in hvpe
KR101064210B1 (ko) * 2009-06-01 2011-09-14 한국생산기술연구원 막증착 진공장비용 샤워헤드
KR101062462B1 (ko) * 2009-07-28 2011-09-05 엘아이지에이디피 주식회사 샤워헤드 및 이를 포함하는 화학기상증착장치
WO2011017222A2 (en) * 2009-08-04 2011-02-10 Applied Materials, Inc. Method and apparatus for dry cleaning a cooled showerhead
DE102009043848A1 (de) 2009-08-25 2011-03-03 Aixtron Ag CVD-Verfahren und CVD-Reaktor
WO2011044451A2 (en) * 2009-10-09 2011-04-14 Applied Materials, Inc. Multi-gas centrally cooled showerhead design
US20110256692A1 (en) 2010-04-14 2011-10-20 Applied Materials, Inc. Multiple precursor concentric delivery showerhead
US9441295B2 (en) * 2010-05-14 2016-09-13 Solarcity Corporation Multi-channel gas-delivery system
TWI527090B (zh) 2010-07-12 2016-03-21 愛發科股份有限公司 成膜裝置
TWI534291B (zh) * 2011-03-18 2016-05-21 應用材料股份有限公司 噴淋頭組件
DE102011002145B4 (de) 2011-04-18 2023-02-09 Aixtron Se Vorrichtung und Verfahren zum großflächigen Abscheiden von Halbleiterschichten mit gasgetrennter HCI-Einspeisung
DE102011056589A1 (de) * 2011-07-12 2013-01-17 Aixtron Se Gaseinlassorgan eines CVD-Reaktors
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
CN102352492A (zh) * 2011-11-10 2012-02-15 中微半导体设备(上海)有限公司 一种带冷却系统的气体注入装置
WO2013173152A1 (en) * 2012-05-18 2013-11-21 Veeco Instruments Inc. Rotating disk reactor with ferrofluid seal for chemical vapor deposition
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US10316409B2 (en) 2012-12-21 2019-06-11 Novellus Systems, Inc. Radical source design for remote plasma atomic layer deposition
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
DE102013101534A1 (de) 2013-02-15 2014-08-21 Aixtron Se Gasverteiler für einen CVD-Reaktor
CN103173742A (zh) * 2013-04-12 2013-06-26 光垒光电科技(上海)有限公司 喷淋头以及气相沉积反应腔
US10808317B2 (en) 2013-07-03 2020-10-20 Lam Research Corporation Deposition apparatus including an isothermal processing zone
US9677176B2 (en) * 2013-07-03 2017-06-13 Novellus Systems, Inc. Multi-plenum, dual-temperature showerhead
US9597701B2 (en) * 2013-12-31 2017-03-21 Lam Research Ag Apparatus for treating surfaces of wafer-shaped articles
US9657397B2 (en) * 2013-12-31 2017-05-23 Lam Research Ag Apparatus for treating surfaces of wafer-shaped articles
CN103952685B (zh) * 2014-04-14 2016-01-20 南昌大学 铟镓铝氮材料组分及掺杂能自由组合的mocvd生长气路及方法
KR102386812B1 (ko) * 2014-05-16 2022-04-15 어플라이드 머티어리얼스, 인코포레이티드 샤워헤드 설계
WO2015184555A1 (en) 2014-06-06 2015-12-10 Group Nanoxplore Inc. Large scale production of thinned graphite, graphene, and graphite-graphene composites
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
AU2015362043B2 (en) 2014-12-09 2019-09-12 Nanoxplore Inc. Large scale production of oxidized graphene
FR3029939A1 (fr) 2014-12-16 2016-06-17 Saint-Gobain Lumilog Reacteur de depot chimique en phase vapeur
US10167552B2 (en) * 2015-02-05 2019-01-01 Lam Research Ag Spin chuck with rotating gas showerhead
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
US20160359080A1 (en) 2015-06-07 2016-12-08 Solarcity Corporation System, method and apparatus for chemical vapor deposition
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
GB201514542D0 (en) 2015-08-14 2015-09-30 Thomas Simon C S A method of producing graphene
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9748434B1 (en) 2016-05-24 2017-08-29 Tesla, Inc. Systems, method and apparatus for curing conductive paste
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9954136B2 (en) 2016-08-03 2018-04-24 Tesla, Inc. Cassette optimized for an inline annealing system
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10115856B2 (en) 2016-10-31 2018-10-30 Tesla, Inc. System and method for curing conductive paste using induction heating
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10604841B2 (en) 2016-12-14 2020-03-31 Lam Research Corporation Integrated showerhead with thermal control for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
JP6836965B2 (ja) * 2017-06-23 2021-03-03 昭和電工株式会社 成膜装置
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
DE202017105481U1 (de) 2017-09-11 2018-12-12 Aixtron Se Gaseinlassorgan für einen CVD- oder PVD-Reaktor
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
JP2021505766A (ja) 2017-12-08 2021-02-18 ラム リサーチ コーポレーションLam Research Corporation 遠隔プラズマ膜蒸着を可能にするためにラジカルおよび前駆体ガスを下流チャンバに供給するための改良された孔パターンを備える統合シャワーヘッド
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
KR102576220B1 (ko) * 2018-06-22 2023-09-07 삼성디스플레이 주식회사 박막 처리 장치 및 박막 처리 방법
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20210150978A (ko) * 2020-06-03 2021-12-13 에이에스엠 아이피 홀딩 비.브이. 샤워 플레이트, 기판 처리 장치 및 기판 처리 방법
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3854443A (en) * 1973-12-19 1974-12-17 Intel Corp Gas reactor for depositing thin films
JPS5211176A (en) * 1975-07-18 1977-01-27 Toshiba Corp Activation gas reaction apparatus
JPS6047202B2 (ja) * 1976-01-13 1985-10-21 東北大学金属材料研究所長 超硬高純度の配向多結晶質窒化珪素
US4368689A (en) * 1980-12-29 1983-01-18 Rockwell International Corporation Beam source for deposition of thin film alloys
US4468283A (en) * 1982-12-17 1984-08-28 Irfan Ahmed Method for etching and controlled chemical vapor deposition
JPS6065798A (ja) * 1983-09-19 1985-04-15 Toyota Central Res & Dev Lab Inc 窒化ガリウム単結晶の成長方法
US4574093A (en) * 1983-12-30 1986-03-04 At&T Bell Laboratories Deposition technique
JPS60175412A (ja) * 1984-02-21 1985-09-09 Matsushita Electric Ind Co Ltd 窒化ガリウムの成長方法
JPS60207332A (ja) * 1984-03-30 1985-10-18 Matsushita Electric Ind Co Ltd 窒化ガリウムの成長方法
JPS61101020A (ja) * 1984-10-24 1986-05-19 Hitachi Ltd 処理装置
US5322568A (en) * 1985-12-28 1994-06-21 Canon Kabushiki Kaisha Apparatus for forming deposited film
US4997677A (en) * 1987-08-31 1991-03-05 Massachusetts Institute Of Technology Vapor phase reactor for making multilayer structures
JPH01223724A (ja) * 1988-03-02 1989-09-06 Mitsubishi Electric Corp 化学気相成長装置
DD271776A1 (de) 1988-05-06 1989-09-13 Elektromat Veb Vorrichtung zur gaszufuehrung und -ableitung fuer die gasphasenbearbeitung von werkstuecken
US4951603A (en) * 1988-09-12 1990-08-28 Daidousanso Co., Ltd. Apparatus for producing semiconductors
JPH02234419A (ja) * 1989-03-07 1990-09-17 Koujiyundo Kagaku Kenkyusho:Kk プラズマ電極
JPH03170675A (ja) * 1989-11-28 1991-07-24 Mitsubishi Electric Corp 化学気相成長装置
DE4011933C2 (de) * 1990-04-12 1996-11-21 Balzers Hochvakuum Verfahren zur reaktiven Oberflächenbehandlung eines Werkstückes sowie Behandlungskammer hierfür
KR920003424A (ko) * 1990-07-13 1992-02-29 미다 가쓰시게 표면처리 장치, 표면처리방법 및 반도체장치의 제조방법
US5226383A (en) * 1992-03-12 1993-07-13 Bell Communications Research, Inc. Gas foil rotating substrate holder
US5551982A (en) * 1994-03-31 1996-09-03 Applied Materials, Inc. Semiconductor wafer process chamber with susceptor back coating

Cited By (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4778655B2 (ja) * 2000-02-04 2011-09-21 アイクストロン、アーゲー 1つまたは多くの被膜を基板に沈積する方法および装置
JP2001323377A (ja) * 2000-03-16 2001-11-22 Applied Materials Inc 半導体ウェーハ処理システムのシャワーヘッドのための上下続きの2つのガスのフェースプレート
KR100408519B1 (ko) * 2001-05-03 2003-12-06 삼성전자주식회사 원자층 형성용 반응챔버
JP2005518104A (ja) * 2002-02-22 2005-06-16 アイクストロン、アーゲー 半導体層の堆積プロセス及び装置
JP4776168B2 (ja) * 2002-02-22 2011-09-21 アイクストロン、アーゲー 半導体層の堆積プロセス
JP2004103630A (ja) * 2002-09-05 2004-04-02 National Institute Of Advanced Industrial & Technology シャワーヘッド及びシャワーヘッドを用いた半導体熱処理装置
JP2006524911A (ja) * 2003-04-30 2006-11-02 アイクストロン、アーゲー 一方を前処理した2種のプロセスガスを用いた半導体蒸着プロセス及び装置
JP4700602B2 (ja) * 2003-04-30 2011-06-15 アイクストロン、アーゲー 一方を前処理した2種のプロセスガスを用いた半導体蒸着プロセス及び装置
KR101309334B1 (ko) * 2004-08-02 2013-09-16 비코 인스트루먼츠 인코포레이티드 화학적 기상 증착 반응기용 멀티 가스 분배 인젝터
JP2008508744A (ja) * 2004-08-02 2008-03-21 ビーコ・インストゥルメンツ・インコーポレイテッド Cvdリアクタ用マルチガス供給インジェクタ
JP2009516777A (ja) * 2005-11-22 2009-04-23 アイクストロン、アーゲー Cvd反応装置における多層薄膜堆積方法及びcvd反応装置のガス入口部品
JP2009016624A (ja) * 2007-07-05 2009-01-22 Nikkoshi Co Ltd 多層構造のcvd用マルチシャワーヘッドの製造方法
JP2009099972A (ja) * 2007-09-27 2009-05-07 Sharp Corp 気相成長装置及び半導体素子の製造方法
JP2009141343A (ja) * 2007-11-12 2009-06-25 Sharp Corp 気相成長装置及び気相成長方法
JP2010027675A (ja) * 2008-07-15 2010-02-04 Sharp Corp 気相成長装置
WO2010109915A1 (ja) * 2009-03-27 2010-09-30 シャープ株式会社 気相成長装置及び気相成長方法
WO2011004712A1 (ja) * 2009-07-06 2011-01-13 シャープ株式会社 気相成長装置及び気相成長方法
JP4699545B2 (ja) * 2009-07-06 2011-06-15 シャープ株式会社 気相成長装置及び気相成長方法
JP2011012331A (ja) * 2009-07-06 2011-01-20 Sharp Corp 気相成長装置及び気相成長方法
WO2011136077A1 (ja) 2010-04-28 2011-11-03 シャープ株式会社 気相成長装置、気相成長方法、および半導体素子の製造方法
JP2012216744A (ja) * 2010-11-10 2012-11-08 Sharp Corp 気相成長装置及び気相成長方法
WO2012132575A1 (ja) * 2011-03-28 2012-10-04 シャープ株式会社 シャワープレート、気相成長装置及び気相成長方法
WO2014203535A1 (ja) * 2013-06-21 2014-12-24 株式会社デンソー 炭化珪素半導体成膜装置およびそれを用いた成膜方法
JP2015005658A (ja) * 2013-06-21 2015-01-08 株式会社デンソー 炭化珪素半導体成膜装置およびそれを用いた成膜方法

Also Published As

Publication number Publication date
DE69504762T2 (de) 1999-03-11
JP3442536B2 (ja) 2003-09-02
DE69504762D1 (de) 1998-10-22
GB9411911D0 (en) 1994-08-03
EP0687749B1 (en) 1998-09-16
EP0687749A1 (en) 1995-12-20
US5871586A (en) 1999-02-16

Similar Documents

Publication Publication Date Title
JP3442536B2 (ja) 化学蒸着に関する改良
EP2227576B1 (en) Apparatus for delivering precursor gases to an epitaxial growth substrate
US9449859B2 (en) Multi-gas centrally cooled showerhead design
US3617371A (en) Method and means for producing semiconductor material
EP2084304B1 (en) Method and apparatus for the epitaxial deposition of monocrystalline group iii-v semiconductor material using gallium trichloride
US9644267B2 (en) Multi-gas straight channel showerhead
EP1432844B1 (en) Apparatus for inverted cvd
JP3068075B2 (ja) 化合物半導体製造用水平反応炉
US20100263588A1 (en) Methods and apparatus for epitaxial growth of semiconductor materials
US20100273320A1 (en) Device and method for selectively depositing crystalline layers using mocvd or hvpe
KR20000069146A (ko) 화학 기상 증착 장치
KR20100077008A (ko) Iii족/v족 화합물을 증착시키는 방법
KR20100072091A (ko) 전구체 공급원을 구비한 샤우어헤드
KR102076087B1 (ko) 불순물 적층 에피택시를 위한 장치
US9481944B2 (en) Gas injectors including a funnel- or wedge-shaped channel for chemical vapor deposition (CVD) systems and CVD systems with the same
KR100712241B1 (ko) 기판상에 재료를 에피택셜성장시키는 방법 및 장치
US5275686A (en) Radial epitaxial reactor for multiple wafer growth
TWI612176B (zh) 應用於沉積系統的氣體分配裝置
JP2004165445A (ja) 半導体製造装置
JPH01224295A (ja) ガスソース分子線結晶成長装置
JPS62154617A (ja) 気相成長装置
KR20140135852A (ko) 반도체 제조장치
JPH0760803B2 (ja) 半導体ウエハの製造方法
JPS6333811A (ja) 気相成長方法
JPS58213415A (ja) 気相エピタキシヤル成長法及び装置

Legal Events

Date Code Title Description
R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20080620

Year of fee payment: 5

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20090620

Year of fee payment: 6

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100620

Year of fee payment: 7

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100620

Year of fee payment: 7

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110620

Year of fee payment: 8

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110620

Year of fee payment: 8

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120620

Year of fee payment: 9

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120620

Year of fee payment: 9

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130620

Year of fee payment: 10

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

EXPY Cancellation because of completion of term