KR20170067827A - 열 덮개를 구비한 원자 층 증착 챔버 - Google Patents

열 덮개를 구비한 원자 층 증착 챔버 Download PDF

Info

Publication number
KR20170067827A
KR20170067827A KR1020177012316A KR20177012316A KR20170067827A KR 20170067827 A KR20170067827 A KR 20170067827A KR 1020177012316 A KR1020177012316 A KR 1020177012316A KR 20177012316 A KR20177012316 A KR 20177012316A KR 20170067827 A KR20170067827 A KR 20170067827A
Authority
KR
South Korea
Prior art keywords
gas
channel
chamber
cover assembly
insert
Prior art date
Application number
KR1020177012316A
Other languages
English (en)
Other versions
KR102554825B1 (ko
Inventor
안큉 쿠이
파룩 군고르
디엔-예 우
비카스 장라
무함마드 엠. 라쉬드
웨이 브이. 탕
이시옹 양
시아오시옹 유안
경-호 부
스리니바스 간디코타
유 창
윌리엄 더블유. 쿠앙
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Priority to KR1020207023498A priority Critical patent/KR102661401B1/ko
Publication of KR20170067827A publication Critical patent/KR20170067827A/ko
Application granted granted Critical
Publication of KR102554825B1 publication Critical patent/KR102554825B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/452Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45502Flow conditions in reaction chamber
    • C23C16/45506Turbulent flow
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/4558Perforated rings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32522Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32862In situ cleaning of vessels and/or internal parts

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Electromagnetism (AREA)
  • Fluid Mechanics (AREA)
  • Health & Medical Sciences (AREA)
  • Epidemiology (AREA)
  • Public Health (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

원자 층 증착 챔버를 세정하기 위한 장치 및 방법들이 본원에서 제공된다. 몇몇 실시예들에서, 챔버 덮개 조립체는: 중심축을 따라서 연장되고 상부 부분 및 하부 부분을 갖는 중앙 채널을 에워싸는 하우징; 하우징에 커플링되고, 중앙 채널의 하부 부분에 커플링된 중앙 개구부로부터 덮개 플레이트의 둘레 부분으로 외측으로 그리고 하방으로 연장되는 윤곽진(contoured) 바닥부 표면을 갖는 덮개 플레이트; 중앙 채널을 가열하기 위한 제 1 가열 엘리먼트; 덮개 플레이트의 바닥부 표면을 가열하기 위한 제 2 가열 엘리먼트; 중앙 채널에 유체적으로(fluidly) 커플링된 원격 플라즈마 소스; 및 원격 플라즈마 소스와 하우징 사이에 커플링된 격리 칼라(collar)를 포함하고, 격리 칼라는, 원격 플라즈마 소스와 중앙 채널을 유체적으로 커플링하기 위해 격리 칼라를 통해 연장되는 내측 채널을 갖는다.

Description

열 덮개를 구비한 원자 층 증착 챔버{ATOMIC LAYER DEPOSITION CHAMBER WITH THERMAL LID}
[0001] 본 개시물의 실시예들은 일반적으로, 원자 층 증착을 위한 장치 및 방법들에 관한 것이다.
[0002] 서브미크론(submicron) 및 더 작은 피처들을 신뢰성있게 생산하는 것은, 반도체 디바이스들의 차세대 VLSI(very large scale integration) 및 ULSI(ultra large scale integration)를 위한 기술들 중 하나이다. 그러나, 회로 기술의 한계들이 압박당함에 따라, VLSI 및 ULSI 기술에서 인터커넥트들의 축소되는 치수들은 프로세싱 능력들에 대한 부가적인 요구들을 하였다. VLSI 및 ULSI 기술의 중심에 있는 멀티레벨 인터커넥트들은, 비아 및 다른 인터커넥트들과 같은 고 종횡비 피처들의 정밀 프로세싱을 사용한다. 이러한 인터커넥트들의 신뢰성있는 형성은 VLSI 및 ULSI의 성공과 개별 기판들의 회로 밀도 및 품질을 높이기 위한 지속적인 노력에 매우 중요하다.
[0003] 회로 밀도들이 증가함에 따라, 비아들, 트렌치들, 컨택들 및 다른 피처들뿐만 아니라 그 사이의 유전체 재료들과 같은 인터커넥트들의 폭들이 감소하고, 반면에 유전체 층들의 두께는 실질적으로 일정하게 유지되어, 피처들의 높이-대-폭 종횡비의 증가를 초래한다. 많은 종래의 증착 프로세스들은, 종횡비가 4:1을 초과하는, 특히 종횡비가 10:1을 초과하는 서브미크론 구조들 필링(filling)하는 데 어려움이 있다. 따라서, 고 종횡비들을 갖는 실질적으로 보이드-없는(void-free) 그리고 이음매-없는(seam-free) 서브미크론 피처들의 형성에 관한 많은 양의 노력이 계속되고 있다.
[0004] 원자 층 증착(ALD)은, 고 종횡비들을 갖는 피처들 위에서의 재료 층들의 증착을 위해 탐구되고 있는 증착 기술이다. ALD 프로세스의 일 예는 가스들의 펄스들(pulses)의 순차적 도입(sequential introduction)을 포함한다. 예컨대, 가스들의 펄스들의 순차적 도입의 한 사이클(cycle)은, 제 1 반응물 가스의 펄스, 이어서 퍼지 가스 및/또는 펌프 진공배기의 펄스, 이어서 제 2 반응물 가스의 펄스, 그리고 이어서 퍼지 가스 및/또는 펌프 진공배기의 펄스를 포함할 수 있다. 본원에서 사용되는 바와 같은 "가스"라는 용어는, 단일 가스 또는 복수의 가스들을 포함하는 것으로 정의된다. 제 1 반응물 및 제 2 반응물의 개별 펄스들의 순차적 도입은, 기판의 표면 상에서의 반응물들의 단분자층들(monolayers)의 교번하는 자가-제한 흡수(self-limiting absorption)를 초래할 수 있고, 따라서, 각각의 사이클 동안 재료의 단분자층을 형성한다. 사이클은, 증착되는 재료의 원하는 두께까지 반복될 수 있다. 제 1 반응물 가스의 펄스들과 제 2 반응물 가스의 펄스들 사이의 퍼지 가스 및/또는 펌프 진공배기의 펄스는, 챔버에 남아있는 과도한 양들의 반응물들에 기인한, 반응물들의 기상(gas phase) 반응들의 가능성을 감소시키는 역할을 한다. 그러나, 본 발명자들은, ALD 프로세싱을 위한 몇몇 챔버 설계들에서, 기판 상의 결과적인 증착이 "M" 형상의 두께 프로파일을 갖는 것을 관찰하였다. 본 발명자들은 또한, 가스들의 도입 동안, 부산물들이 챔버 컴포넌트들 상에 축적될(build up) 수 있고, 프로세싱되는 기판 상으로 벗겨져 나갈(flake off) 수 있다는 것을 관찰하였다.
[0005] 따라서, 본 발명자들은 ALD 챔버를 세정하기 위한 장치 및 방법들을 제공하였다.
[0006] 원자 층 증착 챔버를 세정하기 위한 장치 및 방법들이 본원에서 제공된다. 몇몇 실시예들에서, 챔버 덮개 조립체는: 중심축을 따라서 연장되고 상부 부분 및 하부 부분을 갖는 중앙 채널을 에워싸는 하우징; 하우징에 커플링되고, 중앙 채널의 하부 부분에 커플링된 중앙 개구부로부터 덮개 플레이트의 둘레 부분으로 외측으로 그리고 하방으로 연장되는 윤곽진(contoured) 바닥부 표면을 갖는 덮개 플레이트; 중앙 채널을 가열하기 위한 제 1 가열 엘리먼트; 덮개 플레이트의 바닥부 표면을 가열하기 위한 제 2 가열 엘리먼트; 중앙 채널에 유체적으로(fluidly) 커플링된 원격 플라즈마 소스; 및 원격 플라즈마 소스와 하우징 사이에 커플링된 격리 칼라(collar)를 포함하고, 격리 칼라는, 원격 플라즈마 소스와 중앙 채널을 유체적으로 커플링하기 위해 격리 칼라를 통해 연장되는 내측 채널을 갖는다.
[0007] 몇몇 실시예들에서, 기판 프로세싱 챔버는: 챔버 본체; 및 챔버 본체에 커플링된 챔버 덮개 조립체를 포함하며, 챔버 본체 내에 그리고 챔버 덮개 조립체 아래에 프로세싱 용적을 정의한다. 챔버 덮개 조립체는: 중심축을 따라서 연장되고 상부 부분 및 하부 부분을 갖는 중앙 채널을 에워싸는 하우징; 하우징에 커플링되고, 중앙 채널의 하부 부분에 커플링된 중앙 개구부로부터 덮개 플레이트의 둘레 부분으로 외측으로 그리고 하방으로 연장되는 윤곽진 바닥부 표면을 갖는 덮개 플레이트; 중앙 채널을 가열하기 위한 제 1 가열 엘리먼트; 덮개 플레이트의 바닥부 표면을 가열하기 위한 제 2 가열 엘리먼트; 중앙 채널에 유체적으로 커플링된 원격 플라즈마 소스; 및 원격 플라즈마 소스와 하우징 사이에 커플링된 격리 칼라를 포함할 수 있고, 격리 칼라는, 원격 플라즈마 소스와 중앙 채널을 유체적으로 커플링하기 위해 격리 칼라를 통해 연장되는 내측 채널을 갖는다.
[0008] 몇몇 실시예들에서, 프로세스 챔버를 세정하는 방법은: 세정 가스를 원격 플라즈마 소스에 제공하는 단계; 세정 가스로부터 플라즈마를 형성하는 단계; 챔버 덮게 조립체의 벽들 상의 부산물들을 증발시키기(vaporize) 위해, 플라즈마를 원격 플라즈마 소스로부터 격리 칼라를 통해, 챔버 덮개 조립체의, 중앙 채널 및 반응 구역 내로 유동시키는 단계; 및 플라즈마가 중앙 채널 및 반응 구역 내로 유동되는 동안 챔버 덮개 조립체를 미리 결정된 온도로 가열하는 단계를 포함한다.
[0009] 본 개시물의 다른 그리고 추가적인 실시예들은 이하에서 설명된다.
[0010] 첨부된 도면들에 도시된 본 개시물의 예시적 실시예들을 참조하여, 앞서 간략히 요약되고 이하에서 더 상세하게 논의되는 본 개시물의 실시예들이 이해될 수 있다. 그러나, 첨부된 도면들은 본 개시물의 단지 전형적인 실시예들을 도시하는 것이므로 범위를 제한하는 것으로 간주되지 않아야 하는데, 이는 본 개시물이, 다른 균등하게 유효한 실시예들을 허용할 수 있기 때문이다.
[0011] 도 1a는, 본원의 일 실시예에서 설명된 바와 같이, 원자 층 증착을 위해 이루어진, 덮개 조립체 및 가스 전달 장치를 포함하는 프로세스 챔버의 개략적인 단면도를 도시한다.
[0012] 도 1b는, 본원의 일 실시예에서 설명된 바와 같이, 원자 층 증착을 위해 이루어진, 덮개 조립체 및 가스 전달 장치의 정상부 부분의 사시도를 도시한다.
[0013] 도 1c는, 본 개시물의 몇몇 실시예들에 따른, 원자 층 증착을 위해 이루어진, 덮개 조립체 및 가스 전달 장치의 개략적인 단면도를 도시한다.
[0014] 도 2a는, 본 개시물의 몇몇 실시예들에 따른, 원자 층 증착을 위해 이루어진, 덮개 조립체 및 가스 전달 장치를 위한 하우징의 사시도를 도시한다.
[0015] 도 2b는, 본 개시물의 몇몇 실시예들에 따른, 도 2a로부터의, 덮개 조립체 및 가스 전달 장치를 위한 하우징의 평면도를 도시한다.
[0016] 도 2c는, 본 개시물의 몇몇 실시예들에 따른, 도 2a로부터의, 덮개 조립체 및 가스 전달 장치의 개략적인 단면도를 도시한다.
[0017] 도 2d는, 본 개시물의 몇몇 실시예들에 따른, 도 2a로부터의, 덮개 조립체 및 가스 전달 장치의 개략적인 단면도를 도시한다.
[0018] 도 2e는, 본 개시물의 몇몇 실시예들에 따른, 도 1a로부터의, 덮개 조립체 및 가스 전달 장치의 사시 단면도를 도시한다.
[0019] 도 2f는, 본 개시물의 몇몇 실시예들에 따른, 덮개 조립체 및 가스 전달 장치의 개략적인 단면도를 도시한다.
[0020] 도 2g는, 본 개시물의 몇몇 실시예들에 따른, 도 2f로부터의, 덮개 조립체 및 가스 전달 장치의 사시 단면도를 도시한다.
[0021] 도 3a는, 본 개시물의 몇몇 실시예들에 따른, 원자 층 증착을 위해 이루어진, 덮개 조립체 및 가스 전달 장치를 위한 인서트(insert)의 사시도를 도시한다.
[0022] 도 3b는, 본 개시물의 몇몇 실시예들에 따른, 도 3a에 도시된 인서트의 개략적인 단면도를 도시한다.
[0023] 도 3c는, 본 개시물의 몇몇 실시예들에 따른, 도 3b의 덮개 조립체 및 가스 전달 장치의 개략적인 단면도를 도시한다.
[0024] 도 3d는, 본 개시물의 몇몇 실시예들에 따른, 도 3b의 덮개 조립체 및 가스 전달 장치의 개략적인 단면도를 도시한다.
[0025] 도 4a는, 본 개시물의 몇몇 실시예들에 따른, 원자 층 증착을 위해 이루어진, 덮개 조립체 및 가스 전달 장치를 위한 인서트의 측면도를 도시한다.
[0026] 도 4b 및 4c는 각각, 본 개시물의 몇몇 실시예들에 따른, 도 4a의 인서트의 수평 단면의 평면 단면도들을 도시한다.
[0027] 도 5a는, 본 개시물의 몇몇 실시예들에 따른, 원자 층 증착을 위해 이루어진, 덮개 조립체 및 가스 전달 장치를 위한 인서트의 측면도를 도시한다.
[0028] 도 5b 및 5c는 각각, 본 개시물의 몇몇 실시예들에 따른, 도 5a의 인서트의 수평 단면의 평면 단면도들을 도시한다.
[0029] 도 6은, 본 개시물의 몇몇 실시예들에 따른, 프로세스 챔버를 세정하는 방법을 예시하는 흐름도를 도시한다.
[0030] 이해를 용이하게 하기 위하여, 가능하면, 도면들에 공통되는 동일한 엘리먼트들을 나타내기 위해 동일한 참조번호들이 사용되었다. 도면들은 실척대로 도시된 것은 아니며, 명료함을 위해 단순화될 수 있다. 일 실시예의 엘리먼트들 및 특징들은, 추가적인 언급 없이 다른 실시예들에 유익하게 통합될 수 있다.
[0031] 본 개시물의 실시예들은, 원자 층 증착(ALD) 챔버와 같은 기판 프로세싱 챔버들을 세정하고, 그리고, 예컨대, ALD 프로세스 동안 재료들을 증착시키는 데에 사용될 수 있는 장치 및 방법들을 제공한다. 실시예들은, 원격 플라즈마 소스 및 다중 주입 덮개 조립체를 포함하는, 기판 프로세싱 챔버들 및 가스 전달 시스템들을 포함한다. 다른 실시예들은, ALD 프로세스들 동안 이러한 가스 전달 시스템들을 사용하여 재료들을 증착시키기 위한 방법들을 제공한다. 본원에서 설명되는 장치들의 통합을 위해 적합한 프로세싱 챔버들의 예들은, 캘리포니아주 산타클라라의 Applied Materials, Inc,로부터 입수 가능한, 고 유전체 상수(즉, 고 k) 및 금속 ALD 증착 챔버들을 포함한다. 이하의 프로세스 챔버 설명은 맥락 및 예시적인 목적들을 위해 제공되며, 본 개시물의 범위를 제한하는 것으로 해석되거나 이해되어서는 안된다.
[0032] 도 1a 및 1b는, 본 개시물의 몇몇 실시예들에 따른, ALD 프로세스들을 위해 이루어진 가스 전달 시스템(130)을 포함하는 기판 프로세싱 챔버(프로세스 챔버(100))의 개략도들이다. 도 1c는, 가스 전달 시스템(130)의 다른 실시예를 포함하는 프로세스 챔버(100)의 개략도이다. 프로세스 챔버(100)는, 하나 또는 그 초과의 측벽들(104) 및 바닥부(106)를 갖는 챔버 본체(102)를 포함한다. 챔버 덮개 조립체(132)는, 챔버 본체(102) 내에 그리고 챔버 덮개 조립체(132) 아래에 프로세싱 용적을 정의하기 위해, 챔버 본체(102)의 정상에 배치된다. 프로세싱 챔버(100)의 슬릿 밸브(slit valve; 108)는, 로봇(도시되지 않음)이 기판(110), 예컨대, 200mm 또는 300mm 반도체 웨이퍼 또는 유리 기판을 프로세스 챔버(100)에 전달하고 프로세스 챔버(100)로부터 회수하기 위한 액세스를 제공한다.
[0033] 기판 지지부(112)는 프로세스 챔버(100)의 기판 수용 표면(111) 상에 기판(110)을 지지한다. 기판 지지부(112)는, 기판 지지부(112) 및 기판 지지부(112) 상에 배치된 기판(110)을 상승시키고 하강시키기 위한 리프트 모터(114)에 장착된다. 리프트 모터(118)에 연결된 리프트 플레이트(116)는, 기판 지지부(112)를 통해서 이동 가능하게 배치된 리프트 핀들(120)을 상승시키고 하강시키기 위해, 프로세스 챔버(100)에 장착된다. 리프트 핀들(120)은 기판 지지부(112)의 표면 위의 기판(110)을 상승시키고 하강시킨다. 기판 지지부(112)는, 증착 프로세스 동안 기판(110)을 기판 지지부(112)에 고정시키기 위해, 진공 척(도시되지 않음), 정전 척(도시되지 않음), 또는 클램프 링(도시되지 않음)을 포함할 수 있다.
[0034] 기판 지지체(112)의 온도는 기판(110)의 온도를 제어하도록 조정될 수있다. 예를 들어, 기판 지지체(112)는 저항성 히터(미도시)와 같은 내장형 가열 소자를 사용하여 가열 될 수 있거나, 기판 지지부(112) 위에 배치 된 가열 램프(미도시)와 같은 열을 방출한다. 기판 지지부(112) 상에 퍼지 링(122)이 배치되어 기판(110)의 주변부에 퍼지 가스를 제공하는 퍼지 채널(124) 기판(110)의 주변부에 증착된다.
[0035] 가스 전달 시스템(130)은 프로세스 챔버(100)에 프로세스 가스 및/또는 퍼지 가스와 같은 가스를 제공하기 위해 챔버 바디(102)의 상부에 배치된다. 도 1 및 2A-2G는 가스 전달 시스템 기판(110)을 적어도 2 개의 가스 소스 또는 화학 전구체에 노출시킨다. 진공 시스템(178)은 프로세스 챔버(100)로부터 임의의 원하는 가스를 배출하고 프로세스 챔버(100)의 펌핑 구역(166) 내부의 원하는 압력 또는 압력 범위를 유지하도록 돕기 위해 펌핑 채널(179)과 연통한다.
[0036] 일부 실시예에서, 가스 전달 시스템(130)은 챔버 덮개 조립체(132)의 중심부를 통해 연장되는 가스 분산 채널(134)을 포함한다. 도 1c에 도시 된 바와 같이, 가스 분산 채널(134)은 기판 수용 표면(111) 일부 실시예에서, 가스 분산 채널(134)의 일부분은 상부(350) 내의 중심 축(133)을 따라 실질적으로 원통형이고 가스 확산 채널(134)의 중심 축은 상부 판 가스 분산 채널(134)은 가스 분산 채널(134)의 하부(135) 내에서 중심 축(133)으로부터 멀어져 가늘어진다. 가스 분산 채널(134)은 하부 표면(160)을지나 연장되어 반응 영역(164)으로 연장된다. 하부 표면(160)은 가스 분산 채널(134)의 하부(135)로부터 쵸크(162)까지 연장된다. 하부 표면(160)은 기판 지지체(112)의 기판 수용 표면(111) 상에 배치 된 기판(110)을 실질적으로 덮기위한 크기 및 형상을 갖는다. 표면(160)은 리드 플레이트(170)의 외측 에지로부터 가스 분산 채널(134)을 향해 가늘어진다.
[0037] 분산 채널을 통한 프로세스 가스의 유동을 도시하는 가스 유동(174)은 다양한 유형의 유동 패턴을 포함 할 수있다. 일부 실시예에서, 프로세싱 가스는 분산 채널을 통과하면서 가스 분산 채널(134)의 중심 축(133) 주위로 회전하도록 강제될 수 있다. 이러한 실시예에서, 가스 유동(174)은 와류 패턴, 나선형 패턴, 나선형 패턴 또는 그 파생 형과 같은 다양한 유형의 원형 유동 패턴을 포함 할 수있다.
[0038] 원형 가스 흐름(174)을 제공하는 것이 많은 응용에 유익하지만, 발명자들은 일부 응용에서 원형 가스 흐름이 불균일한 처리 결과를 초래할 수 있다는 것을 발견했다. 이와 같이, 일부 구체 예에서, 가스 흐름(174)은 2 개 이상의 가스의 강화 된 혼합을 제공하기 위해보다 난류 일 수있다. 본 발명자들은 가스 유동(174)에 더 난류 혼합을 제공함으로써, 증착 균일 성이 일부 적용에서 개선 될 수 있다는 것을 관찰 하였다. 예를 들어, 중심에서 낮은 증착 속도 및 기판의 중심과 에지 사이의 영역에서보다 높은 증착 속도로 원형 가스 흐름을 사용할 때 "m"형 증착 프로파일을 초래하는 특정 응용 예에서, 난류 혼합은 유리하게는 증착 균일 성을 향상시킬 수있다.
[0039] 가스 분산 채널(134)은 함께 또는 개별적으로 제공 될 수있는 두 개의 유사한 쌍의 밸브(142A/152A, 142B/152B) 쌍으로부터의 가스 유동을 제공하기 위한 가스 유입구(340, 345, 370) 일 구성에서, 밸브(142A) 및 밸브(142B)는 별도의 반응 가스 소스에 결합되지만, 일부 실시예에서는 동일한 퍼지 가스 소스에 결합될 수 있다. 예를 들어, 밸브(142A)는 반응 가스 소스(138)에 연결되고 밸브(142B)는 반응 가스 소스(139)에 연결되고, 두 밸브(142A, 142B)는 퍼지 가스 소스(140)에 연결된다. 각각의 밸브(142A, 142B)는 밸브 시트 조립체(144A, 144B)를 갖는 전달 라인(143A, 143B)을 포함하고 각 밸브(152A, 152B)는 밸브 시트 조립체(146A, 146B)를 갖는 퍼지 라인(145A, 145B)을 포함한다. 전달 라인(143A, 143B)은 반응 가스 소스(138 및 139)와 유체 연통하며 가스 분산 채널(134)의 가스 입구(340, 345, 370)와 유체 연통한다. 전달 라인(143A, 143B)의 밸브 시트 조립체(144A, 144B)는, 반응물 가스 소스(138, 139)로부터 가스 분산 채널(134) 로의 반응 가스의 흐름을 제어한다. 퍼지 라인(145A, 145B)은 퍼지 가스 소스(140)와 연통하고, 전달 라인(143A, 143B)의 밸브 시트 조립체(144A, 144B)의 하류의 전달 라인(143A, 143B)과 교차한다. 퍼지 라인(145A, 145B)의 밸브 시트 조립체(146A, 146B)는 퍼지 가스 소스(140)로부터 가스 분산 채널(134) 로의 퍼지 가스의 흐름을 제어한다. 캐리어 가스가 반응 가스 소스(138 및 139) 가스는 캐리어 가스 및 퍼지 가스(예를 들어, 캐리어 가스 및 퍼지 가스로서 사용되는 아르곤 가스)로서 사용될 수있다.
[0040] 각 밸브 시트 조립체(144A, 144B, 146A, 146B)는 다이어프램(도시되지 않음) 및 밸브 시트(도시되지 않음)를 포함 할 수있다. 다이어프램은 개방 또는 폐쇄로 바이어스 될 수 있으며, 폐쇄 또는 개방 작동될 수 있다. 다이어프램은 공압식으로 작동되거나 전기적으로 작동 될 수 있습니다. 공압식 작동 식 밸브에는 Fujikin, Inc. 및 Veriflo 사업부, Parker Hannifin, Corp.에서 제공하는 공압식 작동 밸브가 포함된다. 전기 작동 식 밸브에는 Fujikin, Inc.에서 제공하는 전기적으로 작동되는 밸브가 포함된다. 예를 들어, 사용될 수 있는 ALD 밸브는 후지 키네 모델 번호 FPR-UDDFAT-21-6.35-PI-ASN 또는 후지 키네 모델 번호 FPR-NHDT-21-6.35-PA-AYT이다. 프로그램 가능한 논리 제어기(148A, 148B)는 밸브(142A, 142B)에 연결되어 밸브(142A, 142B)의 밸브 시트 조립체(144A, 144B, 146A, 146B)의 다이어프램의 작동을 제어 할 수있다. 공압식 작동 밸브는 약 0.020 초의 낮은 시간 간격으로 가스 펄스를 제공 할 수 있다. 전기적으로 작동되는 밸브는 약 0.005 초의 낮은 시간에 가스 펄스를 제공 할 수있다. 전기적으로 작동되는 밸브는 전형적으로 밸브와 프로그램 가능한 논리 제어기 사이에 결합 된 드라이버를 사용한다.
[0041] 각각의 밸브(142A, 142B)는 밸브 시트 조립체(144A, 144B)가 폐쇄 될 때 전달 라인(143A, 143B)으로부터 반응 가스의 플러싱을 가능하게하는 제로 데드 볼륨 밸브 일 수있다. 예를 들어, 퍼지 라인(145A, 145B)은 전달 라인(143A, 143B)의 밸브 시트 조립체(144A, 144B)에 인접하여 위치될 수 있다. 밸브 시트 조립체(144A, 144B)가 폐쇄 될 때, 퍼지 라인(145A, 145B)은 퍼지 가스를 제공하여 전달 라인(143A, 143B)을 플러싱 할 수있다. 일 실시예에서, 퍼지 라인(145A, 145B)은 퍼지 가스가 개방 될 때 퍼지 가스가 밸브 시트 조립체(144A, 144B)로 직접 전달되지 않도록 전달 라인(143A, 143B)의 밸브 시트 조립체(144A, 144B)로부터 약간 이격되어 위치된다. 본원에 사용 된 제로 데드 볼륨 밸브는 무시할 수있는 데드 볼륨(즉, 반드시 데드 볼륨이 0 일 필요는 없음)을 갖는 밸브로 정의된다.
[0042] 각각의 밸브 쌍(142A/152A, 142B/152B)은 반응 가스와 퍼지 가스의 결합 된 가스 유동 및/또는 별도의 가스 유동을 제공하도록 적용될 수있다. 밸브 쌍(142A/152A)을 참조하면, 반응 가스와 퍼지 가스의 조합 된 가스 흐름의 한 예는 퍼지 가스 소스(140)로부터 퍼지 라인(145A)을 통한 퍼지 가스의 연속적인 흐름 및 반응 가스로부터의 반응 가스의 펄스 소스(138)에 전달된다. 퍼지 가스의 연속적인 유동은 퍼지 라인(145A)의 밸브 시트 조립체(146A)의 다이어프램을 개방 한 채로 제공될 수 있다. 반응 가스 소스(138)로부터의 반응 가스의 펄스는 전달 라인(143A)의 밸브 시트 조립체(144A)의 다이어프램을 열고 닫음으로써 제공될 수 있다. 밸브 쌍(142A/152A)을 참조하면, 반응 가스 및 퍼지 가스의 개별적인 가스 흐름의 한 예는 퍼지 가스 소스(140)로부터 퍼지 라인(145A)을 통한 퍼지 가스 및 반응 가스 소스(138) 배달 라인(143A)을 포함한다. 퍼지 가스의 펄스는 퍼지 라인(145A)의 밸브 시트 조립체(146A)의 다이어프램을 열고 닫음으로써 제공될 수 있다. 반응 가스 소스(138)로부터의 반응 가스의 펄스는 전달 라인(143A)의 밸브 시트 조립체(144A)의 다이어프램을 열고 닫음으로써 제공될 수 있다.
[0043] 밸브(142A, 142B)의 전달 라인(143A, 143B)은 유체 전달 라인(210,220,215) 및 환형 채널(260,265,270)을 통해 가스 입구(340,345,370)와 결합될 수 있다. 유체 전달 라인(210,220,215) 또는 밸브(142A, 142B)로부터 분리되어 하나 이상의 유체 공급원에 연결될 수있다. 일 양태에서, 밸브들(142A, 142B)은 밸브들(142A, 142B)과 가스 입구(340, 345,370) 사이의 임의의 불필요한 분배 라인(143A, 143B) 및 유체 공급 라인(210,220,215)을 줄이기 위해 가스 분산 채널(134)에 근접하여 커플링된다.
[0044] 이론에 구속되기를 바라지 않고, 본 발명자들은 가스 분산 채널(134)의 상부로부터 가스 확산 채널(134)의 상부로부터 중심 축(133)을 따라 소정 지점까지 일정한 다음 가스 분산 채널의 하부(135) 가스 분산 채널(134)을 통해 가스가 단열 팽창하는 것을 방지하여 가스 유동(174)에 포함 된 프로세스 가스의 온도를 제어하는 것을 돕는다. 예를 들어, 가스 분산 채널(134) 내로 전달 된 가스의 갑작스런 단열 팽창은 가스의 응축 및 액적의 형성을 야기 할 수있는 가스 온도의 저하를 초래한다. 한편, 점차 가늘어지는 가스 분산 채널(134)은 가스의 단열 팽창을보다 적게 제공하는 것으로 여겨진다. 따라서, 더 많은 열이 가스로 또는 가스로부터 전달 될 수 있고, 따라서 가스의 온도는 챔버 덮개 조립체(132)의 온도를 제어함으로써보다 용이하게 제어될 수 있다. 가스 분산 채널(134)은 점진적으로 점점 가늘어지고 하나 이상의 테이퍼 오목한 표면, 볼록한 표면 또는 이들의 조합과 같은 내부 표면을 포함 할 수 있거나 또는 하나 이상의 테이퍼 된 내부 표면의 섹션(즉, 테이퍼 된 부분 및 테이퍼되지 않은 부분)을 포함 할 수있다.
[0045] 가스 분산 채널(134)의 상부는 하우징(200) 내에 배치 된 인서트(300)에 의해 형성된다. 캡(400)은 하우징(200) 및 인서트(300) 상에 배치될 수 있다. 인서트(300) 및 캡(400)은 복수의 O 링(385)(300)과 하우징(200) 사이에 삽입되어 적절한 밀봉을 보장한다.
[0046] 도 1a에 도시 된 바와 같이, 처리 챔버(100)는 원격 플라즈마 소스(RPS)(190), 일 단부에서 RPS(190) 및 대향 단부에서 캡(400)에 결합 된 격리 칼라(192) 및 RPS(190)에 유체 연결되는 세정 가스 소스(197)를 포함 할 수있다. 세정 가스 소스는 프로세스 챔버(100)를 세정하기 위해 플라즈마를 형성하기에 적합한 임의의 가스를 포함 할 수있다. 예를 들어, 세정 가스는 삼 플루오르 화질 소(NF3) 일 수있다. 히터 플레이트(198)는 스테인리스 강으로 형성 될 수 있고 플레이트 전체에 걸쳐 분산 된 다수의 저항성 가열 요소를 포함한다.
[0047] 도 1c를 참조하면, 절연 칼라(192)는 캡(400)의 중심부(282)의 복수의 구멍(285)을 통해 가스 분산 채널(134)에 유체 연결되어 RPS(190)로부터 가스를 통해 플라즈마를 흐르게하는 내부 채널 처리 챔버(100) 내부의 압력이 RPS(190)의 내부 압력을 초과하면, 처리 가스가 RPS(190)까지 흘러서 RPS(190)를 손상시킬 수있다. 복수의 구멍(285)은 초크 포인트 처리 가스가 내부 채널(193)을 통해 RPS(190)로 흘러 들어가는 것을 방지한다. 격리 칼라(192)는 사용되는 클리닝 가스와 반응하지 않는 임의의 재료로 형성될 수 있다. 일부 실시예들에서, 세정 가스가 NF3 일 때 절연 칼라(192)는 알루미늄으로 형성될 수 있다. 챔버 클리닝 시스템은 하우징(200)을 소정의 온도로 가열하기 위해 하우징(200) 내에 배치 된 하나 이상의 카트리지 히터(240)를 더 포함한다. 몇몇 실시예들에서, 미리 결정된 온도는 150℃와 동일하거나 그 초과이다. 하우징(200) 및 캡(400)은 스테인리스 스틸로 이루어질 수있다.
[0048] RPS 190은 약 40 ℃ 이하의 온도에서 작동합니다. 본 발명자는 카트리지 히터(240)에 의해 하우징(200)에서 발생 된 열로부터 RPS(190)를 유리하게 절연시키기 위해 절연 칼라(192)와 캡(400) 사이의 접촉 영역을 최소화 하였다. 절연을 달성하기 위해 열 분리 링(194) 절연 칼라(192) 및 캡(400)을 포함한다. 열적 격리 링(194)은 열전도율이 낮은(예를 들어, 절연 칼라(192) 및 캡(400)의 열 전도성보다 낮은) 금속으로 형성된다. 일부 실시예에서, 예를 들어, 열 분리 링(194)은 스테인리스 스틸로 형성된다. 또한 절연 칼라(192)와 캡(400) 사이의 접촉 영역을 더 감소시키기 위해 절연 칼라(192)와 캡(400) 사이에 O-링(385)이 배치될 수 있다. 열 격리 링(194)과 O-링(385)은 하우징(200)에서 발생하는 열이 RPS(190)에 악영향을 미치지 않도록 열적 초크로서 작용한다. 일부 실시예에서, RPS(190)에 인접한 격리 칼라(192)의 상부는 열 전달 매체 격리 칼라(192) 내의 채널들(도시되지 않음)을 통과한다.
[0049] 도 2A-2G에 도시 된 실시예에서, 환형 매니 폴드(205)는 내부 영역(290)을 한정하고 내부 영역(290) 주위에 배치 된 둘 이상의 환형 채널을 적어도 부분적으로 한정한다 도 2C는도 2A의 라인 2C를 따른 단면도이다. 도 2D는도 2C의 라인 2D를 따른 단면도이다. 다른 실시예에서, 환형 매니 폴드(205)는 내부 영역(290)을 한정하고 내부 영역(290) 주위에 배치 된 환형 채널을 포함한다. 도 2F는 3 개의 유체 전달 라인 및 2 개의 환형 채널을 도시하는 다른 실시예이다. 도 2g는도 2f의 라인 2g을 따른 단면도이다.
[0050] 둘 이상의 환형 채널은 환형 매니 폴드(205)의 중심 축(133)을 따라 서로 수직으로 이격 된 방식으로 배치된다. 환형 채널(260)과 같은 환형 채널은 내부에 유체를 유동시키기위한 채널을 포함하고, 환형 채널은 내부 영역 주위로 예를 들어 270° 내지 360°와 같은 내부 영역에 대해 360°까지 유체 연통을 제공 할 수있다. 각각의 환형 채널은 프로세싱 가스와 같은 유체가 환형 매니 폴드(205)와 결합 된 삽입 체(300)에 형성된 구멍을 통해 유체를 분산시키기 위해 유체 소스(예를 들어, 가스 소스)로부터 내부 영역으로 전달되도록한다. 환형 채널은 다양한 단면 형상 및 디자인을 가질 수있다. 예를 들어, 환형 채널은 원형, 반원형, 직사각형 또는 타원형 단면 디자인 일 수있다. 횡단면 설계는 환형 채널로부터 환형 채널과 결합 된 개구부로의, 처리 가스와 같은 유체의 효과적인 유동을 제공하도록 구성된다. 예를 들어, 환형 채널은 직사각형 단면의 3면을 포함 할 수 있고 제 4면은 삽입 체(300)의 수직 몸체(330) 일 수있다. 따라서, 3 개의 직사각형 단면 및 삽입 체(300)는 함께 환형 채널을 형성한다.
[0051] 일 실시예에서, 각각의 환형 채널은 환형 채널(260)과 같은 내부 영역(290)을 원주 방향으로 뻗어 있으며도 2D 및 2E에 도시 된 바와 같이 내부 영역의 360°의 유체 연통을 제공한다. 다른 실시예에서, 환형 채널들 중 하나는 360°의 유체 연통 및 360° 미만의 적어도 제 2 환형 채널을 가질 수있다. 일 실시예에서, 제 1 환형 채널(260) 및 제 2 환형 채널(265)은 내부 영역(290) 둘레에 배치된다. 다른 실시예에서, 환형 매니 폴드(205)는 3 개의 환형 채널(260, 265, 270)(도 1C에 도시 됨)을 포함 할 수있다.
[0052] 환형 채널 각각은도 1c에 도시 된 바와 같이 유체 전달 라인(210, 215, 220)과 같은 각각의 유체 전달 라인과 결합된다. 선택적으로, 각각의 환형 채널은 환형 채널을 통해 흐르는 가스 또는 대체 가스의 혼합을 제공 할 수있는 도 2f 및도 2g에 도시 된 바와 같은 둘 이상의 유체 전달 라인과 연결될 수있다. 도 2g는 환형 채널(265)과 각각 결합되는 유체 전달 라인(210, 215)을 도시한다.
[0053] 각각의 유체 라인은 가스 소스와 같은 유체 소스와 결합된다. 대안 적으로, 각각의 유체 라인은 2 개 이상의 가스 소스와 결합 될 수 있으며, 이는 가스들의 혼합 또는 환형 채널을 통해 유동하는 대체 가스를 제공 할 수있다. 다중 환형 채널의 사용은 하프늄 산화물 증착 프로세스을 위한 염화 하프늄 및 물과 같은 상이한 전구체의 공급을 가능하게 할 수 있고 및/또는 상이한 농도의 동일한 전구체를 허용 할 수있다. 또한, 플레넘은 혼합 전구체 또는 전구체의 교대 전달을 포함하여 상이한 전구체를 공급할 수있다.
[0054] 퍼지 라인(250)과 같은 적어도 하나의 퍼지 라인이 또한 환형 매니 폴드(205)에 형성될 수 있다. 퍼지 라인은 환형 매니 폴드(205)의 수직 부분으로 도입된다. 퍼지 라인은 하나 또는 상기 일련의 환형 채널의 위와 아래의 내부 영역(290)과 접촉하도록 배치 된 더 많은 갭 퍼지 라인(280)을 포함한다. 내부 영역에서 갭 퍼지 라인(280) 각각은 내부 영역에 인접하여 배치 된 환형 매니 폴드(205)의 표면에 형성된 원주 방향으로 형성된 환형 채널(245, 255)과 같은 연장하는 환형 채널을 가질 수있다. 퍼지 라인(250)은 또한 환형 매니 폴드(205) 내에 배치 된 수직으로 배치 된 라인(230)과 결합된다. 하나 이상의 갭 퍼지 라인은 또한 인서트(300)의 수직 몸체(330)를 따라 퍼지 가스의 흐름을 삽입 가스(300) 및 인접한 가스 분산 채널(134)을 형성하는 리드 캡(172)의 재료를 포함 할 수있다. 퍼지 가스는 프로세싱 가스가, 인접한 가스 분산 채널(134)을 형성하는 리드 캡(172) 및 리드 플레이트 조립체와 하우징 및 인서트 사이에 배치 된 O-링(385)과 같은 임의의 구조적 밀봉 재료들과 반응하는 것을 추가로 방지할 것이다.
[0055] 퍼지 라인(250)은 처리 챔버에 대해 앞서 기술 된 바와 같이 퍼지 가스 소스들 중 하나에 연결될 수 있으며, 퍼지 가스는 질소 또는 희귀 가스와 같은 비 반응성 가스를 포함 할 수있다. 퍼지 라인은 인서트와 환형 매니 폴드(205) 사이에 퍼지 가스를 제공하여 이들 영역에서 원하지 않는 프로세싱 가스를 제거한다. 따라서, 정화 가스는 메탈 할라이드 전구체와 같은 반응성 프로세싱 가스에 노출 될 때 시간에 따라 열화 될 수있는 O 링 물질과 같은 프로세싱 가스로부터 민감한 물질을 보호한다.
[0056] 도 3a 내지도 3d를 참조하면, 인서트(300)는 내부 영역(290)에 배치되어 가스 분산 채널(134)의 상부(350)를 한정한다. 인서트는 결합되는 절두 된 부분(320)을 갖는 결합 뚜껑(310) 와, 상기 하우징(200)의 상부에 결합되는 수직 몸체(330)를 포함한다. 상기 수직 몸체(330)는 상기 상부(350)를 형성한다. 상기 상부 몸체는 원통형 또는 원형 실질적으로 원통형이다. 일 실시예에서,도 3b에 도시 된 바와 같이, 상부(350)는 원통형 상부(351) 및 확장 바닥부(352)를 포함하며, 확장 바닥부(352)는 복수의 구멍(346)의 하부 세트 아래에 배치된다.
[0057] 하나 이상의 가스 입구(340, 345)는 삽입 체(300)의 수직 몸체에 배치될 수 있다. 가스 입구(340, 345)는 수직 몸체(330)의 일부분에서 수평면을 따라 복수의 구멍(341, 346) 각각의 수평면을 따른 개구(341, 346)의 개수는도 3a 내지도 3c에 도시 된 바와 같이 2 개 내지 10 개의 개공, 예를 들어 6 개의 개공 일 수있다. 복수의 개구 중 하나 이상의 세트가 삽입 체(300)를 따라 형성될 수 있다. 개구(341, 346)는 수직 몸체(330)의 수평면 주위에 서로 등거리에 배치될 수 있다. 선택적으로, 개구(341, 346)는 상부(350) 내로 바람직한 가스 유동 특성을 제공하도록 이격 및/또는 그룹화될 수 있다. 상부(350) 형태와 결합하여 수직 몸체(330)의 수평면 주위에 서로 등 간격으로 배치 된 구멍(341, 346) 각각을 통해 동일하거나 실질적으로 동일한 압력 및 가스 유량을 허용하여 기판 표면에서보다 균일한 프로세스 가스 흐름을 제공 할 수있다.
[0058] 구멍(341, 346)은 중심 축(133) 또는 가스 분산 채널(134) 및 수직 몸체(330)를 거의 접하는 것과 같이 중심 축(133)에 대해 임의의 각도로 배치될 수 있다. 방사형 및 수직 방향. 개구(341, 346)는 원하는 흐름 특성을 제공하기 위해 수평에 대해 0° 내지 90°의 각도를 가질 수있다. 일부 실시예에서, 개구(341, 346)는(도 3C에 도시 된 바와 같이) 상부(350)에 접하는 각도로, 수평으로부터 약 0° 내지 약 90° 또는 약 0° 내지 약 60°의 범위 내에서 위치되며, 또는 약 0° 내지 약 45°, 또는 약 0° 내지 약 20° 일 수있다. 일부 실시예에서, 개구(341, 346)는(도 3D에 도시 된 바와 같이) 상부(350)에 접선 및 수직(즉, 반경 방향 구멍(342))의 각도로 배치된다.
[0059] 개구(341, 346)는 환형 매니 폴드(205)의 둘 이상의 환형 채널(260, 265)과 유체 연결된다. 환형 매니 폴드(205) 내에 형성된 환형 채널에 대응하는 다수의 다수 세트의 개구가 각각의 입구와 함께 사용될 수있다. 개구는 임의의 단면 형상, 예를 들어 직사각형 형상, 원통형 튜브 또는 눈물 방울 형상 일 수있다. 다수의 구멍을 갖는 환형 채널 및 입구의 조합은 기판 표면에서보다 균일한 프로세스 가스 흐름을 제공한다.
[0060] 인서트(300)는 NF3 클리닝 가스와 같은 처리 가스 및 클리닝 가스와 반응하지 않는 재료로 제조될 수 있다. 그러한 재료 중 하나는 알루미늄입니다. 도면에 도시 된 구성에서, 알루미늄 인서트는 증가 된 재료 호환성을 갖는 것으로 관찰된다. 즉, 알루미늄 인서트는 스테인레스 스틸과 같은 다른 재료보다 NF3 세정 가스 및 물과 같은 다른 처리 가스와의 반응성이 적다. 또한, 인서트 재료는 또한 인서트(300)가 접촉 할 수있는 챔버의 다른 구조적 구성 요소들과보다 양립 할 수있다. 예를 들어, 뚜껑 뚜껑(172) 및 가스 분산 채널(134)을 둘러싸는 뚜껑 판(170)의 부분은 또한 알루미늄 인서트(300)가 양호한 재료 적합성을 가지며 제조 및 조립에보다 구조적으로 양립 할 수있는 알루미늄으로 제조될 수 있다.
[0061] 유입구를 형성하는 복수의 개구(복수의 진입 점)를 갖는 본원에 설명 된 리드 캡은 기판 표면에 대한 처리 가스의보다 균일한 흐름을 제공하여, 단일 진입 점/단일 입구 유입구에 비해 환형 방향으로보다 균일한 두께를 제공한다. 본 발명자들은 2 인치 링 분석, 4 인치 링 분석, 및 4 인치 링 분석을 따라 본원에 설명 된 리드 캡(172) 조립체의 다중 환형 채널과 함께 개선 된 두께 균일 성으로 웨이퍼 - 인 - 웨이퍼(WiW) 단일 진입 점/단일 입구 입구에 비해 기판 모서리에서 3mm 지점에 위치한다. 부가 적으로, 본 명세서에 기재된 다중 환형 채널은 단일 진입 점/단일 입구 입구와 비교하여 역 확산의 위험을 낮추고, 별도의 라인을 통해 프로세싱 가스를 독립적으로 제어 할 수있게하며, 가열 된 불활성 가스 단일 진입 점/단일 입구 유입구와 비교하여 O 링을 보호하기 위해 퍼지한다. 또한 다중 환형 채널을 사용하여 PVC 밸브를 사용하여 부식 방지 기능을 향상시키고 VCR 피팅으로 교체 한면 씰과 같은 간단한 하드웨어 설계를 제공하며 단일 진입 점/단일 입구 유입구에 필요한 구성 요소를 제거하므로 단일 진입 점/단일 진입구와 비교하여 향상된 서비스 가능성을 허용한다.
[0062] 도 1a 및도 1b는 본원의 실시예에서 설명한 바와 같이 가스 분산 채널(134)로 진행하는 경로 가스를 도시한다. 프로세스 가스는 유체 전달 라인(210 및 220)으로부터 환형 채널(260 및 265)로, 가스 입구(340, 345)를 통해 그리고 상부(350) 및 가스 분산 채널(134)을 통해 전달된다. 도 2D,도 3B 및도 3C는(210)로부터 환형 채널(265) 내로, 가스 입구(340)를 통해 그리고 상부(350)로 이동하는 프로세스 가스 또는 전구체 가스를 포함한다. 제 2 경로는 유체 전달 라인(220)으로부터 환형 채널(260) 도 1b,도 2d,도 3b 및도 3c에 도시 된 바와 같이, 유입구(345)로부터 상부(350)로 유입된다. 일부 실시예에서, 도 1c 및도 2f에 도시 된 바와 같이, 제 3 경로는 유체 공급 라인(215)에서 환형 채널(270)로, 가스 입구(370)를 통해, 상부(350)로 연장된다.
[0063] 가스 분산 채널(134)을 통한 정확한 가스 흐름 패턴은 알려지지 않았지만, 원형 가스 흐름(174)(도 1C)은 가스 유입 채널(340, 345, 370) 소용돌이 류, 소용돌이 류, 회전 류, 비틀림 류, 코일 류, 코크 스크류 류, 컬류, 소용돌이 류, 이들의 유도체 또는 이들의 조합 물로서 존재할 수있다. 일 양태에서, 원형 가스 흐름(174)은 가스 분산 채널(134)의 내면을 가로 지르는 와류 흐름 패턴의 스위핑 작용으로 인해 가스 분산 채널(134)의보다 효율적인 퍼지를 확립하는 것을 도울 수있다.
[0064] 전술 한 바와 같이, 본원 발명자들은 일부 응용에서 원형 가스 유동이 불균일한 처리 결과를 초래할 수 있다는 것을 발견했다. 이와 같이, 일부 구체 예에서, 가스 흐름(174)은 2 개 이상의 가스의 강화 된 혼합을 제공하기 위해보다 난류 일 수있다. 도 4a는 ALD 챔버의 리드 캡에 삽입 될 때 삽입 체(300)의 수직 몸체(330)와 리드 캡 사이에 3 개 이상의 환형 채널(402, 404, 406)을 한정하는 삽입 체(300)를 도시한다. 환형 채널(402, 404, 406)은 전술 한 환형 채널(260, 265, 270)과 실질적으로 유사하다. 환형 채널(402, 404, 406)은 각각 복수의 구멍(410, 420, 430)에 유체 연결된다. 각각의 수평면을 따른 개구(410, 420, 430)의 개수는도 4b 내지도 4c에 도시 된 바와 같이 2 내지 10 개, 예를 들어 6 개이다. 전술 한 구멍과 유사하게, 각각의 복수의 구멍(410, 420, 430) 내의 각 구멍은 수직 몸체(330) 둘레에서 서로 등거리로 배치될 수 있다. 그러나, 이러한 실시예에서, 복수의 구멍(410, 420, 430)은 복수의 개구(410, 420, 430) 중 적어도 하나의 다른 것과 비교하여 반대 방향으로(예를 들어,도 4B-C에 도시 된 관점에서, 복수의 개구는 제 1, 예를 들어 시계 방향으로 회전 유동을 제공하도록 구성되며, 복수의 개구 중 적어도 하나는 제 2 방향, 예를 들어 반 시계 방향으로 회전 유동을 제공하도록 구성된다. 예를 들어,도 4B-C에 도시 된 바와 같이, 복수의 개구(410)는 가스 흐름을 반 시계 방향으로 지향시키고, 복수의 개구(420)(및 복수의 개구(430))는 시계 방향으로 가스 흐름을 지향시킨다. 복수의 구멍(410, 420, 430)의 역류 방향 구성의 결과로서, 난류 가스 흐름(174)이 생성된다. 삽입 체(300)는 삽입 체(300)와 ALD 챔버의 리드 캡 사이의 적절한 밀봉을 보장하기 위해 O-링을 배치하기위한 복수의 홈(408)을 포함 할 수있다.
[0065] 도 5a 내지도 5c는 본 발명의 일부 실시예에 따른 캡(500)을 갖는 인서트(300)를 도시한다. 도 5a 내지도 5c의 삽입물(300)은도 4a 내지도 4c의 삽입물(300)과 유사하고 상응하는 다수의 구멍(510, 520 및 530)에 유체 연결되는 복수의 환형 채널(502, 504, 506)을 포함한다. 그러나,도 5A-5C의 삽입 체(300)는 복수의 개구(510, 520 및 530)에 인접하게 배치 된 제 2 복수의 개구(511, 521 및 531)를 더 포함한다. 도 5b 및도 5c에 도시 된 바와 같이, 다수의 개구(510, 520 및 530)는 상부(350)(즉, 접선 방향의 구멍)에 접선이고 제 2의 복수의 개구(511, 521 및 531)는 수직 또는 방사상으로 정렬된다(350)(즉, 반경 방향 구멍)의 중심 축을 갖는 상부 부분(350)을 포함한다. 본 발명자는 삽입 체(300)에 반경 방향 구멍(511, 521, 531)을 도입하는 것이 2 개 이상의 기체의 강화 된 혼합을 제공하기 위해보다 난류 인 기체 흐름(174)을 생성함으로써 처리 균일 성을 유리하게 향상 시킨다는 것을 발견했다. 반경 방향 구멍(511, 521, 531)은 반경 방향 구멍(511, 521, 531)을 통해 흐르는 가스의 양을 유리하게 제어하기 위해 접선 구멍(510, 520, 530)의 직경과 동일하거나 다른 직경을 가질 수있다.(510, 520, 530)를 통한 가스 유동과 비교하여 더 작다. 일부 실시예에서, 반경 방향 구멍(511, 521, 531)은 접선 방향 구멍(510, 520, 530)보다 작은 직경을 가질 수있다. 인서트(300)는 ALD 챔버의 리드 캡과 인서트(300) 사이의 적절한 밀봉을 보장하기 위해 O-링의 배치를위한 다수의 홈(508)을 포함 할 수있다.
[0066] 도 1a는 챔버 덮개 조립체(132)의 하부 표면(160)의 적어도 일부분이 가스 분산 채널(134)에 결합 된 중심 개구로부터 챔버 덮개 조립체(132)의 주변부로 하향 및 외측으로 윤곽을 그리거나 기울어 져서 개선 된(134)으로부터 기판(110)의 표면을 가로 질러(즉, 기판의 중심으로부터 기판의 에지까지) 가스 유동의 속도 프로파일을 도시한다. 하부 표면(160)은 직선 표면, 오목 표면, 볼록 표면 또는 이들의 조합과 같은 하나 이상의 표면을 포함 할 수있다. 일 실시예에서, 하부면(160)은 볼록한 깔때기 형상이다.
[0067] 일 실시예에서, 하부 표면(160)은 기판 수용 표면(111)의 에지를 향하여 하향 및 외측으로 경사져 있으며, 챔버 덮개 조립체(132)의 하부 표면(160)과 기판(110) 사이를 이동하는 프로세스 가스의 속도의 변화를 감소시키면서 반응 가스에 대한 기판(110)의 표면의 균일한 노광. 일 실시예에서, 챔버 덮개 조립체(132)의 하향 경 사진 하부면(160)과 기판(110)의 표면 사이의 유동 섹션의 최소 영역에 걸친 유동 단면의 최대 면적의 비는 약 2 미만, 예를 들어, 약 1.5 미만, 약 1.3 미만 및 일부 실시 양태에서 약 1 미만이다.
[0068] 이론에 구속되기를 바라지 않고, 본 발명자들은 기판(110)의 표면을 가로 질러보다 균일한 속도로 이동하는 가스 유동이 기판(110) 상에 가스의보다 균일한 증착을 제공하는 것을 돕는다. 본 발명자는 가스의 속도가 기판(110) 표면상의 가스의 증착 속도에 직접 비례하는 가스의 농도에 정비례한다. 따라서, 기판(110)의 표면의 제 1 영역 대 기판(110)의 표면의 제 2 영역에서 가스의 더 높은 속도는 제 1 영역 상에 가스의보다 높은 증착을 제공하는 것으로 여겨진다. 하향 경사면(160)을 갖는 챔버 덮개 조립체(132)는 기판(110)의 표면을 가로 질러 가스의보다 균일한 증착을 제공하는데, 이는, 하면(160)이 보다 균일한 속도를 제공하고, 따라서 기판(110)의 표면에 걸쳐서 가스의 더 균일한 농도를 제공하기 때문이다.
[0069] 본 발명의 실시예에 따라 기판을 처리하기 위해 다양한 방법이 또한 이용될 수 있다. 일부 실시예에서, 기판을 처리하는 방법은 챔버 덮개 조립체(132)의 유체 전달 라인(210, 220)을 통해 가스 소스(138, 139)와 같은 하나 이상의 유체 소스로부터 2 개 이상의 반응물 또는 프로세스 가스를 흘려 보내는 단계를 포함한다. 2 개 이상의 프로세스 가스는 챔버 덮개 조립체(132)의 하우징(200)에 의해 적어도 부분적으로 한정된 둘 이상의 환형 채널(260, 265)을 통해 유체 전달 라인(210, 220)으로부터 흐른다. 하우징은 내부 영역(290)을 갖는다. 2 개 이상의 프로세스 가스는 2 개 이상의 환형 채널(260, 265)로부터 내부 영역(290)에 배치 된 삽입물(300)을 통해 그리고 챔버 덮개 조립체(132)의 가스 분산 채널(134)의 상부(350)로 흐른다. 가스 분산 채널(134)의 상부(350)를 적어도 부분적으로 한정하는 중앙 통로이다. 하나 이상의 프로세스 가스는 가스 분산 채널(134)을 통해 기판 지지체(112) 상에 배치 된 기판(110) 위의 반응 영역(164)으로 흐른다.
[0070] 도 1a는 기판(110)의 주변에 인접한 챔버 덮개 조립체(132)의 주변부에 위치한 초크(162)를 도시한다. 챔버 덮개 조립체(132)가 기판(110) 주위에 프로세싱 영역을 형성하도록 조립 될 때 초크(162)는 관통 가스의 유동을 제한하는 임의의 부재 기판(110)의 주변에 인접한 영역에 형성된다.
[0071] 하나의 특정 실시예에서, 초크(162)와 기판 지지부(112) 사이의 간격은 약 0.04 인치 내지 약 2.0 인치, 예를 들어 0.04 인치 내지 약 0.2 인치이다. 간격은 전달되는 가스 및 증착 동안의 프로세스 조건에 따라 변할 수있다. 초크(162)는 반응 영역(164)을 펌핑 영역(166)(도 1A)의 불균일한 압력 분포로부터 격리함으로써 챔버 덮개 조립체(132)와 기판(110) 사이에 형성된 체적 또는 반응 영역(164) 내에서보다 균일한 압력 분포를 제공하는 것을 돕는다.
[0072] 전술 한 바와 같이, 챔버 덮개 조립체(132)는 가스 분산 채널(134)을 가열하기위한 제 1 가열 요소(하우징(200) 내의 하나 이상의 카트리지 히터(240)) 및 제 2 히터 요소 리드 플레이트(170)(예를 들어 리드 플레이트(170)의 하부 표면(160))을 가열하기 위해 제 1 가열 부재의 반경 방향 외측에 배치된다. 챔버 덮개 조립체(132)의 온도 제어는 챔버 덮개 조립체(132)상의 가스 분해, 증착 또는 응축을 방지하기 위해 사용될 수있다. 일부 실시예에서, 챔버 덮개 조립체(132)의 구성 요소는 개별적으로 가열될 수 있다. 일부 실시예에서, 챔버 덮개 조립체(132)의 구성 요소는 동시에 가열된다. 예를 들어,도 1a를 참조하면, 챔버 덮개 조립체(132)는 리드 플레이트(170)와 리드 캡(172)을 포함 할 수 있으며, 리드 플레이트(170) 및 리드 캡(172)은 가스 분산 채널(134)을 형성한다. 리드 캡(172)은 하나의 온도 범위에서 유지 될 수 있고 리드 플레이트 다른 온도 범위에서 유지되거나 두 성분이 동일한 온도 범위에서 가열될 수 있다.
[0073] 챔버 덮개 조립체(132)의 구성 요소 및 부품은 스테인리스 스틸, 알루미늄, 니켈 도금 된 알루미늄, 니켈, 이들의 합금 또는 다른 적절한 재료와 같은 재료를 포함 할 수있다. 일 실시예에서, 리드 캡(172) 및 리드 플레이트(170)는 알루미늄, 알루미늄 합금, 강철, 스테인레스 강, 이들의 합금 또는 이들의 조합 물과 같은 금속으로 독립적으로 제조, 기계 가공, 단조 또는 다른 방법으로 제조될 수 있다.
[0074] 일부 실시예에서, 가스 확산 채널(134)(리드 플레이트(170) 및 리드 캡(172)의 내부 표면을 모두 포함함)의 내부 표면(131) 및 챔버 덮개 조립체(132)의 하부 표면(160)은 가스에 따른 가스의 유동을 돕기 위해 일부 실시예에서, 유체 전달 라인(210, 220)의 내부 표면은 그 내부를 통과하는 가스의 층류를 생성하는 것을 돕도록 전해 연마될 수 있다.
[0075] 도 1a는 프로세싱 조건을 제어하기 위해 프로세스 챔버(100)에 결합 된 프로그래밍 된 퍼스널 컴퓨터, 워크 스테이션 컴퓨터 등과 같은 제어 유닛(180)을 도시한다. 예를 들어, 제어 유닛(180)은 기판 처리 시퀀스의 상이한 스테이지들 동안 밸브들(142A 및 142B)을 통해 가스 소스들(138, 139 및 140)로부터 다양한 반응 가스 및 퍼지 가스를 유동 시키도록 챔버 세정 시스템 및 챔버 덮개 조립체를 제어하도록 구성될 수 있다. 예시적으로, 제어 유닛(180)은 중앙 처리 유닛(CPU)(182), 지원 회로(184) 및 관련 제어 소프트웨어(183)를 포함하는 메모리(186)를 포함한다.
[0076] 제어 유닛(180)은 다양한 챔버 및 서브 - 프로세서를 제어하기위한 산업 환경에서 사용될 수있는 임의의 형태의 범용 컴퓨터 프로세서 중 하나 일 수있다. CPU(182)는 랜덤 액세스 메모리, 판독 전용 메모리, 플로피 디스크 드라이브, 하드 디스크, 또는 임의의 다른 형태의 디지털 저장 장치, 로컬 또는 리모트와 같은 임의의 적절한 메모리(186)를 사용할 수있다. 제어 유닛(180)은 밸브(142A, 142B)의 프로그램 가능 논리 제어기(148A, 148B)와 같은 개개의 챔버 구성 요소에 인접하여 배치 된 다른 제어기에 연결될 수있다. 제어 유닛(180)과 프로세스 챔버(100)의 다양한 다른 구성 요소 사이의 양방향 통신은 신호 버스(188)로 통칭되는 다수의 신호 케이블을 통해 처리되며, 그 중 일부는도 1a에 도시되어있다. 제어 유닛(180)은 가스 소스(138,139,140) 및 밸브(142A, 142B)의 프로그램 가능한 논리 제어기(148A, 148B)로부터의 반응 가스 및 퍼지 가스의 제어 이외에도 웨이퍼에서 사용되는 다른 활동의 자동화 된 제어를 담당하도록 구성될 수 있다 웨이퍼 이송, 온도 제어, 챔버 배출과 같은 프로세싱을 포함하며, 그 중 일부는 본 명세서의 다른 곳에서 설명된다.
[0077] 도 1a 내지도 1c를 참조하면, 프로세싱 동작에서, 기판(110)은 로봇(도시되지 않음)에 의해 슬릿 밸브(108)를 통해 프로세스 챔버(100)로 전달된다. 기판(110)은 리프트 핀(120)과 로봇의 협동을 통해 기판 지지체(112) 상에 배치된다. 기판 지지부(112)는 기판(110)을 챔버 덮개 조립체(132)의 하부면(160)에 대향하도록 상승시킨다. 제 1 가스 유동은 밸브(142A)에 의해 프로세스 챔버(100)의 가스 분산 채널(134)(142B)에 의해 프로세스 챔버(100) 내로 주입된다. 제 1 가스 유동은 퍼지 가스 소스(140)로부터의 퍼지 가스의 연속적인 흐름 및 반응 가스 소스(138)로부터의 반응 가스의 펄스를 포함 할 수 있거나, 퍼지 가스로부터의 반응 가스의 펄스 및 반응 가스 소스(138) 제 2 가스 유동은 퍼지 가스 소스(140)로부터의 퍼지 가스의 연속적인 흐름 및 반응 가스 소스(139)로부터의 반응 가스의 펄스를 포함 할 수 있거나, 또는 반응 가스 소스(139)로부터의 반응 가스의 펄스 및 퍼지 가스 소스(140)로부터 가스를 퍼지한다.
[0078] 가스 흐름(174)은 가스 분산 채널(134)을 통해 가스 분산 채널(134)을 통한 강화 된 혼합을 제공하는 난류로서 이동한다. 난류 가스 흐름(174)은 기판(110)의 표면을 향하는 하향 유동으로 소산한다. 가스 유동은 가스 분산 채널(134)을 통해 이동한다. 가스 유동은 기판(110)의 표면을 가로 질러 그리고 챔버 덮개 조립체(132)의 하부 표면(160)을 가로 질러 이동한다. 챔버 뚜껑 조립체(132)의 하부면(160)은 하향 경사지며, 기판(110)의 표면을 가로 지르는 가스 흐름의 속도의 변화를 감소 시키는데 도움을 준다. 가스 흐름은 초크(162)에 의해 프로세스 챔버(100)의 펌핑 영역(166) 과잉 가스, 부산물 등은 펌핑 채널(179)로 흘러 들어가고 진공 시스템(178)에 의해 처리 챔버(100)로부터 배출된다. 처리 작동 전체에 걸쳐, 하나 이상의 카트리지 히터(240) 및 히터 플레이트(198) 132)를 소정의 온도로 가열하여 처리 챔버(100)(또는 챔버에 배치 된 처리 키트)의 벽에 축적 된 임의의 고형 부산물을 가열한다. 결과적으로, 임의의 축적된 고체 부산물들은 증발된다. 증발된 부산물들은 진공 시스템(178) 및 펌핑 채널(179)에 의해 진공배기된다. 몇몇 실시예들에서, 미리 결정된 온도는 150℃와 동일하거나 그 초과이다.
[0079] 도 6은, 본 개시물의 몇몇 실시예들에 따른 세정 동작(600)을 예시하는 흐름도를 도시한다. 또한 도 1a-1c를 참조하면, 세정 가스(예컨대, NF3)가 세정 가스 소스(197)로부터 RPS(190) 내로 유동된다. 610에서, 세정 가스로부터 플라즈마가 형성된다. 615에서, 그러면 플라즈마는, 격리 칼라(192)의 내측 채널(193)을 통해 가스 분산 채널(134) 및 반응 구역(164) 내로 유동된다. 플라즈마로부터의 이온들이 이후에, 가스 분산 채널(134) 및 반응 구역(164)을 둘러싸는 표면들에 충돌하여, 이러한 표면들 상에 축적된 임의의 잔류 부산물들을 제거한다. 620에서(그리고 세정 동작(600) 전체에 걸쳐서), 프로세스 챔버(100)(또는 챔버에 배치된 프로세싱 키트)의 벽들 상에 축적된 임의의 고체 부산물들을 가열하기 위해, 하나 또는 그 초과의 카트리지 가열기들(240) 및 가열기 플레이트(198)는 챔버 덮게 조립체(132)를 미리 결정된 온도로 가열한다. 결과적으로, 임의의 축적된 고체 부산물들은 증발된다. 증발된 부산물들은 진공 시스템(178) 및 펌핑 채널(179)에 의해 진공배기된다. 몇몇 실시예들에서, 미리 결정된 온도는 150℃와 동일하거나 그 초과이다.
[0080] 도 1a-1b에 예시된 바와 같이, 프로세스 챔버(100)는 피처들의 조합을 갖는 것으로 본원에서 설명되었다. 일 양태에서, 프로세스 챔버(100)는, 종래의 CVD 챔버와 비교하여, 작은 용적을 포함하는 반응 구역(164)을 제공한다. 프로세스 챔버(100)는, 특정 프로세스를 위해 반응 구역(164)을 채우기 위해, 더 적은 양의 가스, 예컨대, 반응물 가스 또는 퍼지 가스를 사용한다. 다른 양태에서, 프로세스 챔버(100)는, 기판(110)과 챔버 덮개 조립체(132)의 바닥부 표면 사이에서 이동하는 가스 유동의 속도 프로파일의 변동을 감소시키기 위해, 하방으로 그리고 외측으로 경사진 또는 깔때기형 하부 표면(하부 표면(160))을 갖는 챔버 덮개 조립체(132)를 제공한다. 또 다른 양태에서, 프로세스 챔버(100)는, 가스 분산 채널을 통해 도입되는 가스 유동의 속도를 감소시키기 위해 가스 분산 채널(134)을 제공한다. 또 다른 양태에서, 프로세스 챔버(100)는, 가스 분산 채널(134)의 중앙으로부터 각도(α)의 유체 전달 라인들을 제공한다. 프로세스 챔버(100)는 본원의 다른 곳에서 설명되는 바와 같은 다른 피처들을 제공한다. 원자 층 증착을 위해 이루어진 챔버의 다른 실시예들은 이러한 피처들 중 하나 또는 그 초과를 통합한다.
[0081] 상기 설명에서 환형 채널들(260, 265, 270)이 인서트 및 인접한 덮개 캡에 의해 정의되지만, 환형 채널들(260, 265, 270)은 대안적으로, 다른 엘리먼트들에 형성될 수 있다.
[0082] 전술한 내용은 본 개시물의 몇몇 실시예들에 관한 것이지만, 다른 그리고 추가적인 실시예들은 본 개시물의 기본 범위를 벗어나지 않고 안출될 수 있다.

Claims (15)

  1. 챔버 덮개 조립체(chamber lid assembly)로서,
    중심축을 따라서 연장되고 상부 부분 및 하부 부분을 갖는 중앙 채널을 에워싸는 하우징(housing);
    상기 하우징에 커플링되고, 상기 중앙 채널의 상기 하부 부분에 커플링된 중앙 개구부로부터 덮개 플레이트(lid plate)의 둘레 부분으로 외측으로 그리고 하방으로 연장되는 윤곽진(contoured) 바닥부 표면을 갖는 덮개 플레이트;
    상기 중앙 채널을 가열하기 위한 제 1 가열 엘리먼트;
    상기 덮개 플레이트의 윤곽진 바닥부 표면을 가열하기 위한 제 2 가열 엘리먼트;
    상기 중앙 채널에 유체적으로(fluidly) 커플링된 원격 플라즈마 소스; 및
    상기 원격 플라즈마 소스와 상기 하우징 사이에 커플링된 격리 칼라(isolation collar)를 포함하고, 상기 격리 칼라는, 상기 원격 플라즈마 소스와 상기 중앙 채널을 유체적으로 커플링하기 위해 상기 격리 칼라를 통해 연장되는 내측 채널을 갖는,
    챔버 덮개 조립체.
  2. 제 1 항에 있어서,
    상기 제 1 가열 엘리먼트는, 상기 하우징에 배치된 하나 또는 그 초과의 카트리지 가열기들을 포함하고; 그리고 상기 제 2 가열 엘리먼트는, 상기 덮개 플레이트의 상부 표면에 커플링된 가열기 플레이트를 포함하는,
    챔버 덮개 조립체.
  3. 제 1 항에 있어서,
    상기 원격 플라즈마 소스에 세정 가스(cleaning gas)를 공급하기 위해 상기 원격 플라즈마 소스에 커플링된 세정 가스 소스(source)를 더 포함하는,
    챔버 덮개 조립체.
  4. 제 3 항에 있어서,
    상기 세정 가스는 삼플루오르화질소(nitrogen trifluoride)인,
    챔버 덮개 조립체.
  5. 제 1 항에 있어서,
    상기 격리 칼라는 알루미늄으로 형성된,
    챔버 덮개 조립체.
  6. 제 1 항 내지 제 5 항 중 어느 한 항에 있어서,
    상기 제 1 가열 엘리먼트는, 상기 하우징에 배치된 하나 또는 그 초과의 카트리지 가열기들을 포함하고;
    상기 제 2 가열 엘리먼트는, 상기 덮개 플레이트의 상부 표면에 커플링된 가열기 플레이트를 포함하며;
    상기 하우징은 내측 영역을 포함하고, 제 1 환형 채널 및 제 2 환형 채널을 적어도 부분적으로 정의하며, 상기 제 1 및 제 2 환형 채널들은 상기 중앙 채널에 유체적으로 커플링되고;
    그리고,
    상기 중앙 채널을 적어도 부분적으로 정의하는 중앙 통로를 갖고 상기 내측 영역에 배치된 인서트 - 상기 인서트는 제 1 복수의 개구들(apertures) 및 제 2 복수의 개구들을 포함하며, 상기 제 1 복수의 개구들은, 상기 제 1 환형 채널과 상기 중앙 채널 사이에 다중-개구 가스 유입구를 제공하기 위해 제 1 수평 평면을 따라 배치되고, 상기 제 2 복수의 개구들은, 상기 제 2 환형 채널과 상기 중앙 채널 사이에 다중-개구 가스 유입구를 제공하기 위해 제 2 수평 평면을 따라 배치됨 -; 및
    상기 격리 칼라와 상기 인서트 사이에 접촉 면적을 최소화하기 위해 상기 격리 칼라와 상기 인서트 사이에 배치된 열 격리 링(thermal isolation ring)을 더 포함하는,
    챔버 덮개 조립체.
  7. 제 1 항 내지 제 5 항 중 어느 한 항에 있어서,
    상기 하우징은 내측 영역을 포함하고, 제 1 환형 채널 및 제 2 환형 채널을 적어도 부분적으로 정의하며, 상기 제 1 및 제 2 환형 채널들은 상기 중앙 채널에 유체적으로 커플링되고; 그리고,
    상기 중앙 채널을 적어도 부분적으로 정의하는 중앙 통로를 갖고 상기 내측 영역에 배치된 인서트 - 상기 인서트는 제 1 복수의 개구들 및 제 2 복수의 개구들을 포함하며, 상기 제 1 복수의 개구들은, 상기 제 1 환형 채널과 상기 중앙 채널 사이에 다중-개구 가스 유입구를 제공하기 위해 제 1 수평 평면을 따라 배치되고, 상기 제 2 복수의 개구들은, 상기 제 2 환형 채널과 상기 중앙 채널 사이에 다중-개구 가스 유입구를 제공하기 위해 제 2 수평 평면을 따라 배치됨 - 를 더 포함하는,
    챔버 덮개 조립체.
  8. 제 7 항에 있어서,
    제 1 회전 방향으로 상기 중심축을 중심으로 가스의 유동을 도입하기 위해, 상기 제 1 복수의 개구들의 각각의 개구는 상기 중심축에 대해 경사지고(angled), 그리고
    상기 제 1 회전 방향과 반대인 제 2 회전 방향으로 상기 중심축을 중심으로 가스의 유동을 도입하기 위해, 상기 제 2 복수의 개구들의 각각의 개구는 상기 중심축에 대해 경사진,
    챔버 덮개 조립체.
  9. 제 7 항에 있어서,
    상기 격리 칼라와 상기 인서트 사이에 접촉 면적을 최소화하기 위해 상기 격리 칼라와 상기 인서트 사이에 배치된 열 격리 링을 더 포함하는,
    챔버 덮개 조립체.
  10. 제 9 항에 있어서,
    상기 열 격리 링은 스테인리스 스틸로 형성된,
    챔버 덮개 조립체.
  11. 제 7 항에 있어서,
    상기 인서트는 상기 인서트의 상부 부분에 배치된 캡(cap)을 포함하고, 상기 캡은 상기 캡의 중앙 부분에 형성된 복수의 홀들을 포함하며, 상기 복수의 홀들은 상기 격리 칼라의 내측 채널과 상기 중앙 채널을 유체적으로 커플링하는,
    챔버 덮개 조립체.
  12. 제 7 항에 있어서,
    상기 제 1 복수의 개구들 및 상기 제 2 복수의 개구들 각각은, 반경 방향(radial) 개구들 및 접선 방향(tangential) 개구들을 포함하는,
    챔버 덮개 조립체.
  13. 프로세스 챔버를 세정하는 방법으로서,
    세정 가스를 원격 플라즈마 소스에 제공하는 단계;
    상기 세정 가스로부터 플라즈마를 형성하는 단계;
    챔버 덮게 조립체의 벽들 상의 부산물들을 증발시키기(vaporize) 위해, 상기 플라즈마를 상기 원격 플라즈마 소스로부터 격리 칼라를 통해, 상기 챔버 덮개 조립체의, 중앙 채널 및 반응 구역 내로 유동시키는 단계; 및
    상기 플라즈마가 상기 중앙 채널 및 상기 반응 구역 내로 유동되는 동안 상기 챔버 덮개 조립체를 미리 결정된 온도로 가열하는 단계를 포함하는,
    챔버 덮개 조립체.
  14. 제 13 항에 있어서,
    상기 미리 결정된 온도는 150℃와 동일하거나 그 초과인,
    챔버 덮개 조립체.
  15. 제 13 항 또는 제 14 항에 있어서,
    상기 세정 가스는 삼플루오르화질소인,
    챔버 덮개 조립체.
KR1020177012316A 2014-10-06 2015-09-17 열 덮개를 구비한 원자 층 증착 챔버 KR102554825B1 (ko)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020207023498A KR102661401B1 (ko) 2014-10-06 2015-09-17 열 덮개를 구비한 원자 층 증착 챔버

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US14/507,780 US10407771B2 (en) 2014-10-06 2014-10-06 Atomic layer deposition chamber with thermal lid
US14/507,780 2014-10-06
PCT/US2015/050661 WO2016057180A1 (en) 2014-10-06 2015-09-17 Atomic layer deposition chamber with thermal lid

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020207023498A Division KR102661401B1 (ko) 2014-10-06 2015-09-17 열 덮개를 구비한 원자 층 증착 챔버

Publications (2)

Publication Number Publication Date
KR20170067827A true KR20170067827A (ko) 2017-06-16
KR102554825B1 KR102554825B1 (ko) 2023-07-11

Family

ID=55632394

Family Applications (3)

Application Number Title Priority Date Filing Date
KR1020237023010A KR20230105690A (ko) 2014-10-06 2015-09-17 열 덮개를 구비한 원자 층 증착 챔버
KR1020177012316A KR102554825B1 (ko) 2014-10-06 2015-09-17 열 덮개를 구비한 원자 층 증착 챔버
KR1020207023498A KR102661401B1 (ko) 2014-10-06 2015-09-17 열 덮개를 구비한 원자 층 증착 챔버

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020237023010A KR20230105690A (ko) 2014-10-06 2015-09-17 열 덮개를 구비한 원자 층 증착 챔버

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020207023498A KR102661401B1 (ko) 2014-10-06 2015-09-17 열 덮개를 구비한 원자 층 증착 챔버

Country Status (8)

Country Link
US (1) US10407771B2 (ko)
EP (1) EP3204962A4 (ko)
JP (1) JP6695884B2 (ko)
KR (3) KR20230105690A (ko)
CN (1) CN107429393B (ko)
SG (2) SG10201903080PA (ko)
TW (1) TWI697579B (ko)
WO (1) WO2016057180A1 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20220069931A (ko) * 2019-08-12 2022-05-27 커트 제이. 레스커 컴파니 원자 스케일 처리를 위한 초고순도 조건

Families Citing this family (59)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9793096B2 (en) * 2014-09-12 2017-10-17 Lam Research Corporation Systems and methods for suppressing parasitic plasma and reducing within-wafer non-uniformity
US10407771B2 (en) * 2014-10-06 2019-09-10 Applied Materials, Inc. Atomic layer deposition chamber with thermal lid
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
KR102024503B1 (ko) 2015-03-13 2019-11-04 에이이에스 글로벌 홀딩스 피티이 리미티드 플라즈마 소스 디바이스 및 방법들
US11384432B2 (en) * 2015-04-22 2022-07-12 Applied Materials, Inc. Atomic layer deposition chamber with funnel-shaped gas dispersion channel and gas distribution plate
US20160362813A1 (en) * 2015-06-12 2016-12-15 Applied Materials, Inc. Injector for semiconductor epitaxy growth
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
WO2017119074A1 (ja) 2016-01-06 2017-07-13 東芝三菱電機産業システム株式会社 ガス供給装置
US10325790B2 (en) * 2016-04-29 2019-06-18 Applied Materials, Inc. Methods and apparatus for correcting substrate deformity
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
JP6696322B2 (ja) * 2016-06-24 2020-05-20 東京エレクトロン株式会社 ガス処理装置、ガス処理方法及び記憶媒体
KR20180030363A (ko) 2016-09-13 2018-03-22 삼성디스플레이 주식회사 표시 장치
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
TWI649446B (zh) * 2017-03-15 2019-02-01 漢民科技股份有限公司 應用於半導體設備之可拆卸式噴氣裝置
WO2018202935A1 (en) * 2017-05-02 2018-11-08 Picosun Oy Ald apparatus, method and valve
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11004722B2 (en) * 2017-07-20 2021-05-11 Applied Materials, Inc. Lift pin assembly
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US11598003B2 (en) * 2017-09-12 2023-03-07 Applied Materials, Inc. Substrate processing chamber having heated showerhead assembly
US10147597B1 (en) 2017-09-14 2018-12-04 Lam Research Corporation Turbulent flow spiral multi-zone precursor vaporizer
WO2019057310A1 (en) * 2017-09-25 2019-03-28 Applied Materials, Inc. SYSTEM FOR CLEANING A VACUUM CHAMBER, METHOD FOR CLEANING A VACUUM CHAMBER, AND USE OF A COMPRESSOR FOR CLEANING A VACUUM CHAMBER
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10847337B2 (en) * 2018-01-24 2020-11-24 Applied Materials, Inc. Side inject designs for improved radical concentrations
US10679870B2 (en) * 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US11201035B2 (en) * 2018-05-04 2021-12-14 Tokyo Electron Limited Radical source with contained plasma
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
KR20230170130A (ko) * 2018-12-20 2023-12-18 어플라이드 머티어리얼스, 인코포레이티드 개선된 가스 유동을 처리 챔버의 처리 용적에 공급하기 위한 방법 및 장치
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
CN111799143B (zh) * 2019-04-09 2023-09-22 应用材料公司 半导体处理腔室多阶段混合设备
US11335591B2 (en) 2019-05-28 2022-05-17 Applied Materials, Inc. Thermal process chamber lid with backside pumping
WO2021021518A1 (en) * 2019-07-29 2021-02-04 Applied Materials, Inc. Semiconductor processing chamber and methods for cleaning the same
US11043362B2 (en) * 2019-09-17 2021-06-22 Tokyo Electron Limited Plasma processing apparatuses including multiple electron sources
KR20210065054A (ko) * 2019-11-25 2021-06-03 주식회사 원익아이피에스 가스 공급 블록 및 이를 포함하는 기판 처리 장치
CN110904438A (zh) * 2019-12-04 2020-03-24 沈阳拓荆科技有限公司 用于多种化学源之气体分配装置
CN111705307A (zh) * 2020-06-15 2020-09-25 苏州迈为科技股份有限公司 等离子体气相沉积设备
US11862475B2 (en) * 2020-10-15 2024-01-02 Applied Materials, Inc. Gas mixer to enable RPS purging
US20230073150A1 (en) * 2021-09-09 2023-03-09 Applied Materials, Inc. Heated lid for a process chamber

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009152603A (ja) * 2007-12-18 2009-07-09 Asm Japan Kk プラズマcvd装置及びその方法
KR20130030745A (ko) * 2010-03-12 2013-03-27 어플라이드 머티어리얼스, 인코포레이티드 다중 인젝트를 이용하는 원자 층 증착 챔버
JP2014013905A (ja) * 2007-10-22 2014-01-23 Applied Materials Inc 基板上に酸化ケイ素層を形成する方法

Family Cites Families (56)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5186120A (en) * 1989-03-22 1993-02-16 Mitsubishi Denki Kabushiki Kaisha Mixture thin film forming apparatus
US5256205A (en) * 1990-05-09 1993-10-26 Jet Process Corporation Microwave plasma assisted supersonic gas jet deposition of thin film materials
US5614247A (en) * 1994-09-30 1997-03-25 International Business Machines Corporation Apparatus for chemical vapor deposition of aluminum oxide
JP3901252B2 (ja) * 1996-08-13 2007-04-04 キヤノンアネルバ株式会社 化学蒸着装置
US5935334A (en) * 1996-11-13 1999-08-10 Applied Materials, Inc. Substrate processing apparatus with bottom-mounted remote plasma system
EP0855452B1 (en) 1997-01-24 2003-06-04 Applied Materials, Inc. Process and apparatus for depositing titanium layers
US6051286A (en) 1997-02-12 2000-04-18 Applied Materials, Inc. High temperature, high deposition rate process and apparatus for depositing titanium layers
US6433314B1 (en) 1998-04-08 2002-08-13 Applied Materials, Inc. Direct temperature control for a component of a substrate processing chamber
US6454860B2 (en) 1998-10-27 2002-09-24 Applied Materials, Inc. Deposition reactor having vaporizing, mixing and cleaning capabilities
JP2001020076A (ja) * 1999-07-06 2001-01-23 Hitachi Kokusai Electric Inc 反応室のクリーニング方法及び装置
US6287643B1 (en) * 1999-09-30 2001-09-11 Novellus Systems, Inc. Apparatus and method for injecting and modifying gas concentration of a meta-stable or atomic species in a downstream plasma reactor
US6387207B1 (en) * 2000-04-28 2002-05-14 Applied Materials, Inc. Integration of remote plasma generator with semiconductor processing chamber
EP1322801B1 (de) * 2000-09-22 2010-01-06 Aixtron Ag Cvd-verfahren und gaseinlassorgan zur durchführung des verfahrens
US20030047282A1 (en) 2001-09-10 2003-03-13 Yasumi Sago Surface processing apparatus
US7780789B2 (en) * 2001-10-26 2010-08-24 Applied Materials, Inc. Vortex chamber lids for atomic layer deposition
US20080102203A1 (en) * 2001-10-26 2008-05-01 Dien-Yeh Wu Vortex chamber lids for atomic layer deposition
US20080102208A1 (en) * 2001-10-26 2008-05-01 Dien-Yeh Wu Vortex chamber lids for atomic layer deposition
JP4121269B2 (ja) * 2001-11-27 2008-07-23 日本エー・エス・エム株式会社 セルフクリーニングを実行するプラズマcvd装置及び方法
EP1420080A3 (en) * 2002-11-14 2005-11-09 Applied Materials, Inc. Apparatus and method for hybrid chemical deposition processes
US20050252449A1 (en) * 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US7581549B2 (en) * 2004-07-23 2009-09-01 Air Products And Chemicals, Inc. Method for removing carbon-containing residues from a substrate
JP2006128485A (ja) * 2004-10-29 2006-05-18 Asm Japan Kk 半導体処理装置
KR100663351B1 (ko) 2004-11-12 2007-01-02 삼성전자주식회사 플라즈마 처리장치
US20060133955A1 (en) * 2004-12-17 2006-06-22 Peters David W Apparatus and method for delivering vapor phase reagent to a deposition chamber
KR20060076714A (ko) * 2004-12-28 2006-07-04 에이에스엠지니텍코리아 주식회사 원자층 증착기
US20070119370A1 (en) * 2005-11-04 2007-05-31 Paul Ma Apparatus and process for plasma-enhanced atomic layer deposition
JP5045000B2 (ja) * 2006-06-20 2012-10-10 東京エレクトロン株式会社 成膜装置、ガス供給装置、成膜方法及び記憶媒体
KR100794661B1 (ko) 2006-08-18 2008-01-14 삼성전자주식회사 기판 처리 장치 및 그 장치의 세정 방법
US20080063798A1 (en) * 2006-08-30 2008-03-13 Kher Shreyas S Precursors and hardware for cvd and ald
WO2008052047A2 (en) * 2006-10-24 2008-05-02 Applied Materials, Inc. Vortex chamber lids for atomic layer deposition
KR100819096B1 (ko) 2006-11-21 2008-04-02 삼성전자주식회사 Peox공정을 진행하는 반도체 제조설비의 리모트 플라즈마를 이용한 세정방법
WO2008129977A1 (ja) * 2007-04-17 2008-10-30 Ulvac, Inc. 成膜装置
US20090000550A1 (en) 2007-06-29 2009-01-01 Applied Materials, Inc. Manifold assembly
CN101809717B (zh) * 2007-09-25 2012-10-10 朗姆研究公司 用于等离子处理设备的喷头电极总成的温度控制模块
US20090084317A1 (en) * 2007-09-28 2009-04-02 Applied Materials, Inc. Atomic layer deposition chamber and components
CN101802254B (zh) * 2007-10-11 2013-11-27 瓦伦斯处理设备公司 化学气相沉积反应器
US8137467B2 (en) * 2007-10-16 2012-03-20 Novellus Systems, Inc. Temperature controlled showerhead
US20090095218A1 (en) * 2007-10-16 2009-04-16 Novellus Systems, Inc. Temperature controlled showerhead
US8673080B2 (en) * 2007-10-16 2014-03-18 Novellus Systems, Inc. Temperature controlled showerhead
US8187381B2 (en) * 2008-08-22 2012-05-29 Applied Materials, Inc. Process gas delivery for semiconductor process chamber
JP5315898B2 (ja) * 2008-09-30 2013-10-16 東京エレクトロン株式会社 成膜装置
JP5544697B2 (ja) * 2008-09-30 2014-07-09 東京エレクトロン株式会社 成膜装置
WO2012122054A2 (en) * 2011-03-04 2012-09-13 Novellus Systems, Inc. Hybrid ceramic showerhead
JP5762841B2 (ja) * 2011-06-21 2015-08-12 東京エレクトロン株式会社 半導体製造装置
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US9677176B2 (en) * 2013-07-03 2017-06-13 Novellus Systems, Inc. Multi-plenum, dual-temperature showerhead
TWI546847B (zh) * 2013-12-27 2016-08-21 日立國際電氣股份有限公司 基板處理裝置及半導體裝置的製造方法
US10487399B2 (en) * 2014-06-26 2019-11-26 Applied Materials, Inc. Atomic layer deposition chamber with counter-flow multi inject
JP5792364B1 (ja) * 2014-07-31 2015-10-07 株式会社日立国際電気 基板処理装置、チャンバリッドアセンブリ、半導体装置の製造方法、プログラム及び記録媒体
US10407771B2 (en) * 2014-10-06 2019-09-10 Applied Materials, Inc. Atomic layer deposition chamber with thermal lid
JP5916909B1 (ja) * 2015-02-06 2016-05-11 株式会社日立国際電気 基板処理装置、ガス整流部、半導体装置の製造方法およびプログラム
JP5961297B1 (ja) * 2015-03-26 2016-08-02 株式会社日立国際電気 基板処理装置、半導体装置の製造方法及びプログラム
US11384432B2 (en) * 2015-04-22 2022-07-12 Applied Materials, Inc. Atomic layer deposition chamber with funnel-shaped gas dispersion channel and gas distribution plate
US20160186319A1 (en) * 2015-06-25 2016-06-30 Rec Silicon Inc Silicon carbide stack bottom seal arrangement
JP6333232B2 (ja) * 2015-12-02 2018-05-30 株式会社日立国際電気 基板処理装置、半導体装置の製造方法およびプログラム
US20190048467A1 (en) * 2017-08-10 2019-02-14 Applied Materials, Inc. Showerhead and process chamber incorporating same

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2014013905A (ja) * 2007-10-22 2014-01-23 Applied Materials Inc 基板上に酸化ケイ素層を形成する方法
JP2009152603A (ja) * 2007-12-18 2009-07-09 Asm Japan Kk プラズマcvd装置及びその方法
KR20130030745A (ko) * 2010-03-12 2013-03-27 어플라이드 머티어리얼스, 인코포레이티드 다중 인젝트를 이용하는 원자 층 증착 챔버

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20220069931A (ko) * 2019-08-12 2022-05-27 커트 제이. 레스커 컴파니 원자 스케일 처리를 위한 초고순도 조건

Also Published As

Publication number Publication date
EP3204962A1 (en) 2017-08-16
CN107429393A (zh) 2017-12-01
TWI697579B (zh) 2020-07-01
US20160097119A1 (en) 2016-04-07
EP3204962A4 (en) 2018-05-16
SG11201702258TA (en) 2017-04-27
JP2017533599A (ja) 2017-11-09
TW201619430A (zh) 2016-06-01
KR20230105690A (ko) 2023-07-11
SG10201903080PA (en) 2019-05-30
KR102661401B1 (ko) 2024-04-25
CN107429393B (zh) 2020-07-03
US10407771B2 (en) 2019-09-10
KR102554825B1 (ko) 2023-07-11
WO2016057180A1 (en) 2016-04-14
KR20200098739A (ko) 2020-08-20
JP6695884B2 (ja) 2020-05-20

Similar Documents

Publication Publication Date Title
KR102554825B1 (ko) 열 덮개를 구비한 원자 층 증착 챔버
US10982326B2 (en) Counter-flow multi inject for atomic layer deposition chamber
US11932939B2 (en) Lids and lid assembly kits for atomic layer deposition chambers
KR101810532B1 (ko) 다중 인젝트를 이용하는 원자 층 증착 챔버
US7780785B2 (en) Gas delivery apparatus for atomic layer deposition
US11335591B2 (en) Thermal process chamber lid with backside pumping
US20190048467A1 (en) Showerhead and process chamber incorporating same

Legal Events

Date Code Title Description
AMND Amendment
A107 Divisional application of patent
A201 Request for examination
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
X091 Application refused [patent]
AMND Amendment
X701 Decision to grant (after re-examination)
GRNT Written decision to grant