JP5371917B2 - 原子層堆積のためのガス配送装置 - Google Patents

原子層堆積のためのガス配送装置 Download PDF

Info

Publication number
JP5371917B2
JP5371917B2 JP2010205796A JP2010205796A JP5371917B2 JP 5371917 B2 JP5371917 B2 JP 5371917B2 JP 2010205796 A JP2010205796 A JP 2010205796A JP 2010205796 A JP2010205796 A JP 2010205796A JP 5371917 B2 JP5371917 B2 JP 5371917B2
Authority
JP
Japan
Prior art keywords
gas
chamber
channel
substrate
valve
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
JP2010205796A
Other languages
English (en)
Other versions
JP2011042876A (ja
Inventor
リング チェン,
ヴィンセント ク,
ディエーン−イェ ウー,
フア チャン,
アラン オウイェ,
ノーマン ナカシマ,
メイ チャン,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US10/032,284 external-priority patent/US6916398B2/en
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2011042876A publication Critical patent/JP2011042876A/ja
Application granted granted Critical
Publication of JP5371917B2 publication Critical patent/JP5371917B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4411Cooling of the reaction chamber walls
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45502Flow conditions in reaction chamber
    • C23C16/45504Laminar flow
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45502Flow conditions in reaction chamber
    • C23C16/45508Radial flow
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45512Premixing before introduction in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45582Expansion of gas before it reaches the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Fluid Mechanics (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)

Description

発明の背景
発明の分野
[0002]本発明の実施形態は、一般に、原子層堆積のための装置及び方法に関する。より詳細には、本発明の実施形態は、原子層堆積のための改良されたガス配送装置及び方法に関する。
関連技術の説明
[0003]サブミクロン以下の特徴部を高い信頼性で製造することは、半導体デバイスの次世代の超大規模集積(VLSI)及び極超大規模集積(ULSI)のための重要な技術の1つである。しかしながら、回路技術のフリンジがプレスされるときには、VLSI及びULSI技術における相互接続部の寸法収縮により処理能力に付加的な要求が課せられる。この技術の中心に位置する多レベルの相互接続部は、ビア及び他の相互接続部のようなアスペクト比の高い特徴部の高精度処理を必要とする。これらの相互接続部を高い信頼性で製造することは、VLSI及びULSIの成功にとって非常に重要であると共に、個々の基板の回路密度及び品質を高める努力を続けるためにも非常に重要である。
[0004]回路密度が高まるにつれて、ビア、コンタクト及び他の特徴部、並びにそれらの間の誘電体材料の幅がサブミクロン寸法(例えば、0.20マイクロメーター未満)へと減少するが、誘電体層の厚みは実質的に一定のままであり、その結果、特徴部のアスペクト比、即ち高さを幅で除算したものが高くなる。多くの慣習的な堆積プロセスでは、アスペクト比が4:1を越える場合、特に、アスペクト比が10:1を越える場合には、サブミクロン特徴部を埋め込むことが困難である。それ故、アスペクト比の高いサブミクロン特徴部は実質的に空所がなく且つ継ぎ目がないものを形成するように多大な努力が払われ続けている。
[0005]原子層堆積は、アスペクト比の高い特徴部の上に材料層を堆積するために探求されている1つの堆積技術である。原子層堆積の一例は、ガスのパルスを順次に導入することを含む。例えば、ガスのパルスを順次に導入する1つのサイクルは、第1反応ガスのパルスと、それに続くパージガスのパルス及び/又はポンプ排気と、それに続く第2反応ガスのパルスと、それに続くパージガスのパルス及び/又はポンプ排気とを含むことができる。ここで使用する「ガス」という用語は、単一ガス又は複数のガスを含むものとして定義される。第1反応物及び第2反応物の別々のパルスを順次に導入すると、それら反応物の単層が基板の表面に交互に自己制限吸着され、ひいては、各サイクルに材料の単層を形成することができる。堆積材料の希望厚みまでサイクルを繰り返すことができる。第1反応ガスのパルスと第2反応ガスのパルスとの間に与えられるパージガスのパルス及び/又はポンプ排気は、過剰な量の反応物がチャンバ内に残留することにより反応物が気相反応するおそれを低減するように働く。
[0006]しかしながら、ガス配送を実行すると共に、原子層堆積による膜の堆積を実行するための新規な装置が要望される。
[0007]原子層堆積のような繰り返し層堆積プロセスを実行するための装置及び方法が提供される。1つの態様において、この装置は、基板受け入れ面を有する基板支持体と、チャンバ蓋とを備え、このチャンバ蓋は、該チャンバ蓋の中央部分から延びるテーパー付けされた通路と、該通路からチャンバ蓋の周囲部分へと延びる下面とを含み、該下面は、基板受け入れ面を実質的に覆う形状及びサイズとされる。又、この装置は、徐々に拡がるチャンネルへ結合された1つ以上のバルブと、各バルブに結合された1つ以上のガス源も備えている。
[0008]別の態様において、上記装置は、基板受け入れ面を有する基板支持体と、チャンバ蓋とを備え、このチャンバ蓋は、該チャンバ蓋の中央部分へと下方に延びる拡大チャンネルを含むと共に、該拡大チャンネルからチャンバ蓋の周囲部分へと延びる円錐状底面と、拡大チャンネルの上部の周りに配置された1つ以上のガスコンジットとを含み、該1つ以上のガスコンジットは、拡大チャンネルの中心からある角度に配置される。又、この装置は、徐々に拡がるチャンネルに結合された1つ以上のバルブと、円錐状底面の周囲付近でチャンバ蓋に配置されたチョークも備えている。
[0009]1つの態様において、上記方法は、1つ以上のガスを基板処理チャンバ内へ基板の中央部分の上で初期円形方向に供給するステップと、非断熱膨張によりガスの速度を低下させるステップと、基板の中央部分へガスを供給するステップと、基板の中央部分から基板を半径方向に横切って基板の周囲部分へ実質的に均一の速度でガスを指向するステップとを備えている。
[0010]本発明の上記特徴をいかに達成するか詳細に理解できるようにするため、上記で概要を簡単に述べた本発明を、添付図面に示した実施形態を参照して詳細に説明する。
[0011]しかしながら、添付図面は、本発明の典型的な実施形態を例示するに過ぎず、従って、本発明の範囲を何ら限定するものではなく、本発明は、他の等しく有効な実施形態も受け入れできることに注意されたい。
原子層堆積のためのガス配送装置を含むチャンバの一実施形態を示す概略断面図である。 チャンバ蓋の下面に配置されたチョークの一実施形態を示す概略断面図である。 チャンバ蓋の下面に配置されたチョークの別の実施形態を示す概略断面図である。 原子層堆積のためのガス配送装置を含むチャンバの別の実施形態を示す概略断面図である。 バルブ入口及び出口を示すバルブの概略断面図である。 図4に例示したバルブの概略平面図である。 バルブの内部要素及び機構を示すバルブの一実施形態の概略断面図である。 開位置と開位置の間で移動されるダイアフラムのグラフである。 本発明のガス配送装置内に形成される拡大チャンネルの一実施形態を示す横断面図である。 単一ガス流を受け入れる拡大チャンネルの一実施形態を示す横断面図である。 3つのガス流を受け入れる拡大チャンネルの一実施形態を示す横断面図である。 本発明のガス配送装置内に形成された拡大チャンネルの断面図である。 基板の面とチャンバ蓋1の下面との間の2つの異なる位置でガスの流れを示した概略断面図である。 原子層堆積のためのガス配送装置を含むチャンバの別の実施形態の概略断面図である。 原子層堆積のためのガス配送装置を含むチャンバの別の実施形態を示す図である。 本発明のガス配送装置に有用なガスボックスの概略図である。 図15のガスボックス内で昇華によりガスを発生するためのキャニスターの一実施形態を示す概略断面図である。
好ましい実施形態の詳細な説明
[0027]図1は、繰返し層堆積、原子層堆積、デジタル化学気相堆積、及び急速化学気相堆積技術を実行することのできる例示的処理システム200の概略部分断面図である。「繰返し層堆積」、「原子層堆積」、「デジタル化学気相堆積」、及び「急速化学気相堆積」という語は、ここでは交換可能に使用され、2つ以上のコンパウンドを処理チャンバの反応ゾーンに順次導入して薄い材料層を基板面に堆積する気相堆積技術を指す。
[0028]チャンバ200は、チャンバ本体202と、ガス配送システム230と、真空システム278と、制御ユニット280とを備えている。チャンバ本体202は、側壁204、底部206及びライナー299を有する。チャンバ本体202の側壁204にはスリットバルブ208が形成され、ロボット(図示せず)が、200mm又は300mm半導体ウェハ或いはガラス基板のような基板210をチャンバ200に導入したりそこから回収したりするためのアクセスを与える。
[0029]チャンバ本体202内には基板支持体212が配置され、その基板受け入れ面211に基板210を支持する。リフトモーター214が基板支持体212を上下させる。リフトモーター218に結合されたリフトプレート216がチャンバ200内に取り付けられ、これは、基板支持体212を貫通して可動に配置されたピン220を上下させる。ピン220は、基板210を持ち上げたり、それを基板支持体212の受け入れ面211上に降ろしたりする。基板21を処理中に基板支持体212に固定するために、基板支持体212は、真空チャック、静電チャック又はクランプリングを含んでもよい。又、基板支持体212を加熱して、そこに配置された基板210を加熱するようにしてもよい。例えば、基板支持体212は、抵抗ヒーターのような埋設加熱素子を使用して加熱されてもよいし、又は基板支持体212の上に配置された加熱ランプのような放射熱を使用して加熱されてもよい。
[0030]又、チャンバ本体202は、パージチャンネル224を画成するように基板支持体212に配置されたパージリング222も備えている。パージガスは、パージチャンネル224を経て基板210の周囲部分へと流れ、そこに堆積が生じるのを防止する。
[0031]真空システム278は、チャンバ本体202の側壁204内に形成されたポンピングチャンネル279と連通する。真空システム278は、チャンバ本体202からガスを排気し、チャンバ20のポンピングゾーン266内に希望の圧力又は希望の圧力範囲を維持する。ポンピングゾーン266は、基板支持体212を取り巻くようにチャンバ本体202内に形成される。
[0032]ガス配送システム230及びチャンバ本体202は、チャンバ本体202内に反応ゾーン264を画成する。この反応ゾーン264は、基板支持体212と流体連通される。より詳細には、反応ゾーン264は、チャンバ200内でガス源と基板面との間の容積を含む。反応ガス又はパージガスは、反応ゾーン264を充分に満たすことができ、基板210が反応ガス又はパージガスに充分曝されるよう確保する。従来の化学気相堆積では、反応物の相互反応が基板面にわたって均一に生じるよう確保するために、公知のチャンバは、反応物の合成流を基板の全面に同時に且つ均一に与えることが要求された。原子層堆積では、チャンバ200が基板面に反応物を順次導入し、基板面に反応物の交互の薄い層を吸着させる。その結果、原子層堆積は、反応物の流れが基板面に同時に到達することを必要としない。むしろ、各反応物の流れは、基板面に吸着されるべき薄い反応物層にとって充分な量で与えられることが必要である。
[0033]反応ゾーン264は、従来のCVDチャンバの内部容積に比して小さな容積を含むので、特定のプロセスに対し反応ゾーン264を満たすのに僅かな量のガスしか必要とされない。例えば、一実施形態では、200mm直径の基板を処理するためのチャンバの場合に、反応ゾーン264の容積は、約1000cm以下であり、好ましくは、500cm以下であり、更に好ましくは、200cm以下である。又、一実施形態では、300mm直径の基板を処理するためのチャンバの場合に、反応ゾーン264の容積は、約3000cm以下であり、好ましくは、1500cm以下であり、更に好ましくは、600cm以下である。一実施形態では、堆積に対して反応ゾーン264の容積を調整するように基板支持体212が上下されてもよい。反応ゾーン264の容積が小さいので、堆積ガスであろうとパージガスであろうと、僅かなガスをチャンバ200に流し込むだけでよい。それ故、少量のガスしか使用されず、運転コストの低減となるので、チャンバ200のスループットは大きく且つ浪費は最小にすることができる。
[0034]図1の実施形態では、ガス配送システム230は、チャンバ本体202の上部に配置され、プロセスガス及び/又はパージガスのようなガスをチャンバ本体202に供給する。ガス配送システム230は、チャンバ蓋232と、それを貫通して形成された拡大チャンネル234とを備えている。チャンバ蓋232は、底面260を含み、これは、チャンバ本体202内に配置された基板210を実質的に覆うサイズ及び形状にされる。
[0035]チャンバ蓋232の底面260の少なくとも一部分は、基板210の面にわたって改善されたガス速度プロフィールを与えるために、拡大チャンネル234からチャンバ蓋232の周囲部分へ(即ち基板の中心から基板の縁へ)テーパー付けされてもよい。底面260は、まっすぐな面、凹状面、凸状面又はその組合せのような1つ以上のテーパー付けされた面を含んでもよい。底面260は、漏斗の形状にテーパー付けされるのが好ましい。チャンバ蓋232の下方に傾斜した底面260と基板210の面との間の流れ区分の最大面積と流れ区分の最小面積との比は、約2未満であるのが好ましく、約1.5未満であるのが更に好ましく、約1.3未満であるのがもっと好ましく、約1であるのが最も好ましい。
[0036]理論によって束縛されることを望まないが、基板210の面にわたって均一の速度を有するガスは、基板210に対してより均一なガス堆積を与えると考えられる。ガスの速度は、ガスの濃度に直接比例し、これは、次いで、基板面に対するガスの堆積率に直接比例すると考えられる。従って、基板面の第1エリアにおけるガスの速度が基板面の第2エリアに対して高いときには、第1エリアにおけるガスの堆積率が高いと考えられる。従って、下方に傾斜する底面260を有するチャンバ蓋は、下方に傾斜する下面がより均一な速度を与えるので、基板面にわたってより均一なガスの堆積を与え、ひいては、基板面にわたってより均一なガス濃度を与えると考えられる。
[0037]拡大チャンネル234及び底面260を含むチャンバ蓋232の内面の少なくとも一部分は、表面の粗面度(Raμin)が約46Raから62Raであり、好ましくは、約54Raである。更に、パージリング222の上面及びチャンバライナー299の上面は、表面の粗面度が約46Raから62Raであり、好ましくは、約54Raでよい。これら表面の粗面度は、これら表面に堆積される膜の接着度を高めると考えられる。堆積される膜の接着度が高まると、堆積された膜が基板の処理中に剥がれ落ちるおそれを低減し、ひいては、基板の粒子汚染のおそれを低減する。好ましい実施形態では、表面の粗面度は、鏡面研磨面を形成するための電気研磨によって与えられる。鏡面研磨面は、ガスの層流を発生する上で助けとなる。他のあまり好ましくない実施形態では、適当なより方で表面を織ることにより表面の粗面度を与えることができる。
[0038]チャンバ蓋232の温度の制御は、チャンバ蓋232におけるガスの分解、堆積又は凝縮を防止するために重要である。従って、チャンバ蓋232は、それを通して配送される特定のガスに基づいて冷却素子及び/又は加熱素子を含んでもよい。例えば、チャンバ蓋232を冷却するためにチャンバ蓋232に水チャンネル(図示せず)が形成されてもよい。別の例では、加熱素子(図示せず)が埋設されてもよいし、又はチャンバ蓋232を加熱するようにチャンバ蓋232の要素を包囲してもよい。
[0039]又、チャンバ蓋232は、チャンバプレート部分270及びキャップ部分272を含んでもよい。キャップ部分272は、ある温度範囲に維持され、プレート部分270は、別の温度範囲に維持されてもよい。例えば、キャップ272は、反応ガスの凝縮を防止するためにヒーターテープ又は他の加熱装置を使用して加熱され、一方、プレート部分270は、周囲温度に維持されてもよい。別の実施例では、キャップ272が加熱され、プレート部分270は、反応ガスの熱分解を防止するために、そこに貫通して形成された水チャンネル(図示せず)で冷却されてもよい。
[0040]チャンネル蓋232は、ステンレススチール、アルミニウム、ニッケルメッキされたアルミニウム、ニッケル、或いは実行されるべき処理に適合し得る他の適当な材料で作られてもよい。一実施形態では、キャップ部分272がステンレススチールで構成され、チャンバプレート部分270がアルミニウムで構成される。一実施形態では、付加的なプレートがステンレススチールで構成される。一実施形態では、拡大チャンネル234及びチャンバ蓋232の底面260が鏡面研磨面で構成されて、拡大チャンネル234及びチャンバ蓋232の底面260に沿ってガスの層流を発生する上で助けをしてもよい。別の実施形態では、ガスコンジット250A、250Bの内面に電気研磨を施して、ガスの層流の発生を助けるようにしてもよい。
[0041]チャンバ蓋232は、更に、基板210の周囲付近で、チャンバ蓋232の周囲部分に配置されたチョーク262を含んでもよい。このチョーク262は、基板210の周囲付近のエリアで反応ゾーン264内のガスの流れを制限することのできるいかなる形式の障害物でもよい。チョーク262は、反応ゾーン264内に実質的に均一の圧力を維持する上で助けとなる。
[0042]例えば、図2Aは、チョーク262の一実施形態の概略断面図である。この実施形態において、チョーク262は、周囲の横方向部分267を含む。1つの態様では、パージリング222は、チョーク262の横方向部分267に向ってパージガスを向けることができる。
[0043]別の実施形態として、図2Bは、チョーク262の別の実施形態の概略断面図である。チョーク262は、周囲の下方に延びる突出部268を含む。1つの態様では、パージリング222は、周囲の下方に延びる突出部268に向かってパージガスを向けることができる。1つの態様では、下方に延びる突出部268の厚みは、約0.01インチから約1.0インチであり、更に好ましくは、0.01インチから0.5インチである。
[0044]チョーク262と基板支持体212との間隔は、通常、約0.04インチから約2.0インチであり、好ましくは、0.04インチから約0.2インチである。この間隔は、配送されるガス及び堆積中のプロセス条件に基づいて変化し得る。チョーク262は、チャンバ蓋232と基板210との間に画成された反応ゾーン264をポンピングゾーン266(図1)の非均一な圧力分布から分離することにより、反応ゾーン264の容積内により均一な圧力分布を与える上で助けとなる。
[0045]ガス配送システム230は、更に、別々のガス源と流体連通する1つ以上のバルブ(4つが示されている242A、242B、252A、252B)を備えている。各バルブ242A、242Bは、弁座アッセンブリ244A、244Bを有する配送ライン243A、243Bを含み、各バルブ252A、252Bは、弁座アッセンブリ246A、246Bを有するパージライン245A、245Bを含む。各配送ライン243A、243Bは、各反応ガス源238、239と連通すると共に、拡大チャンネル234の各ガス入口236A、236Bと連通する。配送ライン243A、243Bの弁座アッセンブリ244A、244Bは、反応ガス源238、239から拡大チャンネル234への反応ガスの流れを制御する。パージライン245A、245Bは、パージガス源240と連通し、配送ライン243A、243Bの弁座アッセンブリ244A、244Bの下流で配送ライン243A、243Bと交差する。パージライン245A、245Bの弁座アッセンブリ246A、246Bは、パージガス源240から配送ライン243A、243Bへのパージガスの流れを制御する。担体ガスを使用して反応ガスを反応ガス源238、239から配送する場合には、同じガスを担体ガス及びパージガスとして使用するのが好ましい(即ち、アルゴンガスが担体ガス及びパージガスとして使用される)。
[0046]プログラム可能なロジックコントローラ248A、248Bは、弁座アッセンブリ244A、244B、246A、246Bのダイアフラムの動作を制御するためにバルブ242A、242Bに結合することができる。空気作動式のバルブは、約0.020秒程度の短い時間周期でガスのパルスを与えることができる。電気作動式のバルブは、約0.005秒程度の短い時間周期でガスのパルスを与えることができる。電気作動式のバルブは、通常、そのバルブとプログラム可能なロジックコントローラとの間に接続されたドライバの使用を必要とする。
[0047]各バルブ242A、242Bは、そのバルブの弁座アッセンブリ244A、244Bが閉じたときに配送ライン243A、243Bから反応ガスをフラッシュさせることのできるゼロ不感容積(zero dead volume)バルブでよい。弁座アッセンブリ244A、244Bが閉じると、パージライン245A、245Bは、配送ライン243A、243Bをフラッシュさせるためのパージガスを与えることができる。パージライン245A、245Bは、配送ライン243A、243Bの弁座アッセンブリ244A、244Bの付近に配置することができる。或いは又、パージライン245A、245Bは、図示されたように、配送ライン243A、243Bの弁座アッセンブリ244A、244Bから若干離間して配置され、開放時に弁座アッセンブリ244A、244Bにパージガスが直接配送されないようにしてもよい。ここで使用するゼロ不感容積バルブとは、無視できる程の不感容積をもつ(即ち必ずしもゼロの不感容積ではない)バルブとして定義される。
[0048]各バルブ242A、242Bは、反応ガス238、239及びパージガス240の合成ガス流及び/又は個別ガス流を与えることができる。バルブ242Aについては、バルブ242Aにより与えられる反応ガス238及びパージガス240の合成ガス流の一例は、パージガス源240からパージライン245Aを経て送られるパージガスの連続流と、反応ガス源238から配送ライン243Aを経て送られる反応ガスのパルスとで構成される。パージガスの連続流は、パージライン245Aの弁座アッセンブリ246Aのダイアフラムを開状態に保つことにより与えることができる。反応ガス源238からの反応ガスのパルスは、配送ライン243Aの弁座244Aのダイアフラムを開閉することにより与えることができる。バルブ242Aについて、バルブ242Aにより与えられる反応ガス238及びパージガス240の個別ガス流の一例は、パージガス源240からパージライン245Aを経て送られるパージガスのパルスと、反応ガス源238から配送ライン243Aを経て送られる反応ガスのパルスとで構成される。パージガスのパルスは、バルブ252Aの弁座アッセンブリ246Aのダイアフラムを開閉することにより与えることができる。反応ガス源238からの反応ガスのパルスは、バルブ242Aの弁座244Aのダイアフラムを開閉することにより与えることができる。
[0049]図3は、バルブ242A、242Bがチャンバ本体202の下に取り付けられると共に、チャンバ本体202を経て配管された1つ以上のガスライン255に結合されたガス配送システム230の別の実施形態を示す。ガスライン255は、次いで、ガスコンジット250A、250Bに結合される。バルブ242A、242Bは、他の位置において、チャンバ蓋232のような他のチャンバ要素に取り付けられてもよい。
[0050]1つの態様において、バルブ242A、242Bは、個別の反応ガス源238、239及び個別のパージガス源240、241に結合される。個別のパージガス源は、バルブ242A、242B間の漏洩のおそれを低減する。他の実施形態では、バルブ242A及び242Bは、上述したように、同じパージガス源240、241に結合されてもよい。
[0051]図4は、バルブ242A、242B、252A、252Bの概略断面図である。各バルブは、流体連通している3つのポート、即ち反応物入口112、パージ入口114及び出口116を有する本体110を含む。上述したように、反応物入口112は、反応物源238、239と流体連通する。パージ入口114は、パージガス源240、241と流体連通し、更に、出口116は、プロセスチャンバ200と流体連通している。
[0052]図5は、図4に示されたバルブの概略斜視図である。バルブ本体110は、埋設加熱素子511を挿入するための1つ以上の穴510を含んでもよい。好ましくは、これらの穴510は、反応物入口112(図4に示す)の近くにあって、反応物を加熱して、バルブ242A、242B内で反応物が凝縮するのを防止する。又、バルブ本体110は、バルブ本体110の温度を監視するための熱電対装置521を挿入する1つ以上の穴520を含んでもよい。例えば、測定された温度をフィードバックループに使用して、電源から加熱素子511へ供給される電流を制御し、バルブの本体温度を希望の温度又は希望の温度範囲内に維持又は制御できるようにしてもよい。穴510及び520は、反応物入口を良好に加熱すると共に反応物入口112の温度を良好に監視するために、反応物入口112に密接に接近して、好ましくは、約2.0mm未満の距離に配置することができる。好ましくは、埋設加熱素子のための各穴510は、入口112、114及び出口116の平面に平行な方向に配置されて、埋設加熱素子が入口112、114及び出口116をより均一に加熱することもできる。
[0053]図6は、ダイアフラム134がバルブチャンバ111内で弁座120の上に取り付けられたところを示す。ダイアフラム134は、バルブ242A、242Bの一方の一実施形態の概略断面図である。しかしながら、ダイアフラムは、通常、閉位置にバイアスされ、開位置(図示された)と閉位置との間で選択的に移動される。ダイアフラム134は、ステム336に取り付けられ、このステムは、ボンネット332を貫通して延びてそれにスライド可能に支持される。ステム336は、ダイアフラム134を閉位置と開位置との間で選択的に移動する。シリンダー340は、ボンネット332の頂部に固定され、ピストン342を収容している。ステム336の頂部は、ボンネット332から突出し、ピストン342の下面に取り付けられる。スプリング344は、ボンネット332とピストン342の下面との間にのせられ、ピストン342及びステム336を上方に押しやる。シリンダー340は、ピストン342の上面とシリンダー340の内面との間に操作チャンバ346を形成する。
[0054]ダイアフラム134は、空気圧で操作されてもよいし電気的に操作されてもよい。ダイアフラム134は、これを選択的に移動するように空気又は他のガスのような加圧ガス供給源150からの加圧ガスを制御することにより空気圧で操作されるのが好ましい。ダイアフラム134は空気圧で操作されるが、ソレノイドバルブのような電子的に制御されるバルブ152がシリンダー340に取り付けられ又は結合されて、加圧ガス供給源150からガスライン151を経て加圧ガスを選択的に供給することができる。電子的に制御されるバルブ152がダイアフラムアッセンブリ130に加圧ガスを供給するが、バルブ242A、242Bは、ダイアフラム134が空気圧で操作されるので、空気圧で操作されるバルブである。
[0055]ダイアフラム134は、開又は閉にバイアスされてもよく、閉又は開に各々操作されてもよい。開位置では、ダイアフラム134は、反応物入口112からの反応物の導入流と、パージ入口114からバルブチャンバ111を経て出口116へ、次いで、チャンバ本体202へ送られるパージガスの導入流とを許す。閉位置では、ダイアフラム134は、弁座120に接触し、反応物入口112からバルブチャンバ111を経て送られる反応物の導入流を防止する。ある好ましい実施形態では、閉位置において、ダイアフラム134は、パージ入口114からバルブチャンバ111を経て出口116へ、次いで、チャンバ本体202へ送られるパージガスの導入流を阻止しない。バルブチャンバ111は、更に、弁座120の下でバルブ本体110に形成された溝122を含み、ダイアフラム134が閉位置にあるか開位置にあるかに関わらずパージ入口114及び出口116が流体連通状態に保たれるようにしてもよい。図示のように溝122は、形状が環状になっているが、他の適当な形状でもよい。
[0056]弁座120は、バルブ本体110と一体的な部片でもよい。別の実施形態では、弁座120がバルブ本体110とは個別の部片でもよい。弁座120は、反応物入口112を経て供給される反応物と反応しない化学的に耐性のある材料で作られるのが好ましい。化学的に耐性のある材料は、例えば、ポリイミド(PI)、ポリテトラフルオロエチレン(PTFE)、ポリクロロトリフルオロエチレン(PCTFE)、パーフルオロアルコキシ(PFA)、及び他の適当なポリマーを含む。あまり好ましくない実施形態では、弁座120は、金属、金属合金、及び他の適当な材料で作られてもよい。ある実施形態では、供給される反応物に基づいて、バルブ本体110は、ダイアフラム134又は他のバルブ242A、242Bの部品に反応物が凝縮するのを防止するために約80℃から約90°の温度に加熱される。アンモニアガスを反応物として使用する場合には、弁座120は、化学的に耐性のあるポリイミド、例えば、Vespel(登録商標)CR−6100で作られるのが好ましい。アンモニアガスは、80℃以上の温度ではポリイミドVespel(登録商標)CR−6100とは化学的に不活性であるが、アンモニアガスは、他のポリイミドとは80℃以上の温度において反応し得ることが示されている。
[0057]バルブ242A、242Bの動作については、プログラム可能なロジックコントローラ(PLC)248A、248Bがバルブ242A、242Bに結合されて、電子的に制御されるバルブ152への電気的信号を制御する。電子的に制御されるバルブ152は、これが開くと、コネクタ349を経て操作チャンバ346へ加圧ガスを供給し、スプリング344の弾性力に抗してピストン342及びステム336を下方に押しやる圧力を形成する。ダイアフラム134の中央部分は、ステム336により下方に押され、弁座120と接触状態になり、反応物入口112から出口116への反応物の導入流を閉じる。ダイアフラム134が弁座120と接触すると、ダイアフラム134は溝122を塞がず、パージガスがパージガス入口114から出口116へ流れることができる。電子的に制御されるバルブ152は、これが閉じると、加圧ガスの供給を停止し、操作チャンバ346内の加圧ガスを解放する。加圧ガスの供給が停止され、操作チャンバ346内の圧力が解放されると、ピストン342及びステム336は、スプリング344の弾性力により上昇される。ピストン342及びステム336が上昇すると、ダイアフラム134がバルブ本体110の弁座120から離れるように移動し、反応物入口112から出口116への反応物の導入流を許す。
[0058]ダイアフラム134は、反応物のパルスを出口116へ、次いで、チャンバ本体202へ供給するために、開位置と閉位置との間で移動される。ダイアフラム134は、閉位置において、溝122を塞がないので、パージガスの連続流を、パージ入口114からバルブチャンバ111を経て出口116へ与えることができる。その結果、バルブチャンバ111を経て与えられるパージガスの連続流に反応物のパルスをドーズすることができる。バルブチャンバ111を経て与えられるパージガスの連続流は、反応物のパルスとパルスとの間にバルブチャンバ111に残された残留反応物をフラッシュする。1つの態様において、バルブ242A、242Bの各々は、ゼロ不感容積を有する。というのは、バルブ本体110を経て反応物入口112の弁座120へ送られるパージガスの流路間の不感容積が無視できる程度のものだからである。
[0059]図7は、閉位置と開位置との間で移動されるバルブ242A又は242Bの一方の、ダイアフラム134のようなダイアフラムのグラフである。ここで使用する「応答時間」という語は、バルブのダイアフラムを開位置から閉位置へ又は閉位置から開位置へ移動するための時間として定義される。バルブのダイアフラムを開位置から閉位置へ移動するための応答時間、及びバルブのダイアフラムを閉位置から開位置へ移動するための応答時間は、同じでもよいし、異なってもよいが、ほぼ同じであるのが好ましい。バルブ242A、242Bは、応答時間が好ましくは約50ミリ秒未満であり、更に好ましくは20ミリ秒未満である。操作チャンバの内部容積が約2.8cmであるバルブ242A又は242Bのようなバルブは、応答時間が約40ミリ秒未満であることが観察されている。操作チャンバの内部容積が約0.9cmであるバルブ242A又は242Bのようなバルブは、応答時間が約15ミリ秒未満であることが観察されている。
[0060]バルブアッセンブリの応答時間を短縮すると、時間と共に供給されるべき反応物のパルスサイクルがより多く許される。それ故、基板処理のスループットが高くされる。しかしながら、バルブ242A、242Bは、いかなる希望のパルス時間720でも動作できる。ここで使用する「パルス時間」という語は、ダイアフラムを完全に閉じた位置から完全に開いた位置へ、次いで、完全に閉じた位置へ戻すように移動するための時間として定義される。バルブ242A、242Bは、約1.0秒以下、約500ミリ秒以下、更には、約200ミリ秒以下のパルス時間を与えるように動作されてもよい。
[0061]ダイアフラム134の空気式制御は、ソレノイドにより上下に駆動されるダイアフラムに比して、弁座120にダイアフラム134を「ソフト」ランディングさせる。この「ソフト」ランディングは、開位置と閉位置との間でのダイアフラムの移動中に弁座120に対するダイアフラム134の衝撃により生じる粒子の形成を減少させる。又、この「ソフト」ランディングは、ダイアフラムをソレノイドにより直接移動することにより生じる「ハード」ランディングに比して、バルブアッセンブリ100を経て反応物をより一層の層流で供給する。
[0062]ある実施形態では、操作チャンバ346の内部容積は、好ましくは約3.0cm以下の、更に好ましくは、約1.0cm以下の小さな容積より成る。ここで使用する「操作チャンバの内部容積」という語は、操作チャンバ内の圧力が解放されたときの操作チャンバの内部容積を指し、操作チャンバ346と電気的に制御されるバルブ152との間のコネクタ349及びガスラインの内部容積を含む。操作チャンバ346の小さな内部容積は、より迅速に加圧することができ、その結果、ダイアフラム134をより迅速に操作することができる。
[0063]電子的に制御されるバルブ152は、操作チャンバの内部容積に追加されるガスラインの容積を減少するためにダイアフラムアッセンブリ130のシリンダー340に取り付けられる。ガスラインの追加容積は、操作チャンバの内部容積を増加し、従って、操作チャンバ346を加圧するに要する時間を増加し、ひいては、バルブ242A、242Bの応答時間を増加する。別の実施形態において、ガスラインを使用して、電子的に制御されるバルブ152をダイアフラムアッセンブリ130のシリンダー340へ結合する場合には、操作チャンバの内部容積を減少するために、ガスラインの長さが約1.0インチ以下であるのが好ましい。
[0064]加圧ガス供給源150を電子的に制御されるバルブ152に結合するガスライン151は、好ましくは、内径が約0.125インチより大きく、更に好ましくは、約0.25インチ以上である。ガスライン151の大きな内径は、大きなコンダクタンスの加圧ガスを供給することにより、操作チャンバ346の内部容積を埋め易くする。その結果、加圧ガスを電子的に制御されるバルブ152へ供給するガスライン151の大きな内径は、バルブアッセンブリ242A、242Bに応答時間を短縮する。
[0065]図1を再び参照すれば、バルブ242A、242Bは、配送ライン243Bに結合されたガス入口236Bを経て拡大チャンネル234と流体連通する。1つの態様において、ガス入口236A、236Bは、拡大チャンネル234の上部237付近に配置される。別の態様では、ガス入口236A、236Bは、拡大チャンネル234の長さに沿ってその上部237と下部235との間に配置される。バルブ242A、242Bの配送ライン243A、243Bは、ガスコンジット250A、250Bを経てガス入口236A、236Bに結合されてもよい。ガスコンジット250A、250Bは、バルブ242A、242Bと一体化されてもよいし、又はそれとは個別のものでもよい。1つの態様において、バルブ242A、242Bは、これらバルブ242A、242Bとガス入口236A、236Bとの間で配送ライン243A、243B及びガスコンジット250A、250Bの不必要な容積を減少するために、拡大チャンネル234に接近して結合される。
[0066]拡大チャンネル234は、その内径が上部237から下部235へ増加する。1つの特定の実施形態では、200mm直径の基板を処理するためのチャンバに対する拡大チャンネル234の内径は、拡大チャンネル234の上部237において、約0.2インチから約1.0インチであり、より好ましくは、約0.3インチから約0.9インチであり、更に好ましくは、0.3インチから約0.5インチであり、更に、拡大チャンネル234の下部235において、約0.5インチから約3.0インチであり、好ましくは、約0.75インチから約2.5インチであり、更に好ましくは、約1.1インチから約2.0インチである。別の特定の実施形態では、300mm直径の基板を処理するためのチャンバに対する拡大チャンネル234の内径は、拡大チャンネル234の上部237において、約0.2インチから約1.0インチであり、より好ましくは、約0.3インチから約0.9インチであり、更に好ましくは、0.3インチから約0.5インチであり、更に、300mm基板に対する拡大チャンネル234の下部235において、約0.5インチから約3.0インチであり、好ましくは、約0.75インチから約2.5インチであり、更に好ましくは、約1.2インチから約2.2インチである。一般に、上記寸法は、約500sccmから約3000sccmの全ガス流量を与えるための拡大チャンネルに適用される。しかしながら、いかなるガス流量も受け入れるようにこれら寸法を変更してもよい。
[0067]拡大チャンネル234は、裁頭円錐の形状でよい(裁頭円錐に似た形状を含む)。ガスが拡大チャンネル234の壁に向って供給されるか、基板210に向けて下方に直接供給されるかに関わらず、ガス流はガスの膨張により拡大チャンネル234を経て進行するので、ガス流の速度は低下する。ガス流の速度の低下は、基板210の表面に吸着した反応物をガスが吹き飛ばすおそれを低減する上で助けとなる。
[0068]理論によって束縛されることを望まないが、上部237から下部235へと徐々に増加する拡大チャンネル234の直径は、拡大チャンネル234を経て流れるガスの断熱膨張を僅かに許し、これは、ガスの温度を制御する上で助けとなると考えられる。拡大チャンネル234に流れるガスの急激な断熱膨張は、ガスの温度を低下させ、ガスの凝縮及び粒子の形成を招くことがある。ガスの断熱膨張が僅かに生じると、より多くの熱がガスへ又はガスから伝達され、従って、ガスの温度を容易に制御することができる。徐々に拡がるチャンネルは、1つ以上のテーパー付けされた内面、例えば、テーパー付けされたまっすぐな面、凹状面、凸状面、又はその組合せで構成されてもよいし、或いは1つ以上のテーパー付けされた内面の区分(即ちテーパー付けされた部分とテーパー付けされない部分)で構成されてもよい。
[0069]図8は、チャンバ蓋232の膨張区分234の一実施形態の上部断面図である。各ガスコンジット250A、250Bは、そのガスコンジット250A、250Bの中心線302から、及び拡大チャンネル234の中心からの半径線304から、角度αに配置することができる。好ましくは角度αに配置された(即ち、α>0°のとき)ガスコンジット250A、250Bを経てガスを導入すると、ガスは、矢印310A(又は310B)で示されたように円方向に流される。拡大チャンネルの壁に直接まっすぐ(即ち、α=0°のとき)ではなく、角度αでガスを供給すると、拡大チャンネル234を経て、乱流ではなく、より一層の層流が形成される。拡大チャンネル234を通る層流は、拡大チャンネル234の内面及びチャンバ蓋232の他の面のパージ作用を改善すると考えられる。これに対して、乱流は、拡大チャンネル234の内面及び他の面にわたって均一に流れず、ガスが流れないデッドスポット又は停滞スポットを含むことがある。1つの態様において、ガスコンジット250A、250B並びにそれに対応するガス入口236A、236Bは、互いに離間され、同じ円方向に流れを向ける(即ち時計方向又は反時計方向)。
[0070]図9は、1つ又は複数のバルブ(図示せず)に結合された1つのガスコンジット650から1つのガス入口636を経て単一ガス流を受け入れるようにしたチャンバ蓋の拡大チャンネルの別の実施形態を示す上部断面図である。ガスコンジット650は、そのガスコンジット650の中心線602から、及び拡大チャンネル634の中心からの半径線604から、角度αに配置することができる。角度αに配置された(即ち、α>0°のとき)ガスコンジット650は、矢印610で示すようにガスを円方向に流させる。
[0071]図10は、1つ又は複数のバルブ(図示せず)に各々結合された3つのガスコンジット750A、750B、750Cから3つのガス入口736A、736B、736Cを経て3つのガス流を一緒に、又は部分的に一緒に(即ち3つのガス流のうちの2つを一緒に)、或いは別々に、受け入れるようにしたチャンバ蓋の拡大チャンネルの別の実施形態を示す上部断面図である。ガスコンジット750A、750B、750Cは、そのガスコンジット750A、750B、750Cの中心線702から、及び拡大チャンネル734の中心からの半径線704から、角度αに配置することができる。角度αに配置された(即ち、α>0°のとき)ガスコンジット750A、750B、750Cは、矢印710で示すようにガスを円方向に流させる。
[0072]図11は、拡大チャンネル234の断面図で、それを通る2つのガス流を簡単に示す図である。各ガスコンジット250A、250B及びガス入口236A、236Bは、拡大チャンネルの長手軸290に対して任意の関係で配置することができる。各ガスコンジット250A、250B及びガス入口236A、236Bは、長手軸290に対して直角(+B、−B=90°まで)で配置されるか、或いはガスコンジット250A、250Bの中心線302A、302Bから長手軸290までの角度+B又は角度−B(0°<+B<90°又は0°<−B<90°)で配置されるのが好ましい。それ故、ガスコンジット250A、250Bは、図3に示すように、長手軸290に対し水平方向に直角に配置されるか、角度+Bで下方に傾斜されるか、或いは角度−Bで上方に傾斜されて、基板210に向けて直接下方ではなく、拡大チャンネル234の壁に向けてガス流を供給してもよく、これは、基板210の表面に吸着された反応物を吹き飛ばすおそれを低減する。更に、ガスコンジット250A、250Bの直径は、バルブ242A、242Bの配送ライン243A、243Bからガス入口236A、236Bまで増加してもよく、これは、ガス流が拡大チャンネル234に入り込む前にその速度を下げる上で助けとなる。例えば、ガスコンジット250A、250Bは、内径が徐々に増加してもよいし、又は内径が増加する複数の接続されたコンジットで構成されてもよい。
[0073]拡大チャンネル234を通る厳密な流れパターンは知らないが、円形の流れ310は、矢印402A、402Bで示すように、拡大チャンネル234を通して「渦巻」又は「螺旋」流402A、402Bとして進行し得ると考えられる。1つの態様において、渦巻流は、拡大チャンネル234の内面にわたり渦巻流パターンのスイープアクションにより拡大チャンネル234のより効率的なパージを確立する上で助けとなり得る。
[0074]一実施形態において、ガス入口236A、236Bと基板210との間の距離410は、基板210の面にわたる螺旋流は望ましくないので、「渦巻」流402が矢印404で示す下向きの流れへ分散するに充分なほど遠くされる。「渦巻」流402及び下向きの流れ404は、層流のように進行し、チャンバ蓋232及び基板210を効率的にパージすると考えられる。1つの特定の実施形態では、拡大チャンネル234の上部237と基板210との間の距離410は、約1.0インチ以上であり、更に好ましくは、約2.0インチ以上である。1つの特定の実施形態では、距離410の上限は、実用的な範囲により指示される。例えば、距離410が非常に長い場合には、拡大チャンネル234を通して進行するガスの滞留時間が長くなり、従って、ガスが基板上に堆積する時間が長くなり、ひいては、スループットが低くなる。更に、距離410が非常に長い場合には、拡大チャンネル234の製造が困難となる。一般に、距離410の上限は、200mm直径の基板を処理するためのチャンバの場合には3インチ以上、又は300mm直径の基板を処理するためのチャンバの場合には5インチ以上でよい。
[0075]図12は、チャンバ蓋232の底面260と基板210の面との間の2つの異なる位置502、504においてガスの流れを示す概略図である。任意の流れ区分、即ち任意の半径におけるガスの速度は、理論的に、次の式で決定される。
(1) Q/A=V
「Q」は、ガスの流量である。「A」は、流れ区分の面積である。「V」は、ガスの速度である。ガスの速度は、流れ区分の面積(Hx2πR)に逆比例し、ここで、「H」は、流れ区分の高さであり、「2πR」は、流れ区分の周囲長である。換言すれば、ガスの速度は、流れ区分の高さ「H」及び流れ区分の半径「R」に逆比例する。
[0076]位置502及び位置504における流れ区分の速度を比較すると、チャンバ蓋232の底面260と基板210の面との間の全ての位置におけるガスの流量「Q」が等しいと仮定すれば、ガスの速度は、流れ区分の面積「A」を等しくすることにより、理論的に等しくすることができる。位置502及び位置504における流れ区分の面積が等しい場合には、R2>R1であるから、位置502の高さH1が高さH2より大きくなければならない。
[0077]運転中に、基板210は、ロボット(図示せず)により開口208を通してチャンバ200へ配送される。基板210は、リフトピン220及びロボットの協働により基板支持体212に配置される。基板支持体212は、基板210をチャンバ蓋232の底面260に接近対向させるように持ち上げる。第1のガス流をバルブ242Aによりチャンバ200の拡大チャンネル234へ注入し、それと一緒に又はそれとは別に(即ちパルスで)第2のガス流をバルブ242Bによりチャンバ200へ注入することができる。第1のガス流は、パージガス源240からのパージガスの連続流と、反応ガス源238からの反応ガスのパルスとで構成されてもよいし、或いは反応ガス源238からの反応ガスのパルスと、パージガス源240からのパージガスのパルスとで構成されてもよい。第2のガス流は、パージガス源240からのパージガスの連続流と、反応ガス源239からの反応ガスのパルスとで構成されてもよいし、或いは反応ガス源239からの反応ガスのパルスと、パージガス源240からのパージガスのパルスとで構成されてもよい。ガス流は、渦巻流パターン402として拡大チャンネル234を経て進行し、これは、拡大チャンネル234の内面にわたりスイープアクションを与える。渦巻流パターン402は、基板210の面に向って下向きの流れ404へと分散する。ガス流の速度は、それが拡大チャンネル234を通して進むにつれて低下する。次いで、ガス流は、基板210の面を横切ると共に、チャンバ蓋232の底面260を横切って進む。下方に傾斜しているチャンバ蓋232の底面260は、基板210の面を横切るガス流の速度の変化を減少する上で助けとなる。次いで、ガス流は、チョーク262によりチャンバ200のポンピングゾーン266へと進行する。過剰なガス、副産物等は、ポンピングチャンネル279へ流れ込み、次いで、真空システム278によりチャンバ200から排出される。1つの態様において、ガスの流れは、拡大チャンネル234を通り、基板210の面とチャンバ蓋232の底面260との間を層流のように進み、これは、反応ガスを基板210の面に均一に露出させると共に、チャンバ蓋232の内面を効率的にパージする上で助けとなる。
[0078]図13及び図14は、本発明による原子層堆積を実行することのできるガス配送システムの別の実施形態を示す。ある構成要素は、上述したものと同じ又は同様であるから、同じ参照番号を適宜使用している。
[0079]より詳細には、図13は、実質的に平坦な下面860を有するチャンバ蓋832を備えたガス配送装置830を有するチャンバ800を示す。1つの態様において、チョーク262と基板支持体21との間隔は、約0.04インチから約2.0インチであり、更に好ましくは、約0.04インチから約0.2インチである。
[0080]図14は、容積の小さな反応ゾーン964を備えると共に、下方に傾斜した即ち漏斗状の下面960を備えたチャンバ蓋932を含むガス配送装置930を有するチャンバ900を示す。ガス源937は、1つ以上のバルブ941を通して通路933に結合される。1つの形態において、通路933は、バルブ941を経て導入されたガスが、基板210の面に吸着された反応物を吹き飛ばすおそれを少なくするために長さが長くなっている。
[0081]図15は、本発明に有用なガスボックス1000の一実施形態を示す概略図である。説明を明瞭化及び容易にするために、ガスボックス1000は、図3に示すチャンバ200を参照して説明する。ガスボックス1000は、1つ以上のコンパウンドをバルブ242A、242Bに供給する。ガスボックス1000は、単一又は複数のガスボックス区分でよい(2つが示されている1000A、1000B)。各ガスボックス区分1000A、1000Bは、各パージガス源240、241への接続部1010も含んでよい。ガスボックス区分1000A、1000Bは、更に、バルブ242A、242Bへ送られるコンパウンドを調整又はその他制御するための種々のバルブを含んでもよい。
[0082]図16は、PDMATのような固体反応物源から昇華によりガスを発生するためのキャニスター1300の一実施形態を示す概略断面図である。キャニスター130は、液体反応物源からガスを供給するように構成されてもよい。一般に、キャニスター130は、内部容積1238を包囲する側壁1202、蓋1204及び底1232を含む。蓋1204又は側壁1202の少なくとも一方は、ガス導入及び放出のための入口ポート1206及び出口ポート1208を含む。入口及び出口ポート1206、1208は、キャニスター1300をガス配送システム230から取り外し易くするために嵌合切断フィッティング1236A、1236Bが取り付けられたバルブ1112、1114に結合される。任意であるが、オイルトラップ1250が出口ポート1208とバルブ1114との間に結合され、プロセスチャンバ200へ流れるガスに存在することのあるオイル粒子を捕獲する。
[0083]キャニスター1300の内部容積1238は、上部領域1218と下部領域1234とに分割される。ソース固体1214が下部領域1234を少なくとも部分的に満たす。キャニスター1300の内部容積1238にはチューブ1302が配置され、これは、キャニスター1300内のガスの流れをソース固体1214から離れるように向けさせ、チューブ1302から出たガス流がソース固体1214に直接当たるのを好都合に防止すると共に、粒子を空気に載せて出口ポート1208を経てプロセスチャンバ200へ搬送させる。
[0084]チューブ1302は、その第1端1304が入口ポート1206に結合される。チューブ1302は、その第1端1304から第2端1326Aへと延び、該第2端は、ソース固体1214の上で上部領域1218に配置される。第2端1326Aは、ガスの流れを側壁1202に向けさせ、従って、ポート1206と1208との間でキャニスター1300を通してガスが直接的に(直線的に)流れるのを防止し、延長した平均流路を形成することができる。
[0085]一実施形態では、チューブ1302の第2端1326Aの出口1306は、キャニスター1300の中心軸1308に対して約15°から約90°の角度で配置される。別の実施形態では、チューブ1302は、「J」字型の第2端1326Bを有し、これは、出口1306を出るガスの流れをキャニスター1300の蓋1204に向けさせる。別の実施形態では、チューブ1302は、該チューブ1302の端を閉じるプラグ又はキャップ1310をもつ第2端1326Cを有する。この第2端1326Cは、キャップ1310に近いチューブ1320の側に形成された少なくとも1つの開口1328を有する。開口1328を出るガスは、通常、中心軸1308に垂直に、且つキャニスター1300の下部領域1234に配置されたソース個体1214から離れるように向けられる。任意であるが、上述したように少なくとも1つのバッフル1210(仮想線で示す)をチャンバ1300内に配置し、上述したチューブ1302のいずれかの実施形態とタンデムに使用してもよい。
[0086]運転中に、キャニスター1300の下部領域1234には、ソース固体1214が少なくとも部分的に満たされる。或いは又、ソース固体1214に液体1216を追加して、スラリー1212を生成してもよい。キャニスター1300は、希望の圧力に保持されると共に、キャニスター1300に接近配置された抵抗ヒーター1230により希望の温度に加熱される。アルゴンガスのような担体ガスが入口ポート1206及びチューブ1302を通して上部領域1218に希望の割合で流し込まれる。チューブ1302の第2端1326Aは、担体ガスの流れを延長平均流路において出口ポート1208から離れるように向け、キャニスター1300の上部領域1218における担体ガスの平均停止時間を好都合にも延長すると共に、ソース固体1214へ担体ガスが直接流れ込むのを防止して、粒子の発生を最小にする。キャニスター1300における停止時間の延長は、担体ガス内の昇華固体の蒸気の飽和レベルを好都合にも高める一方、粒子発生の減少は、製品の収率を改善し、ソース固体を保存し、且つ下流の汚染を減少する。
[0087]図15を参照すれば、チャンバ200及びガスボックス1000の種々の要素の温度は、チャンバにおける望ましからぬ粒子の生成を減少するように制御することができる。例えば、温度を制御して、チャンバ200及びガスボックス1000の種々の要素におけるガスの分解、堆積又は凝縮を防止することができる。例えば、反応物源からガス分配システム230への反応物の流路は、この流路における反応物の凝縮(即ち蒸気から固体又は蒸気から液体)を防止するために比較的高い温度にするのが望ましいことがある。チャンバ本体202及びチャンバ蓋232は、これらチャンバ本体及びチャンバ蓋の表面に反応物が堆積するのを防止するために比較的低い温度にするのが望ましいことがある。
[0088]一実施形態では、キャニスター1300は、約60℃から約70℃の温度に維持される。キャニスター1300からバルブ242Aへ及びキャニスター1300からフォアライン(foreline)へのガスライン(領域1330で示す)は、ヒーターテープ又は他の加熱装置等により、約80℃から約90℃の温度に維持される。バルブ242Aは、約80℃から約90℃の温度に維持される。バルブ242Aからチャンバ本体202へのガスライン255(領域1332で示す)は、ヒーターテープ又は他の加熱装置等により、約85℃から約95℃の温度に維持される。キャニスター1300からチャンバ本体202への反応物の流路には若干増加する温度勾配があって、反応物の凝縮がチャンバ本体202に向うのではなくキャにスターに向って流れるようにするのが好ましい。更に、パージガス源240は、約85℃から約95℃の温度に予熱されたパージガス、例えば、アルゴンガスを供給するのが好ましい。この予熱されたパージガスは、領域1332における容積増加により領域1332においてガスが膨張するために領域1332に粒子が生成されるおそれを低減する上で助けとなる。
[0089]次いで、チャンバプレート部分270からキャップ272へのガスライン255(領域1334で示す)は、カートリッジヒーター又はヒーターテープ等により、約45℃から約55℃の温度に維持される。他の実施形態では、領域1334は、直接加熱されない(即ち、領域1334の温度を直接制御する加熱装置は存在しない)。
[0090]一実施形態では、パージガス源及び窒素収容源からバルブ242Bへのガスラインは、加熱されない。バルブ242Bは、加熱されない。バルブ242Bからチャンバ本体202へのガスライン255、並びにチャンバプレート部分270からキャップ272へのガスライン255も、加熱されない。
[0091]一実施形態では、チャンバ側壁204は、約20℃から約25℃の温度に維持される。チャンバプレート部分270は、約25℃から約35℃の温度に維持される。キャップ272は、約30℃から約40℃の温度に維持される。チャンバ側壁20は、それを貫通するチャンネル295(図1)を形成すると共に、これらのチャンネルを通して冷却流体や加熱流体のような温度制御流体を供給することにより、希望の温度に維持することができる。
[0092]一実施形態では、チャンバプレート部分270及びキャップ272は、加熱又は冷却素子を含まない。チャンバプレート部分270及びキャップ272の冷却は、チャンバプレート部分270及びキャップ272からチャンバ側壁204への熱伝達により行われる。他の実施形態では、チャンバプレート部分270及びキャップ272は、冷却素子及び/又は加熱素子を含んでもよい。一実施形態において、チャンバ本体202を経て配管されたガスライン255は、チャンバ本体202に接触せず、及び/又はガスライン255とチャンバ本体202との間の熱伝達を最小にする絶縁材によりチャンバ本体202から分離される。
[0093]ある実施形態では、バルブ242A、242Bは、チャンバ蓋232の温度制御を簡単にするために、チャンバ蓋232から離れて取り付けられ、例えば、図1に示すようにチャンバ本体202の下に取り付けられる。例えば、チャンバ蓋232に取り付けられるか又はその至近に取り付けられた加熱バルブがチャンバ蓋232に熱を伝達してもよい。チャンバ蓋232に伝達される熱は、その内面、例えば、拡大チャンネル234や底面260に望ましからぬガスの堆積を生じさせ又はそれを増加させることがある。蓋から離れて取り付けられたバルブ242A、242Bは、ガスコンジット250A、250Bへのガスの逆流がほとんど又は全くないので、反応ゾーン264の容積を著しく増加しない。例えば、連続的なパージ流がバルブ242A、242Bにより供給され、そのパージガス流に反応物がドーズされる状態では、実質的に一定の順方向ガス流がガスコンジット250A、250Bを経てチャンバ本体202へ供給される。
[0094]プログラムされたパーソナルコンピュータ、ワークステーションコンピュータ等の制御ユニット280は、図1に示すように、処理状態を制御するためにチャンバ200に結合することができる。例えば、制御ユニット280は、基板処理シーケンスの異なる段階中に、ガス源238、239、240からバルブ242A、242Bを経て送られる種々のプロセスガス及びパージガスの流量を制御するように構成することができる。制御ユニット280は、中央処理ユニット(CPU)282、サポート回路284、及び関連制御ソフトウェア283を含むメモリ286を備えることができる。
[0095]制御ユニット280は、種々のチャンバ及びサブプロセッサを制御するための工業用設定に使用できる任意の形態の汎用コンピュータプロセッサの1つでよい。CPU282は、適当なメモリ286、例えば、ランダムアクセスメモリ、リードオンリメモリ、フロッピーディスクドライブ、ハードディスク、又は他の形態のデジタル記憶装置をローカル又はリモートで使用してもよい。チャンバ200をサポートするために種々のサポート回路がCPU282に結合されてもよい。制御ユニット280は、個々のチャンバ要素の付近に配置された別のコントローラ、例えば、バルブ242A、242Bのプログラム可能なロジックコントローラ248A、248Bに結合されてもよい。制御ユニット280とチャンバ200の種々の他の要素との間の両方向通信は、信号バス288と集合的に称される多数の信号ケーブルを経て取り扱われ、その幾つかが図1に示されている。ガス源238、239、240からのプロセスガス及びパージガスをバルブ242A、242Bのプログラム可能なロジックコントローラ248A、248Bから制御するのに加えて、制御ユニット280は、ウェハ処理に使用される他のアクティビティ、例えば、他のアクティビティの中でもウェハ搬送、温度制御、チャンバ排気等を自動制御する役割を果たすように構成されてもよく、その幾つかが明細書のどこかで説明されている。
[0096]上述した処理チャンバ200及びガス配送装置230は、タンタル、チタン、タングステン及び銅を含む(これらに限定されないが)元素の繰返し堆積を実施し、或いは窒化タンタル、窒化タンタルシリコン、窒化チタン、窒化チタンシリコン、窒化タングステン、窒化タングステンシリコン、及び銅アルミニウムを含む(これらに限定されないが)コンパウンド又は合金/組合せ膜の繰返し堆積を基板面上で実施するように効果的に使用することができる。又、上述した処理チャンバ200及びガス配送装置230は、基板面上に種々の材料の化学気相堆積を実施するように効果的に使用することもできる。
[0097]ここで使用する「基板面」という語は、膜処理が実行される基板の表面を指す。例えば、基板面は、用途にもよるが、シリコン、酸化シリコン、ドープされたシリコン、ゲルマニウム、砒化ガリウム、ガラス、サファイア、及び他の材料、例えば、金属、金属窒化物、金属合金、及び他の導電性材料を含み得る。又、基板面は、二酸化シリコンや、炭素をドープした酸化シリコンのような誘電体材料も含み得る。
[0098]ここで使用する「繰返し堆積」という語は、2つ以上の反応性コンパウンドを順次導入して単一材料層を基板面に堆積することを指す。2つ以上の反応性コンパウンドは、処理チャンバの反応ゾーンに交互に導入される。各反応性コンパウンドは、各コンパウンドが基板面に付着及び/又はそこで反応するのを許すために、ある時間遅延だけ分離される。1つの態様では、第1の先駆物質即ちコンパウンドAが反応ゾーンへパルス付勢され、その後、第1の時間遅延がとられる。次いで、第2の先駆物質即ちコンパウンドBが反応ゾーンへパルス付勢され、その後、第2の遅延がとられる。例えば、窒化チタンシリコンのような第3の材料が望まれるときには、第3のコンパウンド(C)が反応ゾーンへドーズされ/パルス付勢され、その後、第3の時間遅延がとられる。各時間遅延の間に、アルゴンのような不活性ガスが処理チャンバに導入されて、反応ゾーンをパージするか、さもなければ、残留する反応性コンパウンドを反応ゾーンから除去する。或いは又、パージガスが堆積プロセス全体にわたって連続的に流れて、反応性コンパウンドのパルスとパルスとの間の時間遅延中にはパージパルスだけが流れるようにしてもよい。反応性コンパウンドは、希望の膜又は膜厚が基板面に形成されるまで交互にパルス付勢される。
[0099]ここで使用する「パルス」又は「ドーズ」という語は、処理チャンバの反応ゾーンに間欠的に即ち不連続に導入される特定コンパウンドの量を指す。各パルス内の特定コンパウンドの量は、パルスの幅に基づいて時間と共に変化し得る。各パルスの幅は、例えば、使用するプロセスチャンバの容積容量、それに結合された真空システム、及び特定コンパウンド自体の揮発性/反応性のような多数のファクタに基づいて可変である。
[00100]各パルス/ドーズに対する幅は、可変であり、例えば、処理チャンバの容積容量、及びそれに結合された真空システムの能力を受け入れるように調整することができる。更に、コンパウンドのドーズ時間は、コンパウンドの流量、コンパウンドの圧力、コンパウンドの温度、ドーズバルブの形式、使用する制御システムの形式、並びに基板面に吸着するコンパウンドの性能に基づいて変化し得る。又、ドーズ時間は、形成されている層の形式及びデバイスの形状にも基づいて変化し得る。一般に、ドーズ時間は、基板の実質的に全面に吸着/化学吸着するに充分なコンパウンドの量を与えると共に、希望厚みの層をコンパウンドに形成するに充分な長さでなければならない。
[00101]「コンパウンド」という語は、1つ以上の先駆物質、酸化体、還元剤、反応物、触媒又はその組合せを含むことが意図される。又、「コンパウンド」という語は、2つ以上のコンパウンドが同時に処理システムに導入されたときのようなコンパウンドのグループ編成も含むことが意図される。例えば、コンパウンドのグループ編成は、1つ以上の触媒及び1つ以上の先駆物質を含んでもよい。「コンパウンド」という語は、更に、1つ以上の先駆物質、酸化体、還元剤、反応物、触媒又はその組合せを、例えば、分解又はイオン化により活性化、さもなければ、付勢された状態で含むことが意図される。
[00102]基板面に反応物の単層を物理吸着、吸着、吸収又は化学吸着させるのに使用される表面吸引は、基板面が反応物に使用できるサイトを一定数しかもたないので、所与のパルス中に基板面に1つの単層しか堆積できないという点で自己制限式であると考えられる。一定数のサイトが反応物により占有されると、反応物のそれ以上の堆積が阻止される。このサイクルは、窒化タンタル層の希望厚みまで繰り返すことができる。
[00103]説明を明確に且つ容易にするために、上記方法は、繰返し堆積技術を使用して窒化タンタル(TaN)バリア層の堆積に関連するものとして更に説明する。タンタル含有コンパウンド、例えば、ペンタジメチルアミノ−タンタル(PDMAT;Ta(NMe)のパルスをガス源238によりバルブ242Aを経て導入することができる。このタンタル含有コンパウンドは、ヘリウム(He)、アルゴン(Ar)、窒素(N)、水素(H)及びその組合せを含む(それらに限定されないが)担体ガスの助けで供給することができる。窒素含有コンパウンド、例えば、アンモニアのパルスは、ガス源239によりバルブ242Aを経て導入することができる。又、担体ガスを使用して、窒素含有コンパウンドの配送を助けることもできる。アルゴンのようなパージガスは、ガス源240によりバルブ242A及び/又はバルブ242Bを経て導入することができる。1つの態様において、パージガスの流れは、ガス源240によりバルブ242A、242Bを経て連続的に供給され、タンタル含有コンパウンドのパルスと窒素含有コンパウンドのパルスとの間にパージガスとして作用すると共に、タンタル含有コンパウンド及び窒素含有コンパウンドのパルス中に単体ガスとして作用することができる。1つの態様において、2つのガスコンジット250A、250Bを経てパージガスを配送すると、1つのガスコンジット250A、250Bを経て送られるパージガスよりも反応ゾーン264がより完全にパージされる。1つの態様では、反応ガスは、1つのガスコンジット250A、250Bを経て配送されてもよい。というのは、タンタル含有コンパウンド又は窒素含有コンパウンドのような反応ガスの流れの均一さは、基板構造体の表面における反応物の自己制限式吸着プロセスのためにパージガスの均一性ほど重要でないからである。他の実施形態では、パージガスは、パルスで供給されてもよい。他の実施形態では、パージガスは、2つより多い又は2つより少ないガス流で供給されてもよい。他の実施形態では、タンタル含有ガスは、1つより多い(即ち2つ以上の)ガス流で供給されてもよい。
[00104]タンタル含有コンパウンドの他の例は、他の有機金属先駆物質又はその派生物、例えば、ペンタエチルメチルアミノ−タンタル(PEMAT;Ta[N(CCH)、ペンダジメチルアミノ−タンタル(PDEAT;Ta(NEt)、並びにPEMAT、PDEAT又はPDMATの任意の及び全ての派生物を含むが、これらに限定されない。他のタンタル含有コンパウンドは、TBTDET(Ta(NEtNC又はC1639Ta)及びハロゲン化タンタル、例えば、TaXを含むが、これに限定されない。ここで、Xはフッ素(F)、臭素(Br)又は塩素(Cl)、及び/又はその派生物である。他の窒素含有コンパウンドを使用してもよく、これは、x及びyを整数とすれば、N(例えば、ヒドラジン(N))、ジメチルヒドラジン((CH)、t−ブチルヒドラジン(c)フェニルヒドラジン(C)、他のヒドラジン派生物、窒素プラズマ源(例えば、N、N/H、NH、又はNプラズマ)、2、2’−アゾイソブタン((CH)、エチルアジド(C)、及び他の適当なガスを含むが、それらに限定されない。パージガスの他の例は、ヘリウム(He)、窒素(N)、水素(H)、他のガス及びその組合せを含むが、それらに限定されない。
[00105]窒化タンタル層の形成は、タンタル含有コンパウンドの単層を基板に吸着した後に、窒素含有コンパウンドの単層を吸着するところから始めて説明する。或いは又、窒化タンタル層の形成は、窒素含有コンパウンドの単層を基板に吸着した後に、タンタル含有コンパウンドの単層を吸着するところから始めてもよい。更に、他の実施形態では、反応ガスのパルスとパルスとの間にポンプ排気のみを使用して反応ガスの混合を防止してもよい。
[00106]タンタル含有コンパウンドの各パルスの時間幅、窒素含有コンパウンドの各パルスの時間幅、及び反応物のパルスとパルスとの間のパージガスの時間幅は、可変であり、使用する堆積チャンバの容積容量及びそれに結合される真空システムに依存する。例えば、(1)ガスのチャンバ圧力が低いと、長いパルス時間を必要とし、(2)ガス流量が低いと、チャンバ圧力が上昇して安定するのに長時間を要して、長いパルス時間を必要とし、(3)容積の大きなチャンバは、それを満たすのに長時間を要し、チャンバ圧力が安定化するのに長時間を要し、従って、長いパルス時間を必要とする。同様に、各パルス間の時間も可変であり、処理チャンバの容積容量及びそれに結合される真空システムに依存する。一般に、タンタル含有コンパウンド又は窒素含有コンパウンドのパルスの時間幅は、コンパウンドの単層を吸着するに充分な長さでなければならない。1つの態様において、窒素含有コンパウンドのパルスがチャンバに入ったときにタンタル含有コンパウンドのパルスが依然チャンバ内にあってもよい。一般に、パージガス及び/又はポンプ排気の時間幅は、タンタル含有コンパウンド及び窒素含有コンパウンドのパルスが反応ゾーンにおいて一緒に混合されるのを防止するに充分な長さでなければならない。
[00107]一般に、タンタル含有コンパウンドに対する約1.0秒以下のパルス時間と、窒素含有コンパウンドに対する約1.0秒以下のパルス時間は、基板構造体に交互の単層を吸着させるに通常充分である。タンタル含有コンパウンドのパルスと窒素含有コンパウンドのパルスとの間に約1.0秒以下の時間があることは、連続パージガスであるかパルスパージガスであるかに関わらず、パージガスが、タンタル含有コンパウンド及び窒素含有コンパウンドのパルスが反応ゾーンで一緒に混合するのを防止するのに通常充分である。もちろん、反応物の長いパルス時間を使用して、タンタル含有コンパウンド及び窒素含有コンパウンドの吸着を確保してもよいし、反応物のパルスとパルスとの間に長い時間を使用して、反応副産物の除去を確保してもよい。
[00108]堆積中に、基板210は、選択されたタンタル含有コンパウンドの熱分解温度のほぼ下に維持されてもよい。ここに示すタンタル含有コンパウンドに使用されるべき例示的ヒーター温度範囲は、約100torr未満、好ましくは、50torr未満のチャンバ圧力においておおよそ約20℃から約500℃である。タンタル含有ガスがPDMATであるときには、ヒーター温度は、好ましくは、約100℃から約300℃であり、更に好ましくは、約175℃から250℃であり、更に、チャンバ圧力は、約1.0torrから約5.0torrである。他の実施形態では、他の温度及び圧力を使用してもよいことを理解されたい。例えば、熱分解温度より上の温度を使用してもよい。しかしながら、温度は、堆積活動の50%以上が吸着プロセスによるものであるよう選択されねばならない。別の実施例では、各先駆物質堆積中の分解量が制限されて、成長モードが原子層堆積の成長モードと同様になるように、熱分解温度より上の温度が使用されてもよい。
[00109]繰返し堆積により窒化タンタル層を堆積する例示的プロセスは、ガス源238からペンタジメチルアミノ−タンタル(PDMAT)のパルスを、約100sccmから約1000sccm、好ましくは、約100sccmから約400sccmの流量で、バルブ242Aを経て、反応ゾーン264の小さな容積により、約0.5秒以下、約0.1秒以下、又は約0.05秒以下のパルス時間中、供給することを含む。アンモニアのパルスは、ガス源239から、約100sccmから約1000sccm、好ましくは、約200sccmから約600sccmの流量で、バルブ242Bを経て、反応ゾーン264の小さな容積により、約0.5秒以下、約0.1秒以下、又は約0.05秒以下のパルス時間中、供給されてもよい。約100sccmから約1000sccm、好ましくは、約100sccmから約400sccmの流量のアルゴンパージガスは、ガス源240からバルブ242A、242Bを経て連続的に供給されてもよい。タンタル含有コンパウンド及び窒素含有コンパウンドのパルス間の時間は、反応ゾーン264の小さな容積により、約0.5秒以下、約0.1秒以下、又は約0.07秒以下でよい。反応ゾーン264に反応ガス及び/又はパージガスを満たすには、約0.016秒以上のパルス時間が要求されると考えられる。約1.0から約5.0torrのチャンバ圧力において約100℃から約300℃のヒーター温度が維持されるのが好ましい。このプロセスは、サイクル当たり約0.5Åから約1.0Åの厚みの窒化タンタル層を形成する。希望の厚みに達するまで交互のシーケンスを繰り返すことができる。
[00110]一実施形態において、窒化タンタル層のような層が約50Å以下の側壁カバレージへと堆積される。別の実施形態では、層が約20Å以下の側壁カバレージへと堆積される。更に別の実施形態では、層が約10Å以下の側壁カバレージへと堆積される。厚みが約10Å以下の窒化タンタル層は、その用途において銅の拡散を防止するためのバリア層として充分な厚みであると考えられる。1つの態様において、薄いバリア層は、高いアスペクト比(例えば、5対1より大きい)を有するサブミクロン(例えば、0.15μm未満)以下の特徴部を埋め込むのに効果的に使用できる。もちろん、側壁カバレージが50Åより大きい層を使用してもよい。
[00111]繰返し堆積の実施形態は、基板に反応物の単層を吸着するものとして以上に説明した。本発明は、反応物が単層より多く又は少なく堆積される実施形態も包含する。又、本発明は、反応物が自己制限式に堆積されない実施形態も包含する。又、本発明は、堆積が主として化学気相堆積プロセスで行われ、反応物が順次に又は同時に配送される実施形態も包含する。
[00112]繰返し堆積の実施形態は、2つの反応物のパルスを使用して窒化タンタルのバイナリコンパウンドを堆積するものとして上述した。他の元素又はコンパウンドの堆積では、2つ以上の反応物のパルスも使用してよい。
[00113]本発明の好ましい実施形態を以上に述べたが、本発明の基本的な範囲から逸脱せずに他の及び更に別の実施形態も案出でき、本発明の範囲は、特許請求の範囲により限定される。
200・・・チャンバ、202・・・チャンバ本体、204・・・側壁、206・・・下部、208・・・スリットバルブ、210・・・基板、211・・・基板受け入れ面、212・・・基板支持体、214・・・リフトモーター、216・・・リフトプレート、220・・・ピン、222・・・パージリング、224・・・パージチャンネル、230・・・ガス配送システム、232・・・チャンバ蓋、234・・・拡大チャンネル、238、239・・・反応ガス源、240・・・パージガス源、243A、243B・・・配送ライン、248A、248B・・・プログラム可能なロジックコントローラ、250A、B・・・ガスコンジット、242A、242B、252A、252B・・・バルブ、244A、244B・・・弁座アッセンブリ、260・・・底面、262・・・チョーク、264・・・反応ゾーン、266・・・ポンピングゾーン、270・・プレート部分、272・・・キャップ部分、278・・・真空システム、279・・・ポンピングチャンネル、280・・・制御ユニット、299・・・ライナー。

Claims (15)

  1. チャンバ本体と、基板支持体と、チャンバ蓋とを備えたチャンバであって、
    上記基板支持体は、上記チャンバ本体内に配置され、基板受け入れ面を有し、
    上記チャンバ蓋は、上記チャンバ本体上に配置され、チャンネルと、少なくとも2つのガス入口と、少なくとも2つのガスコンジットとを有し、
    上記チャンネルは、上記チャンバ蓋の中央部分から下方に延び、長手軸、上部、下部、底面を有し、上記底面は、上記チャンネルの下部から上記チャンバ蓋の周囲部分へ延び、上記基板受け入れ面を実質的に覆う形状及びサイズにされており、
    上記少なくとも2つのガス入口は、上記チャンネルの上部に配置されており、
    上記少なくとも2つのガスコンジットは、上記少なくとも2つのガス入口と結合されており、
    上記チャンネルの下部の直径は、上記チャンネルの上部の直径より大きくなっており、
    上記ガスコンジットの各々は、上記チャンネルの長手軸と交差しない中心線を有し、上記チャンネル内で螺旋状のガス流を形成する、
    チャンバ。
  2. 上記ガスコンジットと流体連通した1つ以上のバルブを更に備えた、請求項1に記載のチャンバ。
  3. 上記バルブの各々と流体連通した1つ以上のガス源を更に備える、請求項2に記載のチャンバ。
  4. 上記底面は、上記チャンバ蓋の中央部分から延びるテーパー付けされた面で構成され、上記テーパー付けされた面は、まっすぐな面、凹状面、凸状面又はその組合せより成るグループから選択された面で構成される、請求項1に記載のチャンバ。
  5. 上記チャンネルは、裁頭円錐の形状とされる、請求項1に記載のチャンバ。
  6. 上記ガスコンジット、上記チャンネルに対して直角である、請求項1に記載のチャンバ。
  7. 共通のパージガス源が各バルブに結合されると共に、個別の反応ガス源が各バルブに結合される、請求項2に記載のチャンバ。
  8. 上記底面の周囲付近で上記チャンバ蓋に配置されたチョークを更に備えた、請求項1に記載のチャンバ。
  9. 上記基板支持体にパージ通路が配置された、請求項1に記載のチャンバ。
  10. チャンバ本体と、基板支持体と、チャンバ蓋とを備えたチャンバであって、
    上記基板支持体は、上記チャンバ本体内に配置され、基板受け入れ面を有し、
    上記チャンバ蓋は、上記チャンバ本体上に配置され、拡大チャンネル、少なくとも2つのガスコンジット、1つ以上のバルブを有し、
    上記拡大チャンネルは、上記チャンバ蓋の中央部分から延び、長手軸、テーパー付けされた底面、少なくとも2つのガス入口を有し、上記テーパ付けされた底面は、上記拡大チャンネルから上記チャンバ蓋の周囲部分へ延び、上記少なくとも2つのガス入口は、上記拡大チャンネルの上部に配置され、
    上記少なくとも2つのガスコンジットは、それぞれのガス入口に結合され、上記ガスコンジットの各々は、上記チャンネルの長手軸と交差しない中心線を有し、上記チャンネル内で螺旋状のガス流を形成し、
    上記1つ以上のバルブは、上記ガスコンジットに結合されている、
    チャンバ。
  11. 上記テーパー付けされた底面の周囲付近の上記チャンバ蓋上に配置されたチョークを更に備える、請求項10に記載のチャンバ。
  12. 上記拡大チャンネルは、裁頭円錐の形状とされる、請求項10に記載のチャンバ。
  13. パージチャンネルは、上記基板支持体に配置される、請求項10に記載のチャンバ。
  14. 上記1つ以上のバルブは、上記チャンバ蓋に取り付けられる、請求項10に記載のチャンバ。
  15. 上記1つ以上のバルブは、上記チャンバ本体の下に取り付けられる、請求項10に記載のチャンバ。
JP2010205796A 2001-10-26 2010-09-14 原子層堆積のためのガス配送装置 Expired - Lifetime JP5371917B2 (ja)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US34608601P 2001-10-26 2001-10-26
US60/346,086 2001-10-26
US10/032,284 US6916398B2 (en) 2001-10-26 2001-12-21 Gas delivery apparatus and method for atomic layer deposition
US10/032,284 2001-12-21
US39723002P 2002-07-19 2002-07-19
US60/397,230 2002-07-19

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2003538423A Division JP4615859B2 (ja) 2001-10-26 2002-10-25 原子層堆積のためのガス配送装置

Publications (2)

Publication Number Publication Date
JP2011042876A JP2011042876A (ja) 2011-03-03
JP5371917B2 true JP5371917B2 (ja) 2013-12-18

Family

ID=27364083

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2003538423A Expired - Lifetime JP4615859B2 (ja) 2001-10-26 2002-10-25 原子層堆積のためのガス配送装置
JP2010205796A Expired - Lifetime JP5371917B2 (ja) 2001-10-26 2010-09-14 原子層堆積のためのガス配送装置

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP2003538423A Expired - Lifetime JP4615859B2 (ja) 2001-10-26 2002-10-25 原子層堆積のためのガス配送装置

Country Status (5)

Country Link
EP (1) EP1444380B1 (ja)
JP (2) JP4615859B2 (ja)
DE (2) DE20221269U1 (ja)
TW (1) TW563176B (ja)
WO (1) WO2003035927A2 (ja)

Families Citing this family (372)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6861094B2 (en) 2002-04-25 2005-03-01 Micron Technology, Inc. Methods for forming thin layers of materials on micro-device workpieces
US6838114B2 (en) 2002-05-24 2005-01-04 Micron Technology, Inc. Methods for controlling gas pulsing in processes for depositing materials onto micro-device workpieces
US7118783B2 (en) 2002-06-26 2006-10-10 Micron Technology, Inc. Methods and apparatus for vapor processing of micro-device workpieces
US6821347B2 (en) 2002-07-08 2004-11-23 Micron Technology, Inc. Apparatus and method for depositing materials onto microelectronic workpieces
CN1795290B (zh) * 2003-05-27 2010-06-16 应用材料股份有限公司 一种用来产生一可用于半导体处理系统的前体的方法和设备
TWI326104B (en) * 2003-05-27 2010-06-11 Applied Materials Inc Method and apparatus for generating a precursor for a semiconductor processing system
US7258892B2 (en) 2003-12-10 2007-08-21 Micron Technology, Inc. Methods and systems for controlling temperature during microfeature workpiece processing, e.g., CVD deposition
US7906393B2 (en) 2004-01-28 2011-03-15 Micron Technology, Inc. Methods for forming small-scale capacitor structures
US8133554B2 (en) 2004-05-06 2012-03-13 Micron Technology, Inc. Methods for depositing material onto microfeature workpieces in reaction chambers and systems for depositing materials onto microfeature workpieces
US20050252449A1 (en) * 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
JP2006022354A (ja) * 2004-07-06 2006-01-26 Tokyo Electron Ltd 成膜方法
TWI261313B (en) 2005-07-29 2006-09-01 Ind Tech Res Inst A method for a large dimension plasma enhanced atomic layer deposition cavity and an apparatus thereof
US8986456B2 (en) 2006-10-10 2015-03-24 Asm America, Inc. Precursor delivery system
WO2009049020A2 (en) * 2007-10-11 2009-04-16 Valence Process Equipment, Inc. Chemical vapor deposition reactor
JP5233734B2 (ja) * 2008-02-20 2013-07-10 東京エレクトロン株式会社 ガス供給装置、成膜装置及び成膜方法
US8491967B2 (en) * 2008-09-08 2013-07-23 Applied Materials, Inc. In-situ chamber treatment and deposition process
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8877655B2 (en) 2010-05-07 2014-11-04 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8883270B2 (en) 2009-08-14 2014-11-11 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen—oxygen species
SG10201501824XA (en) * 2010-03-12 2015-05-28 Applied Materials Inc Atomic layer deposition chamber with multi inject
JP5410348B2 (ja) 2010-03-26 2014-02-05 株式会社豊田中央研究所 表面処理装置
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
JP5761067B2 (ja) * 2012-02-13 2015-08-12 東京エレクトロン株式会社 ガス供給装置及び熱処理装置
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
TWI622664B (zh) 2012-05-02 2018-05-01 Asm智慧財產控股公司 相穩定薄膜,包括該薄膜之結構及裝置,及其形成方法
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9117866B2 (en) 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
JP5837962B1 (ja) 2014-07-08 2015-12-24 株式会社日立国際電気 基板処理装置、半導体装置の製造方法およびガス整流部
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
JP5961297B1 (ja) * 2015-03-26 2016-08-02 株式会社日立国際電気 基板処理装置、半導体装置の製造方法及びプログラム
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
RU2673515C2 (ru) * 2017-02-02 2018-11-27 Общество С Ограниченной Ответственностью "Монолюм" Способ подачи газов в реактор для выращивания эпитаксиальных структур на основе нитридов металлов iii группы и устройство для его осуществления
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
KR102633318B1 (ko) 2017-11-27 2024-02-05 에이에스엠 아이피 홀딩 비.브이. 청정 소형 구역을 포함한 장치
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
CN108060410B (zh) * 2017-12-15 2023-08-18 浙江晶盛机电股份有限公司 用于平板式pecvd的进气管道保护结构
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
US10964533B2 (en) 2018-12-21 2021-03-30 Applied Materials, Inc. ALD process and hardware with improved purge efficiency
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
WO2022060561A1 (en) * 2020-09-15 2022-03-24 Lam Research Corporation Heat-transferring valve flexure and methods
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5000113A (en) * 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
JPH0487323A (ja) * 1990-07-31 1992-03-19 Mitsubishi Electric Corp Cvd装置
US5951771A (en) * 1996-09-30 1999-09-14 Celestech, Inc. Plasma jet system
US6026762A (en) * 1997-04-23 2000-02-22 Applied Materials, Inc. Apparatus for improved remote microwave plasma source for use with substrate processing systems
FI118342B (fi) * 1999-05-10 2007-10-15 Asm Int Laite ohutkalvojen valmistamiseksi
US20020127336A1 (en) * 2001-01-16 2002-09-12 Applied Materials, Inc. Method for growing thin films by catalytic enhancement

Also Published As

Publication number Publication date
JP4615859B2 (ja) 2011-01-19
WO2003035927A3 (en) 2003-07-31
TW563176B (en) 2003-11-21
JP2005507030A (ja) 2005-03-10
EP1444380B1 (en) 2005-03-23
DE60203413D1 (de) 2005-04-28
WO2003035927A2 (en) 2003-05-01
DE20221269U1 (de) 2005-12-08
EP1444380A2 (en) 2004-08-11
DE60203413T2 (de) 2006-04-20
JP2011042876A (ja) 2011-03-03

Similar Documents

Publication Publication Date Title
JP5371917B2 (ja) 原子層堆積のためのガス配送装置
US7699023B2 (en) Gas delivery apparatus for atomic layer deposition
CN1774525B (zh) 用于原子层淀积的气体输送装置
JP4925558B2 (ja) ハイブリッド化学処理装置
JP5889806B2 (ja) 複式噴射を伴う原子層堆積チャンバ
US11384432B2 (en) Atomic layer deposition chamber with funnel-shaped gas dispersion channel and gas distribution plate
KR101448447B1 (ko) 원자 층 증착을 위한 보텍스 챔버 리드
KR100956189B1 (ko) 원자층 증착용 가스 전달 장치

Legal Events

Date Code Title Description
RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20101130

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20101210

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20120925

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20121016

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20121205

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20130212

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130422

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20130820

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20130917

R150 Certificate of patent or registration of utility model

Ref document number: 5371917

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

EXPY Cancellation because of completion of term