TWI512133B - 成膜裝置、基板處理裝置、成膜方法及記錄媒體 - Google Patents

成膜裝置、基板處理裝置、成膜方法及記錄媒體 Download PDF

Info

Publication number
TWI512133B
TWI512133B TW098129629A TW98129629A TWI512133B TW I512133 B TWI512133 B TW I512133B TW 098129629 A TW098129629 A TW 098129629A TW 98129629 A TW98129629 A TW 98129629A TW I512133 B TWI512133 B TW I512133B
Authority
TW
Taiwan
Prior art keywords
reaction gas
turntable
space
gas
supply unit
Prior art date
Application number
TW098129629A
Other languages
English (en)
Other versions
TW201024450A (en
Inventor
Manabu Honma
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of TW201024450A publication Critical patent/TW201024450A/zh
Application granted granted Critical
Publication of TWI512133B publication Critical patent/TWI512133B/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45548Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction
    • C23C16/45551Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction for relative movement of the substrate and the gas injectors or half-reaction reactor compartments
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45519Inert gas curtains
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45578Elongated nozzles, tubes with holes

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Description

成膜裝置、基板處理裝置、成膜方法及記錄媒體
本發明係關於一種成膜裝置、基板處理裝置、成膜方法及電腦可讀取記錄媒體,特別是關於交替地供給至少兩種原料氣體以形成薄膜之成膜裝置、基板處理裝置、成膜方法以及紀錄有使電腦實行該成膜方法的程式之電腦可讀取記錄媒體。
已知半導體製程中的一種成膜方法係在真空氣氛下使第1反應氣體吸附在作為基板之半導體晶圓(以下稱為晶圓)等的表面,然後再將供給氣體切換至第2反應氣體,藉由兩氣體的反應而形成1層或多層的原子層或分子層,並藉由多次進行該循環來層積該等層以在基板上進行成膜。該方法被稱為例如ALD(Atomic Layer Deposition;原子層沉積)或MLD(Molecular Layer Deposition;分子層沉積)等,可藉由調整循環次數來高精密度地控制膜厚,且膜的均勻性優異,為一種可對應於半導體元件之薄膜化的有效方法。
此種成膜方法適於形成例如用在閘極氧化膜之高介電膜。舉例來說,在形成矽氧化膜(SiO2)時,例如使用二(特丁胺基)矽烷(以下稱為BTBAS)氣體等來作為第1反應氣體(原料氣體),使用臭氧氣體等來作為第2反應氣體(氧化氣體)。
已評估一種利用在真空容器中央上方具有淋氣頭的枚葉式成膜裝置來作為進行此種成膜方法的裝置,其係從基板的中央部上側供給反應氣體,並將未反應的反應氣體及反應副產生物從處理容器的底部排出之方法。然而,上述的成膜方法由於係以吹淨氣體來進行氣體的置換,故需花費較長時間且該循環次數亦可能高達例如數百次,而有所謂處理時間過長的問題,因此急需一種能實現高產能之成膜裝置及成膜方法。
在這樣的背景下,將複數片基板設置於真空容器內之迴轉台的迴轉方向以進行成膜處理已知有如下所述之裝置。
美國專利公報第7,153,542號中揭露了一種成膜裝置,係將扁平的圓筒狀真空容器分隔為左右,並使沿著左側區域及右側區域的半圓輪廓所形成之排氣口朝上排氣,且在左側的半圓輪廓與右側的半圓輪廓之間,亦即在真空容器的直徑區域具有形成有分離氣體噴出孔之分離區域。右側半圓區域及左側半圓區域形成有相異原料氣體的供給區域,藉由迴轉真空容器內的迴轉台來使工作件通過右側半圓區域、分離區域及左側半圓區域,並將兩原料氣體從排氣口排出。然後被供給分離氣體之分離區域的頂板係較原料氣體的供給區域要低。
日本特開2001-254181號公報中揭示了一種成膜裝置,係沿著迴轉方向將4片晶圓等距地設置在晶圓支承組件(迴轉台)上,另一方面,以對向於晶圓支承組件之 方式沿著迴轉方向等距地設置第1反應氣體噴出噴嘴及第2反應氣體噴出噴嘴,並將吹淨氣體噴嘴設置於該等噴嘴之間,且使晶圓支承組件水平地迴轉。各晶圓係藉由晶圓支承組件而被加以支承,且晶圓表面位在自晶圓支承組件的上面起相距該晶圓厚度的上方。又,各噴嘴係朝晶圓支承組件的徑向方向延伸,且晶圓與噴嘴的距離為0.1mm以上。真空排氣係在晶圓支承組件的外緣與處理容器的內壁間進行。此種裝置藉由使吹淨氣體噴嘴下方達成所謂氣體簾幕的效果來防止第1反應氣體與第2反應氣體的混合。
日本特許3144664號公報中揭示了一種成膜裝置,係藉由區隔壁而在圓周方向將真空容器內分割為複數處理室,並介隔著縫隙將可迴轉的圓形載置台設置於區隔壁的下端,且在該載置台上載置複數晶圓。
日本特開平4-287912號公報中揭示了一種成膜方法,係於圓周方向將圓形氣體供給板分為8各區塊,並使AsH3氣體供給口、H2氣體供給口、TMG氣體供給口及H2氣體供給口分別間隔90度地設置,更近一步地在該等氣體供給口之間設置排氣口並使得對向於該氣體供給板而支承有晶圓的載置台進行迴轉。
又,美國專利公報第6,634,314號中揭示了一種成膜裝置,係以4個垂直壁將迴轉台的上方區域劃分為十字形而將晶圓載置於以上述方式所劃分的4個載置區域,將來源氣體注入器、反應氣體注入器及吹淨氣體注 入器交互地設置於迴轉方向以構成十字形注入器單元,且將該等注入器依序位於該4個載置區域並將注入器單元水平地迴轉且從迴轉台的周圍來真空排氣。
再且,日本特開2007-247066號公報中揭示了一種裝置,係在進行使複數氣體被交互地吸附在對象物(相當於晶圓)之原子層CVD方法時,迴轉用以載置晶圓之載置台並從載置台上方供給來源氣體及吹淨氣體。段落0023至0025中記載了區隔壁係從反應室中心放射狀地延伸且在區隔壁下設置有將反應氣體或吹淨氣體供給至載置台之氣體流出孔,以及藉由使惰性氣體從區隔壁之氣體流出孔流出以形成氣體簾幕。有關於排氣的敘述最初記載於段落0058,從該記載可知來源氣體與吹淨氣體係分別從排氣通道30a、30b被排出。此外,美國專利公開公報第2007-218701號及美國專利公開公報第2007-218702號中亦提出了和日本特開2007-247066號公報類似的技術。
然而,利用上述8篇專利文獻所揭示之成膜裝置及成膜方法來將多片基板載置於真空容器內之迴轉台的迴轉方向以進行成膜處理時,有下述問題。
利用美國專利公報第7,153,542號所揭示之成膜裝置及成膜方法時,由於其係採用將排氣口朝上地設置於分離氣體的噴出孔與反應氣體的供給區域之間,並將反應氣體連同分離氣體從該排氣口一起排氣之方法,因此會使得被噴出至工作件的反應氣體成為向上氣流而從 排氣口被吸入,並伴隨著微塵粒子被吹起而容易引起微塵粒子污染到晶圓的問題。
利用日本特開2001-254181號公報所揭示之成膜裝置及成膜方法時,由於晶圓支承組件會迴轉,因此僅依靠來自吹淨氣體噴嘴的氣體簾幕作用,其兩側的反應氣體仍會通過,特別是無法避免來自迴轉方向上游側者於氣體簾幕中擴散之問題。再者,存在有從第1反應氣體噴出噴嘴所噴出的第1反應氣體透過相當於迴轉台之晶圓支承組件的中心部而容易到達第2反應氣體(來自第2反應氣體噴出噴嘴)擴散區域之問題。像這樣地第1反應氣體與第2反應氣體在晶圓上混合時,則晶圓表面會附著反應生成物,而有無法進行良好的ALD(或MLD)處理之問題。
利用日本特許3144664號公報所揭示之成膜裝置及成膜方法時,處理氣體會從區隔壁與載置台或晶圓之間的縫隙向相鄰的處理室擴散,且複數處理室之間設置有排氣室,因此晶圓通過該排氣室時,來自上游側及下游側處理室的氣體會在該排氣室混合。因此,存在有無法應用ALD方式的成膜方法之問題。
利用日本特開平4-287912號公報所揭示之成膜裝置及成膜方法時,針對2種反應氣體之分離並未揭示任何實質的機構,遑論載置台的中心附近,實際上即使是中心附近以外亦存在有2種反應氣體透過H2氣體供給口的配置區域而發生混合之問題。再者,將排氣口設置 在對向於晶圓的通過區域之面上時,會有微塵粒子從載置台表面被吹起等而容易導致晶圓的微塵粒子污染之致命的問題。
利用美國專利公報第6,634,314號所揭示之成膜裝置及成膜方法時,將來源氣體或反應氣體供給至各載置區域後,由於係利用吹淨氣體噴嘴以吹淨氣體來將該載置區域的氣氛置換,而存在有需花費較長時間,且來源氣體或反應氣體會從一載置區域越過垂直壁而擴散至鄰接的載置區域,使得兩氣體在載置區域發生反應之問題。
利用日本特開2007-247066號公報、美國專利公開公報第2007-218701號或美國專利公開公報第2007-218702號所揭示之成膜裝置及成膜方法時,存在有無法避免兩側的來源氣體區隔室之來源氣體在吹淨氣體區隔室相互混合,而產生反應生成物且微塵粒子污染到晶圓之問題。
再者,利用美國專利公報第7,153,542號、日本特開2001-254181號公報、日本特許3144664號公報、日本特開平4-287912號公報及美國專利公報第6,634,314號所揭示之成膜裝置及成膜方法時,當供給含有Cl等具腐蝕性的反應氣體來做為第1反應氣體以進行成膜時,或成膜後從供給第1反應氣體之噴嘴來供給含有Cl等具腐蝕性的清潔氣體以進行清潔時,存在有真空容器的頂板等由鋁材所構成的部分會被腐蝕之問題。
本發明有鑑於上述情事,乃提供一種將會相互反應的複數反應氣體依序供給至基板表面來層積多層反應生成物的層以形成薄膜時,可獲得高產能、防止複數反應氣體在基板上發生混合而可進行良好的處理,並保護真空容器不受反應氣體或清潔氣體腐蝕之成膜裝置、成膜方法以及紀錄有使電腦實行該成膜方法的程式之電腦可讀取記錄媒體。
本發明第1樣態係提供一種成膜裝置,係於真空容器內依序供給含有第1反應氣體及第2反應氣體之至少2種原料氣體,並藉由實施依序供給該至少2種原料氣體之供給循環來形成薄膜,其具有:迴轉台,係可迴轉地設置於該真空容器內,並具有用以載置基板的基板載置部;保護頂板,係對向地設置在該迴轉台上以保護該真空容器不受該第1反應氣體及該第2反應氣體的侵蝕;第1反應氣體供給部及第2反應氣體供給部,係分別從該迴轉台周緣之互為相異的位置朝向迴轉中心設置,以供給該第1反應氣體與該第2反應氣體;第1分離氣體供給部,係從該第1反應氣體供給部與該第2反應氣體供給部之間之該迴轉台周緣之位置朝向迴轉中心設置,以供給用以分離該第1反應氣體與該第2反應氣體之第1分離氣體; 其中,含有該第1反應氣體供給部之該保護頂板的下面,具有設置於自具有設置在距離該迴轉台為之第1高度處的第1下面區域;該第1下面區域與該迴轉台之間形成有第1空間;含有該第2反應氣體供給部之該保護頂板的下面,在離開該第1下面區域的位置具有設置於自具有設置在距離該迴轉台之為第2高度處的第2下面區域;該第2下面區域與該迴轉台之間形成有第2空間;包含該第1分離氣體供給部並沿著該迴轉台的迴轉方向在位於該第1分離氣體供給部兩側之該保護頂板的下面,具有設置於自在距離該迴轉台較該第1高度及該第2高度要低之第3高度的第3下面區域;該第3下面區域與該迴轉台之間,形成有具有該第3高度之第3空間,為了用以使該第1分離氣體供給部所供給的該第1分離氣體流至該第1空間及該第2空間動而具有該第3高度之第3空間;真空容器保護部,係為了保護該真空容器不受該第1反應氣體及該第2反應氣體的侵蝕,而被設置為和該保護頂板一起圍繞該迴轉台、該第1空間、該第2空間及該第3空間;該保護頂板的下面具有設置有第2分離氣體供給部的中心部區域,將用以分離該第1反應氣體與該第2反應氣體之第2分離氣體供給至該迴轉台之迴轉中心的該基板載置部側之第2分離氣體供給部的中心部區 域;排氣口,係將從該第3空間兩側所噴出之該第1分離氣體及從該中心部區域所噴出之該第2分離氣體連同該第1反應氣體及該第2反應氣體一起排氣。
本發明第2樣態係提供一種基板處理裝置,其具有:如上所述之成膜裝置;真空搬送室,係氣密地連接於該成膜裝置,並於內部設置有基板搬送部;以及預備真空室,係氣密地連接於該真空搬送室,並可將氣氛在真空氣氛與大氣氣氛間切換。
本發明第3樣態係提供一種成膜方法,係在用以保護真空容器不受侵蝕之真空容器保護部所圍繞的空間中,藉由依序供給含有第1反應氣體及第2反應氣體之至少2種原料氣體,並實施依序供給該至少2種原料氣體之供給循環,以於基板上在一邊分離及供給該第1反應氣體及該第2反應氣體一邊形成薄膜,其係藉由下述方式來形成薄膜:將基板載置於該真空容器內的迴轉台;使該迴轉台迴轉;將第1反應氣體從第1反應氣體供給部供給至形成於該迴轉台上面與該真空容器保護部的該頂板之間的第1空間;將第2反應氣體從設置於沿著該迴轉台的迴轉方向之和該第1反應氣體供給部相異的位置之第2反應氣體供給部,供給至形成於該迴轉台上面與該真空容器保 護部的該頂板之間的第2空間;將第1分離氣體從設置於該第1反應氣體供給部與該第2反應氣體供給部之間的第1分離氣體供給部,供給至形成於該迴轉台上面與該真空容器保護部的該頂板之間的第3空間,且該第3空間係較該第1空間及該第2空間要更低;將用以分離該第1反應氣體及該第2反應氣體之第2分離氣體供給至該頂板的下面且為該迴轉台上側的中心部區域;藉由伴隨著該迴轉台之迴轉而使該基板移動,以對該基板的表面重複進行供給該第1反應氣體、停止該第1反應氣體、供給該第2反應氣體及停止該第2反應氣體,並將該第1分離氣體及該第2分離氣體連同該第1反應氣體及該第2反應氣體一起排氣。
本發明第4樣態係提供一種電腦可讀取之記錄媒體,係於電腦記錄有實行一種使在用以保護成膜裝置的真空容器不受侵蝕之真空容器保護部所圍繞的空間,藉由依序供給含有第1反應氣體及第2反應氣體之至少2種原料氣體,並實施依序供給該至少2種原料氣體之供給循環,以在基板上一邊分離及供給該第1反應氣體及該第2反應氣體一邊形成薄膜之薄膜處理的程式,其中係於該電腦使該程式實行下列步驟:迴轉載置有該基板之該迴轉台;將第1反應氣體從第1反應氣體供給部供給至形成 於該迴轉台上面與該真空容器保護部的該頂板之間的第1空間;將第2反應氣體從設置於沿著該迴轉台的迴轉方向之和該第1反應氣體供給部相異的位置之第2反應氣體供給部,供給至形成於該迴轉台上面與該真空容器保護部的該頂板之間的第2空間;將第1分離氣體從設置於該第1反應氣體供給部與該第2反應氣體供給部之間的第1分離氣體供給部,供給至形成於該迴轉台上面與該真空容器保護部的該頂板之間的第3空間,且該第3空間係較該第1空間及該第2空間要更低;將用以分離該第1反應氣體及該第2反應氣體之第2分離氣體供給至該頂板的下面且為該迴轉台上側的中心部區域;藉由伴隨著該迴轉台之迴轉而使該基板移動,以重複進行對該基板的表面供給該第1反應氣體、停止該第1反應氣體、供給該第2反應氣體及停止該第2反應氣體,並將該第1分離氣體及該第2分離氣體連同該第1反應氣體及該第2反應氣體一起排氣。
接下來,參照圖式詳細說明本發明之較佳實施形態。
(第1實施形態)
參照圖1~圖10,詳細說明第1實施形態之成膜裝置及成膜方法。
首先,參照圖1~圖9,詳細說明本實施形態之成膜裝置的結構。
圖1為概略顯示第1實施形態之成膜裝置結構的縱剖面圖。圖1為圖3之B-B線的縱剖面圖。圖2為概略顯示第1實施形態之成膜裝置結構的立體圖。圖3為概略顯示本實施形態之成膜裝置結構的橫剖平面圖。圖2及圖3為真空容器1的頂板11為分離的狀態下之立體圖及橫剖平面圖。圖4A及4B為用以說明本實施形態之成膜裝置的示意圖,係顯示第1至第3空間之剖面圖。圖4A及4B為從包含有迴轉台本身的上側部分沿著同心圓橫切展示之展開圖。圖5為用以說明本實施形態之成膜裝置的示意圖,係顯示第1反應氣體供給部之立體圖。圖6A及6B為用以說明本實施形態之成膜裝置的示意圖,係用以說明第3下面部的尺寸例之橫剖面圖及縱剖面圖。圖7為用以說明本實施形態之成膜裝置的示意圖,係圖3之A-A線的縱剖面圖。圖8為用以說明第2分離氣體、第3分離氣體及第2保護氣體在本發明第1實施形態成膜裝置的一部分流動的樣子之示意圖,係圖3之B-B線的縱剖面圖。圖9為顯示本發明第1實施形態成膜裝置的一部分之部份剖面立體圖。圖10為概略顯示本實施形態成膜裝置之控制部結構的示 意圖。
如圖1~圖3所示,本實施形態之成膜裝置具有真空裝置1、收納於真空裝置1之迴轉台2、第1反應氣體供給部31、第2反應氣體供給部32、第1分離氣體供給部41、42、保護頂板4及真空容器保護部49。
如圖1~圖3所示,真空容器1為平面形狀略呈圓形之扁平形。真空容器1具有頂板11、容器本體12、O型環13、底面部14。
頂板11可自容器本體12分離。頂板11係藉由使內部為減壓狀態,並透過密封組件(例如O型環13)被按壓到容器本體12側而維持氣密狀態。又,頂板11自容器本體12分離時,係藉由驅動機構(未圖示)而被提昇到上方。
接下來,針對收納於真空容器1各部分中的迴轉台2及設置於較頂板11要下側但較迴轉台2要上側的部分加以說明。亦即,針對迴轉台2、第1反應氣體供給部31、第2反應氣體供給部32、第1分離氣體供給部41、42、保護頂板4及第2分離氣體供給部51詳細說明。
如圖1所示,迴轉台2在真空容器1的中心具有迴轉中心。迴轉台2具有外殼20、核心部21、迴轉軸22、驅動部23及凹部24。
迴轉台2的中心部係固定於圓筒狀核心部21,核心部21係固定於朝鉛直方向延伸之迴轉軸22上端。迴轉軸22貫穿真空容器1的底面部14,其下端裝設有使 迴轉軸22繞鉛直軸而朝順時鐘方向地迴轉之驅動部23。迴轉軸22及驅動部23係收納於上面具有開口的圓筒狀外殼20。外殼20係藉由使外殼20上面所設置的凸緣部分氣密地裝設於真空容器1的底面部14下面,以維持外殼20的內部氣氛與外部氣氛的氣密狀態。
凹部24如圖2及圖3所示,係為了沿著迴轉方向(圓周方向)載置多片(例如5片)作為基板之晶圓,而設置於迴轉台2的表面部。凹部24為圓形。凹部24係用以固定晶圓的位置,使其不會因迴轉台2迴轉的離心力而飛出,相當於本發明之基板載置部。此外,圖3只簡化地在1個凹部24圖示晶圓W。
凹部24如圖4A所示,凹部24的直徑僅只較晶圓的直徑稍大(例如4mm),且其深度與晶圓厚度相同。因此,將晶圓落下至凹部24時,晶圓表面與迴轉台2表面(未載置晶圓的區域)的高度會對齊。晶圓表面與迴轉台2表面間的高度差太大時,其段差部分會產生壓力變化,因此為了使膜厚的面均勻性一致,較佳地係使晶圓表面與迴轉台2表面的高度對齊。使晶圓表面與迴轉台2表面的高度對齊係指凹部24(基板載置部)所載置之晶圓(基板)表面與迴轉台2表面的高度相同,或晶圓(基板)表面較迴轉台2表面要低的位置,但對應加工精確度等較佳係儘可能地使兩面的高度差接近於零,或兩面的高度為5mm以內亦可。為了支撐晶圓內面並昇降晶圓,而在凹部24的底面形成有例如將後述於圖9之貫穿有 3根昇降銷的貫穿孔。
此外,基板載置部不限於凹部而亦可為例如將用以導引晶圓周緣之導引組件在迴轉台2表面沿著晶圓的圓周方向複數排列之結構,或在迴轉台2側設置靜電夾等夾具機構。將夾具機構設置於迴轉台2側以吸附晶圓時,藉由吸附來載置晶圓的區域則成為基板載置部。
如圖2及圖3所示,為了供給第1反應氣體及第2反應氣體,第1反應氣體供給部31、第2反應氣體供給部32及2根第1分離氣體供給部41、42係從真空容器1周緣(迴轉台2周緣)的相異位置朝向迴轉中心分別地設置在對向於迴轉台2之凹部24的基板載置部之位置。第1反應氣體供給部31、第2反應氣體供給部32及2根第1分離氣體供給部41、42係在長度方向間隔地穿設有用以將反應氣體噴出至下側的噴出孔之噴嘴。
第1反應氣體供給部31、第2反應氣體供給部32及2根第1分離氣體供給部41、42係例如裝設於真空容器1的側壁,且其基端部之氣體導入埠31a、32a、41a、42a係貫穿側壁。本實施形態的一部份如圖5所示,氣體導入埠31a、32a、41a、42a係從真空容器1側壁導入,但亦可從後述環狀突出部53導入。此情況下,可在突出部53外圍面與頂板11外表面設置具有開口之L形導管,並於真空容器1內將第1反應氣體供給部31、第2反應氣體供給部32及2根第1分離氣體供給部41、42連接至L形導管一側的開口,於真空容器 1的外部將氣體導入埠31a、32a、41a、42a連接至L形導管另一側的開口。
如圖4A及4B所示,第1反應氣體供給部31及第2反應氣體供給部32之用以將反應氣體噴出至下側的噴出孔33係間隔地穿設於噴嘴的長度方向。本實施形態中,例如沿著構成第1反應氣體供給部31及第2反應氣體供給部32之氣體噴嘴的長度方向,以間隔10mm穿設有朝正下方例如口徑為0.5mm的噴出孔。
如圖4A及圖4B所示,第1分離氣體供給部41、42之用以將分離氣體噴出至下側的噴出孔40係在長度方向間隔地穿設。本實施形態中,例如沿著構成第1分離氣體供給部41、42之氣體噴嘴的長度方向,以間隔10mm穿設有朝向正下方例如口徑為0.5mm的噴出孔。
第1反應氣體供給部31及第2反應氣體供給部32係連接至設置於真空容器1外部之第1反應氣體的氣體供給源及第2反應氣體的氣體供給源,第1分離氣體供給部41、42係連接至設置於真空容器1外部之第1分離氣體的氣體供給源。本實施形態中,將第2反應氣體供給部32、第1分離氣體供給部41、第1反應氣體供給部31及第1分離氣體供給部42依上述順序順時針地設置。
本實施形態可利用例如BTBAS(二(特丁胺基)矽烷)氣體來作為第1反應氣體。又,可利用例如O3(臭氧)氣體來作為第反應氣體。再者,可利用例如N2(氮)氣體 來作為第1分離氣體。此外,第1分離氣體不限於N2氣體,亦可利用Ar等惰性氣體,但不限於惰性氣體而亦可為氫氣等,只要是對成膜處理不會造成影響的氣體,對氣體種類並未特別限制。
保護頂板4如圖1所示,係以對向於迴轉台2之方式設置在真空容器1的頂板11與迴轉台2之間。保護頂板4係用以保護真空容器1不受第1反應氣體及第2反應氣體侵蝕。保護頂板4係由譬如石英或陶瓷所構成。
如圖2~圖4B所示,保護頂板4的下面具有只與迴轉台2上面相距距離H1的面(第1下面部(第1下面區域)45)、只與迴轉台2上面相距距離H2的面(第2下面部(第2下面區域)45a)、以及形成於第1下面部45與第2下面部45a間並只與迴轉台上面相距距離H3的面(第3下面部(第3下面區域)44)之3個區域,且於第1下面部45及第2下面部45a處,具有鄰接於各區域的迴轉中心側之突出部53,並更近一步地具有對應於核心部21之迴轉中心側部5。
第1下面部45、第2下面部45a及第3下面部44係分別為包含第1反應氣體供給部31、第2反應氣體供給部32及第1分離氣體供給部41之保護頂板4下面的區域。此外,第3下面部44被第1分離氣體供給部41分為二部份。
又,如圖2~圖4B所示,保護頂板4下面的第1下 面部45、第2下面部45a及兩第3下面部44的4個區域與迴轉台2之間,分別形成了第1空間P1、第2空間P2及兩第3空間D。
如圖4A及圖4B所示,保護頂板4的第1下面部45為包含有第1反應氣體供給部31之保護頂板4的下面區域。如圖4A及圖4B所示,第2下面部45a為包含有第2反應氣體供給部32之頂板11的下面區域。如圖4A及圖4B所示,第3下面部44為包含有第1分離氣體供給部41、42之頂板11的下面區域。又,從第1分離氣體供給部41、42中心軸到扇形第3下面部44的迴轉台2之順迴轉方向及逆迴轉方向兩緣的距離係設定為相同長度。
此時,保護頂板4之第3下面部44在相對於各第1分離氣體供給部41、42之迴轉台2的迴轉方向上游側處,愈接近迴轉台2周緣位置的部位寬度愈寬。其理由是當迴轉迴轉台2時,愈接近迴轉台2周圍的部位,氣體從迴轉方向上游側朝向第3下面部44流動地愈快。本實施形態是以直徑300mm的晶圓W來作為被處理基板,第3下面部44圓周方向的長度(與迴轉台2為同心圓的圓弧長度)在接近自迴轉中心相距140mm之突出部53的部位處為例如146mm,且在凹部24(基板載置部)的最外側位置處為例如502mm。此外,如圖4A所示,於該最外側位置處,從分別位於第1分離氣體供給部41(42)的左右兩端之保護頂板4的第3下面部44 圓周方向的長度L為246mm。
包含有第1反應氣體供部31之保護頂板4的第1下面部45如圖1及圖4A所示,係設置於自迴轉台2起第1高度H1處。包含有第2反應氣體供給部32之第2下面部45a如圖1及圖4A所示,係設置於自迴轉台2起第2高度H2處。包含有第1分離氣體供給部41之第3下面部44如圖4A所示,係設置於自迴轉台2起第3高度H3處。第3高度H3係較第1高度H1及第2高度H2要低。又,第1高度H1與第2高度H2的大小關係雖未特別限制,但可為例如H1=H2。因此,在本實施形態中,可為H3<H1=H2。
亦即,如圖4A所示,第1分離氣體供給部41的迴轉方向兩側具有自迴轉台2起第3高度H3處所設置之保護頂板4下面的第3下面部44,而第3下面部44的迴轉方向兩側具有較第3下面部44要高之第1下面部45及第2下面部45a。換言之,第1分離氣體供給部41之迴轉方向兩側具有第3空間D,而第3空間D之迴轉方向兩側具有第1空間P1及第2空間P2。同樣地,在第1空間P1相反側及第2空間P2相反側之間,具有第3空間D。
此處,針對第3空間D的功能(第1空間P1之氣氛與第2空間P2之氣氛的分離作用)詳細說明。
第3下面部44係藉由與第1分離氣體供給部41相組合,來阻止第1反應氣體及第2反應氣體侵入第3空 間D,並阻止第1反應氣體與第2反應氣體發生混合。亦即,阻止了第2反應氣體自迴轉台2的逆迴轉方向側侵入第3空間D,亦阻止了第1反應氣體自迴轉台2的順迴轉方向側侵入第3空間D。「阻止氣體侵入」係指藉由使第1分離氣體供給部41所噴出之第1分離氣體擴散至第3空間D,並吹向相鄰之第2下面部45a下側空間的第2空間P2,以使來自相鄰之第1空間P1及第2空間P2的氣體無法侵入。然後,「氣體無法侵入」並非單指氣體完全無法從相鄰之第1空間P1及第2空間P2進入第3空間D的狀態,而是亦指雖會有些許侵入,但分別從兩側侵入的第1反應氣體及第2反應氣體不會在第3空間D發生混合之狀態。只要是在該等狀態下,即可確保具有第3空間D功能之第1空間P1的氣氛與第2空間P2的氣氛的分離作用。此外,已吸附在晶圓上之氣體可通過第3空間D內,因此「氣體侵入」中的氣體係指氣相中的氣體。
又,如圖4A所示,自保護頂板4的第3下面部44之自迴轉台2起的高度H3可為例如大約0.5mm~大約10mm,較佳地為大約4mm。此時,迴轉台2的轉速係設定為例如1rpm~500rpm。為確保第3下面部44的分離功能,可配合迴轉台2的轉速使用範圍等,例如根據實驗等來設定第3下面部44的大小或自第3下面部的迴轉台2起之高度H3。此外,第1分離氣體不限於N2氣體,亦可利用Ar等惰性氣體,但不限於惰性氣體亦 可為氫氣等,只要是對成膜處理不會造成影響的氣體,關於氣體種類並未特別限制。
然後,如圖6A及圖6B中以第1分離氣體供給部41為代表所示地,例如以300mm口徑的晶圓W為被處理基板時,較佳地,於第1分離氣體供給部41(42)兩側處分別形成有狹窄空間之第3下面部44的晶圓W之中心WO所通過的部分沿著迴轉台2迴轉方向的寬度尺寸(對應於晶圓中心WO通過路徑的圓弧長度)L可為晶圓W直徑的大約1/10~大約1/1之長度,較佳地為大約1/6以上。具體來說,晶圓W直徑為300mm時,較佳地該長度L為大約50mm以上。為了有效地阻止反應氣體從第3下面部44兩側侵入第3下面部44下方之第3空間D(較第1高度H1及第2高度H2要低之高度為第3高度H3的狹窄空間),寬度尺寸L較短時,較佳地係配合其而使第3下面部44與迴轉台2間的距離之第3高度H3亦隨之變小。再者,將第3下面部44與迴轉台2間的距離之第3高度H3設定為某個尺寸時,愈離開迴轉台2的迴轉中心,迴轉台2的速度愈快,因此為了有效地阻止反應氣體侵入,愈離開迴轉中心則寬度尺寸L必須愈長。從該觀點來,當晶圓W的中心WO所通過部分的寬度尺寸L較50mm要小時,必須使第3下面部44與迴轉台2的距離(第3高度H3)為相當地小,因此在迴轉迴轉台2時,為了防止迴轉台2或晶圓W與第3下面部44的衝突,必須想辦法儘量抑制迴轉台2的振 動。再者,迴轉台2的轉速愈高,反應氣體愈容易從第3下面部44上游側侵入第3下面部44下側,因此寬度尺寸L較50mm要小時,必須降低迴轉台2的轉速,對產能來說不是一個好的方法。因此,寬度尺寸L為50mm以上較佳。然而,第3下面部44的尺寸可不限於上述尺寸,亦可依所使用的製程參數或晶圓尺寸來調整。又,狹窄空間之第3空間D的高度只要為分離氣體可從第3空間D流向第1(第2)空間P1(P2)的程度,自上述說明即可明暸除了所使用之製程參數或晶圓尺寸,狹窄空間(第3空間D)的高度(第3高度)H3亦可配合例如第3下面部44的面積來調整。
如圖1所示,於第1下面部45及第2下面部45a處,保護頂板4之突出部53係各區域的迴轉中心側與核心部21的外圍側之間且為對向於迴轉台2之區域。又,如圖7所示,於兩第3下面部44處,保護頂板4之突出部53連續地一體形成於各區域的迴轉中心側,其下面的高度係與第3下面部44相同。但保護頂板4的突出部53與第3下面部44可非為一體成形,亦可為分別的個體。
保護頂板4的迴轉中心側部5為位於突出部53的迴轉中心側之區域。本實施形態中,迴轉中心側部5與突出部53的交界可設置於例如自迴轉中心半徑為140mm的圓周上。
如圖1及圖7所示,第2分離氣體供給部51係貫 穿真空容器1的保護頂板4而連接於真空容器1的中心部。第2分離氣體供給部51係用以將第2分離氣體供給至保護頂板4與核心部21間的空間(中心部區域C)。第2分離氣體未特別限制,可利用例如N2氣體。
供給至中心部區域C的第2分離氣體係透過突出部53與迴轉台2間的狹窄縫隙50而沿著迴轉台2的基板載置部側表面朝向周緣噴出。由於突出部53圍繞的空間充滿了第2分離氣體,因此可阻止第1反應氣體與第2反應氣體在第1空間P1與第2空間P2之間透過迴轉台2的中心部發生混合。亦即,為了分離第1空間P1與第2空間P2的氣氛,成膜裝置係藉由迴轉台2的迴轉中心部與真空容器1而被加以區隔以供給第2分離氣體,並具有用以將分離氣體噴出至迴轉台2表面的噴出口在沿著迴轉方向所形成之中心部區域C。此外,噴出口係相當於突出部53與迴轉台2間的狹窄縫隙50。
接下來,針對收納在真空容器1各部分中位於迴轉台2外周面側及迴轉台2的下側且為較底面部14要更上側之組件詳細說明。亦即,針對真空容器保護部49、容器本體12及排氣空間6詳細說明。
真空容器保護部49如圖1及圖7所示,係設置於保護頂板4下側,且與保護頂板4一起圍繞迴轉台2、第1空間P1、第2空間P2及第3空間D。真空容器保護部49係用以保護真空容器1不受第1反應氣體及第2反應氣體侵蝕。真空容器保護部49係由保護圓筒49a 及保護底板49b所構成。保護圓筒49a及保護底板49b係與保護頂板4同樣地皆由例如石英或陶瓷所構成。
保護圓筒49a如圖1及圖7所示,係以對向於迴轉台2的外端面之方式而設置在迴轉台2與容器本體12之間。又,保護底板49b如圖1及圖7所示,係以對向於迴轉台2的下面之方式而設置在迴轉台2與容器本體12之間。
保護圓筒49a及保護底板49b係與保護頂板4同樣地圍繞迴轉台的外圍側以阻止第1反應氣體及第2反應氣體相互混入,且從第1反應氣體供給部31及第2反應氣體供給部32供給具腐蝕性的反應氣體或清潔氣體時保護真空容器1不受侵蝕之目的而設置。但由於保護頂板4、保護圓筒49a及保護底板49b係可相互分離地拆卸,因此保護頂板4、保護圓筒49a及保護底板49b間僅只存在有些微縫隙。
如圖7所示,於第3空間D處,容器本體12的內周壁為接近並對向於保護圓筒49a的外周面之垂直面。另一方面,如圖1所示,容器本體12的內周壁在第3空間D以外的部位處,雖為接近並對向於保護圓筒49a的外周面之垂直面,但係以從內周壁下端的部位貫穿底面部之方式而為縱剖面形狀具有矩形缺角之結構。該凹陷部分係後述排氣空間6。
如圖1所示,第1保護氣體供給部55係複數地設置於真空容器1的容器本體12內周壁處之對向於保護 圓筒49a的外周面且為迴轉方向的位置。第1保護氣體供給部55係用以將第1保護氣體供給至真空容器1的頂板11與保護頂板4間以保護真空容器1不受侵蝕。具體來說,係將第1保護氣體供給至真空容器1的頂板11與保護頂板4間的縫隙,以保護真空容器1的頂板11不受侵蝕。又,亦可將第1保護氣體供給至真空容器1之容器本體12的內周壁與真空容器保護部49之保護圓筒49a間的縫隙,以保護真空容器1的容器本體12不受侵蝕。第1保護氣體雖未特別限制,但可利用例如N2氣體。
此處,針對第1保護氣體保護真空容器1的保護作用詳細說明。
保護頂板4、保護圓筒49a及保護底板49b係以圍繞著迴轉台2、第1空間P1、第2空間P2及第3空間D之方式設置。保護頂板4、保護圓筒49a及保護底板49b係在可拆卸地連接之狀態下構成,因此保護頂板4、保護圓筒49a與保護底板49b之間具有縫隙。
藉由將第1保護氣體供給至保護頂板4及保護圓筒49a與真空容器1的頂板11及容器本體12之間以使保護頂板4及保護圓筒49a與真空容器1的頂板11及容器本體12之間的壓力較保護頂板4、保護圓筒49a及保護底板49b所圍繞的空間之壓力要高,則可阻止第1反應氣體及第2反應氣體侵入保護頂板4及保護圓筒49a與真空容器1的頂板11及容器本體12之間。具體來說, 藉由調節第1保護氣體供給部55所供給之第1保護氣體的供給量及後述真空排氣機構的排氣量,可使保護頂板4及保護圓筒49a與真空容器1的頂板11及容器本體12之間的壓力較保護頂板4、保護圓筒49a及保護底板49b所圍繞之空間的壓力要高,例如高100Pa。
利用含有氯等反應氣體來作為第1反應氣體或第2反應氣體以進行成膜時,或經由第1反應氣體供給部31或第2反應氣體供給部32利用含有氯等清潔氣體來取代第1反應氣體或第2反應氣體以進行迴轉台2等的清潔時,係藉由供給第1保護氣體,以保護真空容器1的頂板11及容器本體12不受第1反應氣體及第2反應氣體侵蝕。
如圖1及圖3所示,排氣空間6的底部設置有例如2個排氣口61、62。排氣口61、62係分別透過排氣管63而連接於真空排氣機構(例如共通的真空幫浦64)。又,在排氣口61與真空幫浦64之間,排氣管63設置有壓力調整機構65。可在各排氣口61、62設置壓力調整機構65,亦可設置共通的壓力調整機構65。為使第3空間D發揮確實分離作用,排氣口61、62係設置於從平面方向來看第3空間D的迴轉方向兩側,以專門進行第1反應氣體及第2反應氣體的排氣。本實施形態中,其中一排氣口61係設置於第1反應氣體供給部31與鄰接於相對於第1反應氣體供給部31之迴轉方向下游側的第3空間D之間,而另一排氣口62係設置於第 2反應氣體供給部32與鄰接於相對於第2反應氣體供給部32之迴轉方向下游側的第3空間D之間。
排氣口的數量可不限於2個,例如亦可在包含有第1分離氣體供給部42的第3空間D與鄰接於相對於第3空間D之迴轉方向下游側的第2反應氣體供給部32之間再增設而成為3個或4個以上排氣口。該例係藉由將排氣口61、62設置於真空容器1的底面部14且較迴轉台2要低的位置來將氣體從真空容器1內周壁與保護圓筒49a間的縫隙、保護頂板4與保護圓筒49a間的縫隙、及保護圓筒49a與保護底板49a間的縫隙加以排除,但不限於設置在真空容器1的底面部14,亦可設置在真空容器1的側壁。又,將排氣口61、62設置在真空容器的側壁時,亦可設置在較迴轉台2要高的位置。藉由以上述方式來設置排氣口61、62,則迴轉台2上的氣體會流向迴轉台2外側,因此與從對向於迴轉台2之頂板面來排氣時的情況相比,對抑制微塵粒子被吹起的觀點來看較為有利。
接下來,針對收納於真空容器1各部分中較真空容器保護部49要下側至真空容器1的底面部14的部分詳細說明。亦即,針對加熱器單元(加熱部)7、覆蓋部71、底面部14、第3分離氣體供給部72及第2保護氣體供給部73詳細說明。
如圖1及圖5所示,加熱器單元7係設置於迴轉台2與真空容器1的底面部14之間的空間。加熱器單元7 係透過迴轉台2將迴轉台2上的晶圓加熱至製程配方(recipe)所決定的溫度。除了迴轉台2下側,亦可將加熱器單元7設置在迴轉台2上側或上下兩側。又,加熱器單元7可不限於利用電阻發熱體,亦可利用紅外線燈。此外,亦可在加熱器單元7的下半部處設置反射板(reflector),將加熱器單元7所產生的熱中朝向下側產生的熱反射至上側以提高熱效率。
為了將迴轉台2的下方空間與排氣空間6區隔開來,並載置真空容器保護部49之保護底板49b,而將覆蓋部71設置於迴轉台2的周緣側且為下側。又,覆蓋部71係以圍繞加熱器單元7全周圍之方式形成。覆蓋部71抵接於保護底板49b防止第1反應氣體及第2反應氣體侵入覆蓋部71的內周側。
於設置有加熱器單元7之空間更接近迴轉中心側的部位,底面部14係在迴轉台2下面的中心部附近及核心部21處具有狹窄縫隙。即使是貫穿底面部14之迴轉軸22的貫穿孔,底面部14之貫穿孔內周面與迴轉軸22間的縫隙亦非常狹窄。又,貫穿孔係與外殼20連通。
第3分離氣體供給部72係設置於外殼20。第3分離氣體供給部72係用以將第3分離氣體供給至狹窄空間內。第3分離氣體未特別限制,可利用例如N2氣體。
第2保護氣體供給部73係複數地設置於真空容器1的底面部14處之加熱器單元7下側且為迴轉方向的位置。第2保護氣體供給部73係用以將第2保護氣體 供給至加熱器單元7所設置的空間。第2保護氣體未特別限制,可利用例如N2氣體。
如圖8中以箭頭來表示第3分離氣體及第2保護氣體的流動所顯示地,藉由設置第3分離氣體供給部72及第2保護氣體供給部73,來將例如N2氣體供給至從外殼20內到設置有加熱器單元7的空間,並透過排氣空間6來將N2氣體從迴轉台2與覆蓋部71間的縫隙排氣至排氣口61、62。藉此,由於阻止了第1反應氣體及第2反應氣體從第1空間P1及第2空間P2一側通過迴轉台2下方而來到另一側,因此第3分離氣體具有分離氣體的作用。又,由於可阻止第1反應氣體及第2反應氣體從第1空間P1及第2空間P2侵入位於迴轉台2下方之加熱器單元7所設置的空間,因此第2保護氣體亦有防止第1反應氣體及第2反應氣體被吸附至加熱器單元7的作用。
接下來,針對設置於真空容器1外部的部分及設置於外部之用以搬送的部分詳細說明。
如圖2、圖3及圖9所示,真空容器1的側壁處形成有在外部的搬送臂10與迴轉台2間用以進行晶圓的收送之搬送口15,搬送口15係藉由閘閥(未圖示)而開閉。迴轉台2之凹部24(基板載置部)係在搬送口15的位置,於搬送臂10之間進行晶圓W的收送,因此在迴轉台2的下側對應於收送位置的部位,設置有用以貫穿凹部24並將晶圓從內面提昇之收送用昇降銷16的昇降 機構。
又,如圖1及圖3所示,本實施形態之成膜裝置設置有由用以控制裝置整體作動之MCU(Micro Controller Unit;微控制器)等電腦所形成的控制部100。如圖10所示,控制部100設置有用以控制具備由CPU(Central Processing Unit;中央處理器)或MPU(Micro Processing Unit;微處理器)等所形成之處理器的成膜裝置各組件之製程控制器100a、使用者介面部100b及記憶部100c。
使用者介面部100b係由製程管理者為了管理成膜裝置而進行指令的輸入操作之鍵盤,或將成膜裝置的運作狀況可視化地來表示之顯示器等所構成。
記憶部100c收納有在成膜裝置所實行之為了實現利用製程控制器100a來控制各種處理之控制程式(軟體)或記憶有處理條件資料等製程配方。然後,依需要,藉由利用自使用者介面部100b的指示等來將任意的製程配方從記憶部100c叫出,並使製程控制器100a實行該製程配方,而在製程控制器100a的控制下利用成膜裝置來進行所期望的處理。亦即,程式係控制成膜裝置使電腦實現有關於成膜裝置之成膜處理的功能、使電腦實現有關於成膜裝置之成膜處理的步驟順序或使電腦實現有關於成膜裝置之成膜處理的方法。又,可將收納於電腦可讀取的程式記錄媒體(例如硬碟、光碟、光磁碟MO、記憶卡、軟碟(登錄商標)等)的狀態之控制程式或處理條件資料等指令下載至製程控制器100a而加以利 用,亦或從其他的裝置,例如透過專屬線路來隨時傳送而可線上(on-line)利用。
接下來,參照圖9、圖11及圖12詳細說明利用本實施形態之成膜裝置的成膜方法。
圖11為用以說明利用本實施形態成膜裝置之成膜方法的步驟順序之步驟圖。又、圖12為用以說明利用本實施形態成膜裝置之成膜方法的示意圖,係顯示第1反應氣體、第2反應氣體及第1分離氣體流動的樣子之示意圖。圖12係與圖3同樣地,為真空容器1的頂板11在分離狀態下之平面圖。
如圖11所示,本實施形態之成膜方法係包含下列步驟:載置步驟,係將基板載置於真空容器內的迴轉台;迴轉步驟,係使迴轉台迴轉;成膜步驟,係分別從第1反應氣體供給部及第2反應氣體供給部供給1反應氣體及第2反應氣體,供給第1分離氣體等,迴轉迴轉台2並移動基板,將第1反應氣體供給至基板表面,停止第1反應氣體,供給第2反應氣體及停止第2反應氣體以形成薄膜;以及搬出步驟,係停止從第1反應氣體供給部及第2反應氣體供給部供給第1反應氣體、停止加熱基板、停止供給各分離氣體及各保護氣體、停止迴轉迴轉台,並利用搬送臂來將基板搬出。
首先,進行載置步驟。如圖11之步驟S11所示,載置步驟係將基板載置於真空容器內的迴轉台之步驟。
具體來說,係如圖9所示地打開閘閥、從外部利用 搬送臂10並透過搬送口15來將晶圓W收送至迴轉台2之凹部24。該收送如圖9所示,當凹部24停止在面臨搬送口15的位置時,藉由透過凹部24底面的貫穿孔來從真空容器底部側昇降昇降銷16而進行。此種晶圓W的收送係一邊間歇地迴轉迴轉台2一邊進行,並將晶圓W分別載置在迴轉台2的5個凹部24內。
接下來進行迴轉步驟。如圖11之步驟S12所示,迴轉步驟係使該迴轉台2迴轉之步驟。
接下來進行成膜步驟。如圖11之步驟S13~步驟S17所示,成膜步驟係包含分別從第1保護氣體供給部及第2保護氣體供給部來供給第1保護氣體及第2保護氣體之步驟(S13);分別從第1分離氣體供給部、第2分離氣體供給部及第3分離氣體供給部來供給第1分離氣體、第2分離氣體及第3分離氣體之步驟(S14);利用加熱器單元來加熱基板之步驟(S15);分別從第1反應氣體供給部31及第2反應氣體供給部32來供給第1反應氣體及第2反應氣體之步驟(S16);迴轉該迴轉台2並移動該基板,並重複地進行將第1反應氣體供給至基板表面、停止第1反應氣體、供給第2反應氣體及停止第2反應氣體以形成薄膜之步驟(S17)。
首先,利用真空幫浦64來將真空容器1內真空抽氣至預先設定的壓力,並分別從第1保護氣體供給部31及第2保護氣體供給部32來供給第1保護氣體及第2保護氣體(N2)(S13)。
接下來,分別從第1分離氣體供給部、第2分離氣體供給部及第3分離氣體供給部來供給第1分離氣體、第2分離氣體及第3分離氣體(N2)(S14)。
接下來,利用加熱器單元加熱晶圓W(S15)。該步驟中,將晶圓W載置在迴轉台2後,利用加熱器單元7將晶圓W加熱至例如300℃。另一方面,亦可利用加熱器單元7預先將迴轉台2加熱至例如300℃,並使晶圓W載置在該迴轉台2以進行加熱步驟。
接下來,分別從第1反應氣體供給部31及第2反應氣體供給部32來供給第1反應氣體及第2反應氣體(S16)。利用溫度感測器確認晶圓W的溫度到達設定溫度後,分別從第1反應氣體供給部31及第2反應氣體供給部32將BTBAS氣體及O3氣體噴出。
此外,不一定要依照S13、S14、S15、S16的順序,而可將順序掉換後再開始進行步驟,亦可同時開始進行步驟。例如,亦可為分別從第1反應氣體供給部31及第2反應氣體供給部32將BTBAS氣體及O3氣體噴出的同時,並從第1分離氣體供給部41、42將第1分離氣體(N2氣體)噴出這樣的步驟順序。
依上述方式,藉由進行步驟S13~步驟S16,迴轉迴轉台並移動基板,並重複地進行將第1反應氣體供給至基板的表面、停止第1反應氣體、供給第2反應氣體及停止第2反應氣體,以形成薄膜(S17)。
晶圓W係藉由迴轉台2的迴轉,並交互地通過第1 反應氣體供給部31所設置之第1空間P1與第2反應氣體供給部32所設置之第2空間P2,因此BTBAS氣體會被吸附,接著O3氣體會被吸附,BTBAS分子會被氧化而形成1層或複數層氧化矽分子層,如此地氧化矽分子層會依序層積而形成特定膜厚之矽氧化膜。
此時,第2分離氣體供給部51亦供給分離氣體(N2氣體),藉此從中心部區域C,亦即突出部53與迴轉台2中心部之間沿著迴轉台2表面來將N2氣體噴出。該例中,在沿著第1反應氣體供給部31及第2反應氣體供給部32所設置之第1下面部45及第2下面部45a下側空間的真空容器1內周壁處,如以上所述地,由於內周壁有缺角而變得寬廣,且該寬廣空間的下方位有排氣口61、62,因此第1下面部45及第2下面部45a下側空間的壓力係較第3下面部44下側的狹窄空間及該中心部區域C的各壓力要低。上述第1下面部45及第2下面部45a下側空間的壓力較第3下面部44下側的狹窄空間及該中心部區域C的各壓力要低係為了使第3下面部44下側的狹窄空間與第1(第2)反應氣體供給部31(32)所配置之空間或第1(第2)空間P1(P2)之狹窄空間之間的壓力差能藉由第3高度H3來維持。
圖12為概略顯示從各部位噴出氣體時氣體流動的狀態。從第2反應氣體供給部32向下側被噴出,碰撞到迴轉台2表面(載置於凹部24之晶圓W的表面,未載置晶圓W之凹部24及凹部24以外的表面),並沿著 迴轉台2表面朝向迴轉方向上游側之O3氣體,係一邊被來自迴轉方向上游側流的N2氣體推回,一邊通過保護頂板4外圍側與保護圓筒49a上端側間的縫隙或保護圓筒49a下端側與保護底板49b外圍側間的縫隙而流入排氣空間6,並從排氣口62被排氣。
又,從第2反應氣體供給部32向下側被噴出,碰撞到迴轉台2表面,並沿著迴轉台2表面朝向迴轉方向上游側之O3氣體,係藉由中心部區域C所噴出之N2氣體的流動與排氣口62的吸引作用而朝向該排氣口62,但一部分會朝向鄰接於下游側之第3空間D而朝向扇型第3下面部44的下側。然而,該第3下面部44的高度及迴轉方向的長度係將包含各氣體流量等運轉時的製程參數設定為可防止氣體侵入第3下面部44下側之尺寸,因此亦如圖4B所顯示地,O3氣體幾乎不會流入扇型第3下面部44下側,或即使有些許流入亦不會到達第1分離氣體供給部41附近,而是藉由第1分離氣體供給部41所噴出之N2氣體被推回至迴轉方向上游側(亦即第2空間P2側),並連同中心部區域C所噴出之N2氣體,一起通過保護頂板4之外周側與保護圓筒49a之上端側間的縫隙或保護圓筒49a之下端側與保護底板49b之外周側間的縫隙透過排氣空間6,而從排氣口62被排氣。
又,從第1反應氣體供給部31向下側被噴出,並沿著迴轉台2表面分別朝向迴轉方向上游側及下游側 之BTBAS氣體,完全無法侵入鄰接於其迴轉方向上游側及下游側之扇型第3下面部44的下側或即使侵入亦會被推回至第1空間P1側,而連同中心部區域C所噴出之N2氣體,通過保護頂板4外圍側與保護圓筒49a上端側間的縫隙或保護圓筒49a下端側與保護底板49b外圍側間的縫隙並透過排氣空間6被排氣至排氣口61。亦即,於各第3空間D處,阻止了在氣氛中流動之反應氣體(BTBAS氣體或O3氣體)的侵入,但已吸附在晶圓上之氣體分子會直接通過分離區域,即扇型第3下面部44下方而有助於成膜。
再者,第1空間P1的BTBAS氣體及第2空間P2的O3氣體會侵入中心部區域C內,但如圖8及圖12所示,由於第2分離氣體會從中心部區域C朝向迴轉台2周緣被噴出,因此阻止了第2分離氣體的侵入,或即使有些許侵入亦會被推回,便可阻止第2分離氣體通過該中心部區域C而流入第1空間P1及第2空間P2。
然後,於第3空間D處,由於保護圓筒49a與迴轉台2之外端面間的縫隙係如上所述變得狹窄而實質上阻止了氣體通過,因此亦可阻止第1空間P1的BTBAS氣體(第2空間P2的O3氣體)透過迴轉台2外側而流入第2空間P2(第1空間P1)。因此,藉由兩第3空間D來將第1空間P1的氣氛與第2空間P2的氣氛完全地分離,以使BTBAS氣體及O3氣體分別被排氣至排氣口61及排氣口62。其結果為,第1反應氣體BTBAS氣體 及第2反應氣體O3氣體即使在氣氛中也不會在晶圓上相互混合。此外,該例中,係將第2分離氣體(N2氣體)供給至迴轉台2的下側,因此完全沒有流入排氣空間6的氣體會通過迴轉台2下側(例如第2反應氣體之BTBAS氣體流入第2反應氣體之O3氣體的供給區域)之虞。
再者,藉由將第1保護氣體供給至保護頂板4及保護圓筒49a與真空容器1的頂板11及容器本體12之間,可使保護頂板4及保護圓筒49a與真空容器1的頂板11及容器本體12之間的壓力較保護頂板4、保護圓筒49a及保護底板49b所圍繞空間的壓力要高(例如高100Pa),並阻止第1反應氣體及第2反應氣體侵入保護頂板4及保護圓筒49a與真空容器1的頂板11及容器本體12之間。
此外,藉由利用壓力計測量並監視保護頂板4及保護圓筒49a與真空容器1的頂板11及容器本體12之間的壓力,以及保護頂板4、保護圓筒49a及保護底板49b所圍繞空間的壓力,可使保護頂板4及保護圓筒49a與真空容器1的頂板11及容器本體12之間的壓力較保護頂板4、保護圓筒49a及保護底板49b所圍繞空間的壓力高100Pa。如此一來,可使第1反應氣體及第2反應氣體相互分離,且保護真空容器1不受侵蝕,並同時地進行成膜處理。
在成膜處理後進行搬出步驟。如圖11之步驟S18~ 步驟S20所示,搬出步驟係包含停止從第1反應氣體供給部31及第2反應氣體供給部32供給第1反應氣體及第2反應氣體之步驟(S18);停止加熱基板、停止供給第1分離氣體、第2分離氣體及第3分離氣體、停止供給第1保護氣體及第2保護氣體、停止迴轉迴轉台2之步驟(S19);利用搬送臂10來將基板通過搬送口15而搬出之步驟(S20)。
又,複數次成膜處理結束後,進行清潔成膜裝置內不要的堆積物之清潔處理。此時,從第1反應氣體供給部31及第2反應氣體供給部32供給用以蝕刻堆積在迴轉台2等不需要的部分之不需要的堆積物且具有蝕刻劑功能的腐蝕性反應氣體。雖利用含有氯等具腐蝕性氣體來作為蝕刻氣體,但迴轉台2、第1空間P1、第2空間P2及第3空間D係由保護頂板4及真空容器保護部49所圍繞以使腐蝕性反應氣體不會侵入保護頂板4及真空容器保護部49與真空容器1之間,因此真空容器1不會腐蝕劣化而可進行清潔處理。
此處,說明處理參數的一例。利用300mm直徑之晶圓W來作為被處理基板時,迴轉台2的轉速為例如1rpm~500rpm,處理壓力為例如1067Pa(8Torr),晶圓W的加熱溫度為例如350℃,BTBAS氣體及O3氣體的流量例如分別為100sccm及10000sccm,從分離氣體噴嘴41、42來的N2氣體流量為例如20000sccm,來自真空容器1中心部之第2分離氣體供給部51的N2氣體流量 為例如5000sccm。又,針對1片晶圓之反應氣體的供給循環次數,亦即晶圓分別通過過第1空間P1及第2空間P2的次數係配合目標膜厚而改變,但為多數次(例如600次)。
本實施形態係將複數晶圓W設置在迴轉台2的迴轉方向、迴轉迴轉台2並依序通過第1空間P1與第2空間P2,即進行所謂的ALD(或MLD)處理,因此可高產能地進行成膜處理。然後,將在第1空間P1與第2空間P2之間具有低頂板面的第3空間D設置在迴轉方向、將分離氣體從藉由迴轉台2的迴轉中心部與真空容器1所區隔開來的中心部區域C朝向迴轉台2周緣噴出,並將擴散至第3空間D兩側之分離氣體及中心部區域C所噴出之分離氣體連同反應氣體一起透過保護頂板4與保護圓筒49a間的縫隙及保護圓筒49a與保護底板49b間的縫隙排氣,因此可防止兩反應氣體的混合,其結果為,可進行良好的成膜處理,且迴轉台2上完全不會產生反應生成物或會被儘量抑制而可抑制微塵粒子的發生。此外,亦可為在迴轉台2上載置1片晶圓W之結構。
又,本發明係利用保護頂板4及真空容器保護部49來保護真空容器1不受侵蝕,因此可利用含有氯等腐蝕性氣體來進行清潔迴轉台等。
適用的處理氣體除了上述例子中所提到的,亦可為DCS(二氯矽烷)、HCD(六氯二矽甲烷)、TMA(三甲基 鋁)、3DMAS(三(二甲胺基)矽烷)、TEMAZ(四(乙基甲基胺基酸)-鋯)、TEMAH(四(乙基甲基胺基酸)-鉿)、Sr(THD)2(二(四甲基庚二酮酸)-鍶)、Ti(MPD)(THD)((甲基庚二酮酸)(雙四甲基庚二酮酸)-鈦)、單胺基矽烷等。
又,可形成矽氮化膜來取代矽氧化膜,此時,可利用SiH2Cl2等含氯腐蝕性氣體來作為第1反應氣體及第2反應氣體。又,進行清潔迴轉台等時,可從第1反應氣體供給部及第2反應氣體供給部來供給ClF3等含氯腐蝕性氣體。
以上,依據本實施形態之成膜裝置,可提高產能,並防止複數反應氣體在基板上混合以進行良好的處理,且可提供真空容器對於複數反應氣體之耐蝕保護。
此外,本實施形態之成膜裝置係顯示利用2種反應氣體的例子,但本發明不限於利用2種反應氣體,亦可適用於將3種以上的反應氣體依序供給至基板上的情況。例如利用第1反應氣體、第2反應氣體及第3反應氣體之3種氣體來作為反應氣體時,依照第1反應氣體供給部、第1分離氣體供給部、第2反應氣體供給部、第1分離氣體供給部、第3反應氣體供給部及第1分離氣體供給部的順序來將各氣體供給部設置在真空容器1的圓周方向,而形成包含有各氣體供給部之保護頂板4的下面區域。
(第1實施形態之第1變形例)
接下來,參照圖13,詳細說明本發明第1實施形態之第1變形例的成膜裝置。
圖13為用以說明本變形例成膜裝置的示意圖,係顯示位於第3下面部之保護頂板形狀的其他例子之縱剖面圖。但在下文中,先前已說明過的部分則賦予相同的符號而有省略其說明的情況(以下的變形例、實施形態亦相同)。
本變形例之成膜裝置中,位於第3空間D的保護頂板4內部之第1分離氣體的流通室47係形成於迴轉台2的半徑方向,這一點與第1實施形態之成膜裝置相異。
參照圖13,第1實施形態中,第1分離氣體供給部兩側設置有第3下面部,且對應於第1分離氣體供給部的部分形成有溝槽,這一點與本變形例相異,本變形例中,位於第3空間D的保護頂板4內部之第1分離氣體的流通室47係形成於迴轉台2的半徑方向,且在流通室47底部沿著長度方向穿設有多個氣體噴出孔40。
因此,除了流通室47,不需設置新的第1分離氣體供給部,即可獲得與第1實施形態同樣的效果且組件的數量減少。
又,本變形例中,例示之第1分離氣體供給部係形成在第3下面部44且埋入保護頂板4,但是關於第3空間D及第1分離氣體供給部之組合結構,只要是第1反應氣體及第2反應氣體不會侵入真空容器1之頂板11及保護頂板4之間,亦可為保護頂板44被第1分離氣 體供給部分割成2個部分,並將第1分離氣體供給部由兩側包夾之方式配置。
(第1實施形態之第2變形例)
接下來,參照圖14A~圖14C,詳細說明本發明第1實施形態第2變形例的成膜裝置。
圖14A~14C為用以說明本變形例成膜裝置的示意圖,係顯示位於第3下面部之保護頂板下面形狀的其他例子之縱剖面圖。
本變形例之成膜裝置中,位於第3空間D之第3下面部為曲面,這一點與第1實施形態之成膜裝置相異。
參照圖14A~圖14C,第1實施形態中,位於第1分離氣體供給兩側的第3下面部為平面,這一點與本變形例相異,本變形例中,位於第1分離氣體供給部41兩側的第3下面部44為曲面。
只要能將第1反應氣體及第2反應氣體分離的話,第3下面部44可不限於如第1實施形態所示之平面,而可為如圖14A所示之凹面,或如圖14B所示之凸面,亦可為如圖14C所示之波形。例如,為如圖14A所示之凹面時,第3下面部44之鄰接於第1下面部45或第2下面部45a的端部處,由於可縮短從迴轉台2到第3下面部44的高度,因此可有效地阻止第1反應氣體及第2反應氣體侵入第3下面部44。又,例如,為圖14B 所示之凸面時,於對應於凸面頂點之第3下面部44處,由於可降低從自迴轉台2到第3下面部44的高度,因此可效地阻止第1反應氣體及第2反應氣體侵入第3下面部44。又,例如,為如圖14C所示之波形時,由於係對應至如圖14B所示地設置多個凸面頂點,因此可效地阻止第1反應氣體及第2反應氣體侵入第3下面部44。
(第1實施形態之第3變形例)
接下來,參照圖15A~圖15C及圖16A~16D,詳細說明本發明第1實施形態第3變形例的成膜裝置。
圖15A~圖15C為用以說明本變形例成膜裝置的示意圖,係顯示第1反應氣體供給部之氣體噴出孔形狀的其他例子之仰視圖。又,圖16A~16D為用以說明第1實施形態之第3變形例的成膜裝置之示意圖,係顯示第3下面部形狀的其他例子之仰視圖。此外,圖15A~圖15C中顯示了第3下面部44及噴出孔33的設置位置。
本變形例之成膜裝置中,形成於第1分離氣體供給部之噴出孔係從迴轉台2周緣朝迴轉中心直線排列,這一點與第1實施形態之成膜裝置相異。
參照圖15A~圖15C,形成於第1分離氣體供給部之噴出孔33在第1實施形態中係設置為從迴轉台周緣朝迴轉中心直線排列,這一點與本變形例相異,本變形例並非設置為從迴轉台2周緣朝迴轉中心直線排列。
只要噴出孔33能將第1分離氣體均勻地供給至基板,則可不限於如第1實施形態所示地設置為從迴轉台2周緣朝迴轉中心直線排列,而亦可以下述方式設置。
如圖15A所示,由相對於迴轉台2直徑為斜向之矩形槽孔所構成的多個噴出孔33係以在直徑方向相距有特定間隔之方式設置。又,如圖15B所示,多個圓形噴出孔33係以蛇行之方式設置。又,如圖15C所示,由多個圓弧形槽孔所構成的噴出孔33係同心圓地設置於相對於迴轉台2的迴轉中心。
又,第3下面部44可為中空,亦可為將第1分離氣體導入中空內之結構。此情況下,可將複數氣體噴出孔33如圖15A、圖15B、圖15C所示地排列。
又,本變形例中,第3下面部44的上面形狀為接近扇形,但亦可為圖16A所示之長方形或正方形。又,如圖16B所示,第3下面部44的上面整體上為扇形,但側面44Sc可呈凹狀彎曲。此外,如圖16C所示,第3下面部44的上面整體上為扇形,但側面44Sv可呈凸狀彎曲。再者,如圖16D所示,第3下面部44之迴轉台2(圖1)迴轉方向上游側部分的側面44Sc呈凹狀,第3下面部44之迴轉台2(圖1)的迴轉方向下游側部分的側面44Sf呈平面狀亦可。此外,圖16A~圖16D中,虛線係顯示形成於第3下面部44之溝部43(圖4A、圖4B)。該等情況下,收納於溝部43之第1分離氣體供給部41、42(圖2)係自真空容器1的中央部(例如突出部 53(圖1))延伸。
依上述方式,藉由設置噴出孔33則可於第3下面部44處更均勻地供給第1分離氣體,因此可效地阻止第1反應氣體及第2反應氣體侵入第3下面部44。
(第1實施形態之第4變形例)
接下來,參照圖17,詳細說明第1實施形態之第4變形例的成膜裝置。
圖17為概略顯示本變形例成膜裝置結構的橫剖平面圖。又,圖17係真空容器1的頂板11為分離狀態的平面圖。
本變形例之成膜裝置中,第2反應氣體供給部係較搬送口而更設置於迴轉台的迴轉方向上游側,這一點與第1實施形態之成膜裝置相異。
參照圖17,第1實施形態中,第2反應氣體供給部係較搬送口而更設置於迴轉台的迴轉方向下游側,這一點與本變形例相異,本變形例中,第2反應氣體供給部32係較搬送口15而更設置於迴轉台2的迴轉方向上游側。
此種配置亦可有效地分離第1反應氣體與第2反應氣體,並阻止第1分離氣體侵入第1下面部45及第2下面部45a,因此可於第1下面部45及第2下面部45a處,更有效地分別將第1反應氣體及第2反應氣體供給至晶圓。
(第1實施形態之第5變形例)
接下來,參照圖18,詳細說明第1實施形態之第5變形例的成膜裝置。
圖18為概略顯示本變形例成膜裝置結構的橫剖平面圖。又,圖18係真空容器1的頂板11為分離狀態的平面圖。
本變形例之成膜裝置中,第3下面部係在圓周方向被分割為2個,並於其間設置有第1分離氣體供給部,這一點與第1實施形態之成膜裝置相異。
參照圖18,第1實施形態中,在第3下面部的所有部分從迴轉台到頂板下面為相同高度,這一點與本變形例相異,本變形係具有包含有第1分離氣體供給部41、42,且設置於較自迴轉台2起第3高度H3要高之第3下面部44a;以及鄰接於第3下面部44a,且設置於自迴轉台起第3高度H3處之第3下面部44b。
藉由設置此種區域,可更有效地分離第1反應氣體與第2反應氣體,並阻止第1分離氣體侵入第1下面部45及第2下面部45a,因此可於第1下面部45及第2下面部45a處,更有效地分別將第1反應氣體及第2反應氣體供給至晶圓。
此外,可考量第1反應氣體、第2反應氣體及第1分離氣體的噴出流量等來最適當地設計第3下面部44b與第1分離氣體供給部41、42的距離,或第3下面部 44b的形狀及大小。
(第1實施形態之第6變形例)
接下來,參照圖19,詳細說明第1實施形態之第6變形例的成膜裝置。
圖19為概略顯示本變形例成膜裝置結構的立體圖。
本變形例之成膜裝置係具有取代第2下面部之第6下面部與第7下面部,這一點與第1實施形態之成膜裝置相異。
參照圖19,第1實施形態中,在第2下面部的所有部分從迴轉台到真空容器的頂板下面為相同高度,這一點與本變形例相異,本變形例係具有取代第2下面部之包含有第2反應氣體供給部32,且設置於較自迴轉台2起第2高度H2要高之第6下面部45b;以及鄰接於第6下面部45b,且設置於自迴轉台2起第2高度H2處之第7下面部45c。
因此,第6下面部45b除了設置有取代第1分離氣體供給部41或42之第2反應氣體供給部32以外,其他皆與第3下面部44完全相同。
依上述方式,藉由設置第6下面部45b,可更有效地分離第1反應氣體與第2反應氣體,並阻止第1分離氣體及第1反應氣體侵入第6下面部45b,因此可於第6下面部45b處,更有效地將第2反應氣體供給至晶圓。
此外,第6下面部45b可與圖15A~圖15C中顯示的一例之中空的第3下面部44為相同的結構。
又,本變形例係具有取代第2下面部之第6下面部與第7下面部,但亦可具有取代第1下面部之包含有第1反應氣體供給部,且設置於較自迴轉台起第1高度H1要低之第4下面部;以及鄰接於第4下面部,且設置於自迴轉台起第1高度H1處之第5下面部。藉由設置第4下面部,可更有效地分離第1反應氣體與第2反應氣體,並阻止第1分離氣體及第1反應氣體侵入第4下面部,因此可於第4下面部處,更有效地將第1反應氣體供給至晶圓。
(第1實施形態之第7變形例)
接下來,參照圖20,詳細說明第1實施形態之第7變形例的成膜裝置。
圖20為概略顯示本變形例成膜裝置結構的橫剖平面圖。又,圖20係真空容器的頂板為分離狀態的平面圖。
本變形例的成膜裝置係設置有較第1反應氣體供給部及第2反應氣體供給部兩側要低之頂板,這一點與第1實施形態之成膜裝置相異。
參照圖20,第1實施形態中,為了在第1分離氣體供給部兩側形成狹窄空間,而設置了較第1下面部及第2下面部要低之頂板面(第3下面部),這一點與本變 形例相異,本變形例係在第1反應氣體供給部31及第2反應氣體供給部32兩側設置有和第3下面部同為低頂板面的第3下面部44c~44f,該等第3下面部44c~44f為連續的結構。
如圖20所示,除了設置有第1分離氣體供給部41(42)、第1反應氣體供給部31及第2反應氣體供給部32的區域以外,對向於迴轉台2的區域整面係設置有第3下面部。該結構從別的觀點來看,為第1分離氣體供給部41(42)兩側的第3下面部44擴展到第1及第2反應氣體供給部31、32的例子。此情況下,第1分離氣體會擴散至第1分離氣體供給部41(42)兩側,而第1反應氣體及第2反應氣體會擴散至第1反應氣體供給部31及第2反應氣體供給部32兩側,然後兩氣體會在第3下面部44c~44f下側且為第3下面部44c~44f與迴轉台2之間的空間(狹窄空間)處匯流,但該等氣體會從位於第1(第2)反應氣體供給部31(32)與第1分離氣體供給部42(41)間之排氣口61(62)被排氣。依上述方式,本變形例亦可獲得與第1實施形態相同的效果。
此外,第3下面部44c~44f係藉由將顯示於圖15A~圖15C中任一者之中空的下面部相組合所構成,亦可不使用第1反應氣體供給部31、第2反應氣體32及第1分離氣體供給部41、42,而是分別從相對應之中空第3下面部44c~44f的噴出孔33來噴出第1反應氣體、第2反應氣體及分離氣體。
(第1實施形態之第8變形例)
接下來,參照圖21,詳細說明第1實施形態之第8變形例的成膜裝置。
圖21為概略顯示本變形例成膜裝置結構的縱剖平面圖。
本變形例成膜裝置之真空容器的中心部處,係使支柱介置於真空容器的底面部與頂板之間以防止反應氣體混合,這一點與第1實施形態之成膜裝置相異。
參照圖21,第1實施形態中,迴轉台的迴轉軸係設置於真空容器的中心部,且分離氣體係在迴轉台的中心部與頂板之間的空間被吹淨,這一點與本變形例相異,本變形例中,真空容器1的中央區域上面形成有凹部80a,並於真空容器1的中心部處之收納空間80底部與凹部80a上面之間設置有支柱81。
如圖21所示,藉由於使真空容器1中央區域的底面部14向下側突出而形成有驅動部的收納空間80,且於真空容器1的中央區域上面形成有凹部80a,並透過保護頂板4於真空容器1中心部處之收納空間80底部與凹部80a上面之間介設有支柱81,來防止從第1反應氣體供給部31來的BTBAS氣體與從第2反應氣體供給部32來的O3氣體透過中心部發生混合。
有關於使迴轉台2迴轉之機構,係設置有圍繞支柱81之迴轉套筒82,沿著該迴轉套筒82設置有環狀迴轉 台2。然後,收納空間80設置有利用馬達83來驅動之驅動齒輪部84,利用該驅動齒輪部84來使迴轉套筒82迴轉。符號86、87及88係軸支承部。又,收納空間80底部連接有用以供給第3分離氣體之第3分離氣體供給部72,並將用以將第2分離氣體供給至凹部80a側面與迴轉套筒82上端部間的空間之第2分離氣體供給部51連接至真空容器1上部。圖21中雖記載了凹部80a側面與迴轉套筒82上端部之間的空間左右2處具有用以供給第2分離氣體之開口部51a,但為了不使BTBAS氣體與O3氣體透過迴轉套筒82附近的區域發生混合,因此較佳地係設計開口部51a(第2分離氣體供給部51)的排列數。
又,圖21之實施形態中,從迴轉台2側來看,則凹部80a側面與迴轉套筒82上端部之間的空間係相當於分離氣體噴出孔,然後藉由該分離氣體噴出孔、迴轉套筒82、支柱81及保護頂板4而構成位於真空容器1中心部之中心部區域C。
(第2實施形態)
接下來,參照圖22,詳細說明第2實施形態之基板處理裝置。
圖22為概略顯示本發明第2實施形態之基板處理裝置結構的平面圖。
如圖22所示,本實施形態之基板處理裝置係具有 搬送容器101、大氣搬送室102、搬送臂103、裝載室104、105(相當於本發明之預備真空室)、真空搬送室106、搬送臂107a、107b及成膜裝置108、109。
搬送容器101係收納例如25片晶圓之稱為晶圓匣盒(FOUP)的密閉型搬送容器。大氣搬送室102係搬送臂103所設置之大氣搬送室。裝載室104、105可將氣氛在大氣氣氛與真空氣氛間切換。真空搬送室106係雙臂之搬送臂107a、107b所設置之真空搬送室。成膜裝置108、109係第1實施形態之成膜裝置。
將搬送容器101從外部搬送至具有載置台(未圖示)之搬入搬出埠並加以設置。設置好搬送容器101後,藉由開閉機構(未圖示)來將大氣搬送室102的蓋打開,並利用搬送臂103將晶圓從搬送容器101內取出。從搬送容器101內取出之晶圓被搬入至裝載室104或105內。接下來,將裝載室104或105的內部從大氣氣氛切換至真空氣氛。接下來,利用搬送臂107a、107b來將晶圓從裝載室104或105取出,並搬入至成膜裝置108或109。之後,於成膜裝置108或109藉由進行上述成膜方法切換以實施成膜處理。
本實施形態係藉由具備多個(例如2個)本發明第1實施形態之例如5片處理用的成膜裝置,因此可高產能地進行ALD(或MLD)之成膜處理。
又,本實施形態係利用第1實施形態之成膜裝置108、109,因此,藉由在成膜裝置的內部,以圍繞迴轉 台、第1空間、第2空間及第3空間之方式設置保護頂板及真空容器保護部,則可保護真空容器不受第1反應氣體及第2反應氣體侵蝕。
以上,係揭示了本發明之較佳實施形態,但本發明不限於特定的實施形態,而可在申請專利範圍內所記載之本發明要旨的範圍內做各種變化與修正。
本申請案係基於2008年9月4日向日本特許廳申請的特願2008-227024主張優先權,並參照其全部內容而援用於此。
1‧‧‧真空裝置
2‧‧‧迴轉台
4‧‧‧保護頂板
5‧‧‧迴轉中心側部
6‧‧‧排氣空間
7‧‧‧加熱器單元
10‧‧‧搬送臂
11‧‧‧頂板
12‧‧‧容器本體
13‧‧‧O型環
14‧‧‧底面部
15‧‧‧搬送口
16‧‧‧昇降銷
20‧‧‧外殼
21‧‧‧核心部
22‧‧‧迴轉軸
23‧‧‧驅動部
24‧‧‧凹部
31‧‧‧第1反應氣體供給部
31a、32a、41a、42a‧‧‧氣體導入埠
32‧‧‧第2反應氣體供給部
33、40‧‧‧吐出孔
41、42‧‧‧第1分離氣體供給部
43‧‧‧溝部
44、44a、44b、44c、44d、44e、44f‧‧‧第3下面部
44Sc、44Sv、44Sf‧‧‧側面
45‧‧‧第1下面部
45a‧‧‧第2下面部
45b‧‧‧第6下面部
45c‧‧‧第7下面部
47‧‧‧流通室
49‧‧‧真空容器保護部
49a‧‧‧保護圓筒
49b‧‧‧保護底板
50‧‧‧縫隙
51‧‧‧第2分離氣體供給部
51a‧‧‧開口部
53‧‧‧突出部
55‧‧‧第1保護氣體供給部
61、62‧‧‧排氣口
63‧‧‧排氣管
64‧‧‧真空幫浦
65‧‧‧壓力調整機構
71‧‧‧覆蓋部
72‧‧‧第3分離氣體供給部
73‧‧‧第4分離氣體供給部
80‧‧‧收納空間
80a‧‧‧凹部
81‧‧‧支柱
82‧‧‧迴轉套筒
83‧‧‧馬達
84‧‧‧驅動齒輪部
86、87、88‧‧‧軸支承部
100‧‧‧控制部
100a‧‧‧製程控制器
100b‧‧‧使用者介面部
100c‧‧‧記憶部
101‧‧‧搬送容器
102‧‧‧大氣搬送室
103‧‧‧搬送臂
104、105‧‧‧裝載室
106‧‧‧真空搬送室
107a、107b‧‧‧搬送臂
108、109‧‧‧成膜裝置
C‧‧‧中心部區域
D‧‧‧第3空間
H1‧‧‧第1高度
H2‧‧‧第2高度
H3‧‧‧第3高度
P1‧‧‧第1空間
P2‧‧‧第2空間
W‧‧‧晶圓
WO‧‧‧晶圓中心
圖1為概略顯示本發明第1實施形態之成膜裝置結構的縱剖面圖。
圖2為概略顯示本發明第1實施形態之成膜裝置結構的立體圖。
圖3為概略顯示本發明第1實施形態之成膜裝置結構的横剖平面圖。
圖4A及4B為用以說明本發明第1實施形態之成膜裝置的示意圖,係顯示第1至第3空間之剖面圖。
圖5為用以說明本發明第1實施形態之成膜裝置的示意圖,係顯示第1反應氣體供給部之立體圖。
圖6A及6B為用以說明本發明第1實施形態之成膜裝置的示意圖,係用以說明第3下面部的尺寸例之橫剖面圖及縱剖面圖。
圖7為用以說明本發明第1實施形態成膜裝置的一部分之示意圖,係圖3之A-A線的縱剖面圖。
圖8為用以說明第2分離氣體、第3分離氣體及第2保護氣體在本發明第1實施形態成膜裝置的一部分流動的樣子之示意圖,係圖3之B-B線的縱剖面圖。
圖9為顯示本發明第1實施形態成膜裝置的一部分之部份剖面立體圖。
圖10為概略顯示本實施形態成膜裝置之控制部結構的示意圖。
圖11為用以說明利用本發明第1實施形態成膜裝置之成膜方法的步驟順序之步驟圖。
圖12為用以說明利用本發明第1實施形態成膜裝置之成膜方法的示意圖,係顯示第1反應氣體、第2反應氣體及第1分離氣體流動的樣子之示意圖。
圖13為用以說明本發明第1實施形態之第1變形例的成膜裝置之示意圖,係顯示位於第3下面部之保護頂板形狀的其他例子之縱剖面圖。
圖14A、14B及14C為用以說明本發明第1實施形態之第2變形例的成膜裝置之示意圖,係顯示位於第3下面部之頂板形狀的其他例子之縱剖面圖。
圖15A、15B及15C為用以說明本發明第1實施形態之第3變形例的成膜裝置之示意圖,係顯示第1反應氣體供給部之氣體噴出孔形狀的其他例子之仰視圖。
圖16A、16B、16C及16D為用以說明本發明第1 實施形態之第3變形例的成膜裝置之示意圖,係顯示第3下面部形狀的其他例子之仰視圖。
圖17為概略顯示本發明第1實施形態之第4變形例的成膜裝置結構之横剖平面圖。
圖18為概略顯示本發明第1實施形態之第5變形例的成膜裝置結構之横剖平面圖。
圖19為概略顯示本發明第1實施形態之第6變形例之成膜裝置結構的立體圖。
圖20為概略顯示本發明第1實施形態之第7變形例的成膜裝置結構之橫剖平面圖。
圖21為概略顯示本發明第1實施形態之第8變形例的成膜裝置結構之縱剖面圖。
圖22為概略顯示本發明第2實施形態之基板處理裝置結構的平面圖。
1‧‧‧真空裝置
2‧‧‧迴轉台
4‧‧‧保護頂板
5‧‧‧迴轉中心側部
6‧‧‧排氣空間
7‧‧‧加熱器單元
11‧‧‧頂板
12‧‧‧容器本體
13‧‧‧O型環
14‧‧‧底面部
20‧‧‧外殼
21‧‧‧核心部
22‧‧‧迴轉軸
23‧‧‧驅動部
45‧‧‧第1下面部
45a‧‧‧第2下面部
49‧‧‧真空容器保護部
49a‧‧‧保護圓筒
49b‧‧‧保護底板
50‧‧‧縫隙
51‧‧‧第2分離氣體供給部
53‧‧‧突出部
55‧‧‧第1保護氣體供給部
61‧‧‧排氣口
63‧‧‧排氣管
64‧‧‧真空幫浦
65‧‧‧壓力調整機構
71‧‧‧覆蓋部
72‧‧‧第3分離氣體供給部
73‧‧‧第4分離氣體供給部
100‧‧‧控制部
C‧‧‧中心部區域
H1‧‧‧第1高度
P1‧‧‧第1空間
P2‧‧‧第2空間

Claims (19)

  1. 一種成膜裝置,係於真空容器內依序供給含有第1反應氣體及第2反應氣體之至少2種原料氣體,並藉由實施依序供給該至少2種原料氣體之供給循環來形成薄膜,其具有:迴轉台,係可迴轉地設置於該真空容器內,並具有用以載置基板的基板載置部;保護頂板,係對向地設置在該迴轉台上以保護該真空容器不受該第1反應氣體及該第2反應氣體的侵蝕;第1反應氣體供給部及第2反應氣體供給部,係分別從該迴轉台周緣之互為相異的位置朝向迴轉中心設置,以供給該第1反應氣體與該第2反應氣體;第1分離氣體供給部,係從該第1反應氣體供給部與該第2反應氣體供給部之間之該迴轉台周緣之位置朝向迴轉中心設置,以供給用以分離該第1反應氣體與該第2反應氣體之第1分離氣體;其中,含有該第1反應氣體供給部之該保護頂板的下面,具有設置在距離該迴轉台為第1高度處第1下面區域;該第1下面區域與該迴轉台之間形成有第1空間;含有該第2反應氣體供給部之該保護頂板的 下面,在離開該第1下面區域的位置具有設置在距離該迴轉台為第2高度處之第2下面區域;該第2下面區域與該迴轉台之間形成有第2空間;包含該第1分離氣體供給部並沿著該迴轉台的迴轉方向在位於該第1分離氣體供給部兩側之該保護頂板的下面,具有設置在距離該迴轉台較該第1高度及該第2高度要低之第3高度的第3下面區域;該第3下面區域與該迴轉台之間,形成有具有該第3高度之第3空間,用以使該第1分離氣體供給部所供給的該第1分離氣體流至該第1空間及該第2空間;真空容器保護部,係為了保護該真空容器不受該第1反應氣體及該第2反應氣體的侵蝕,而被設置為和該保護頂板一起圍繞該迴轉台、該第1空間、該第2空間及該第3空間;該保護頂板的下面具有設置有第2分離氣體供給部的中心部區域,將用以分離該第1反應氣體與該第2反應氣體之第2分離氣體供給至該迴轉台之迴轉中心的該基板載置部側;排氣口,係將從該第3空間兩側所噴出之該第1分離氣體及從該中心部區域所噴出之該第2分離氣體連同該第1反應氣體及該第2反應氣體一起排 氣。
  2. 如申請專利範圍第1項之成膜裝置,其中該保護頂板及該真空容器保護部係由石英或陶瓷所構成。
  3. 如申請專利範圍第1項之成膜裝置,其中該真空容器的頂板與該保護頂板之間具有用以供給保護該真空容器不受侵蝕之第1保護氣體的第1保護氣體供給部。
  4. 如申請專利範圍第3項之成膜裝置,其中該真空容器的底面與該真空容器保護部之間具有用以供給保護該真空容器之第2保護氣體的第2保護氣體供給部。
  5. 如申請專利範圍第1項之成膜裝置,其中該迴轉台之迴轉中心下側具有用以供給將該第1反應氣體與該第2反應氣體分離之第3分離氣體的第3分離氣體供給部。
  6. 如申請專利範圍第1項之成膜裝置,其中具有作為該真空容器之中心部且被設置於該保護頂板的下面與該真空容器的底面之間的支柱,及圍繞該支柱並可在鉛直軸周圍自由地迴轉之迴轉套筒;該迴轉套筒係該迴轉台的迴轉軸。
  7. 如申請專利範圍第1項之成膜裝置,其中被載置於該基板載置部之該基板的表面係與該迴轉台的表面為相同的高度,或該基板的該表面為較該迴轉台的該表面要更低的位置。
  8. 如申請專利範圍第1項之成膜裝置,其中用以將氣體分別導入該第1反應氣體供給部、該第2反應氣體供給部及該第1分離氣體供給部之氣體導入接口係被設置於該迴轉台的迴轉中心側或周緣側。
  9. 如申請專利範圍第1項之成膜裝置,其中該第1分離氣體供給部具有從該迴轉台的迴轉中心側朝向周緣側排列配置之噴出孔。
  10. 如申請專利範圍第1項之成膜裝置,其中具有分別設置於該真空容器底面的周緣且為該第1空間及該第2空間的附近之第1排氣口及第2排氣口。
  11. 如申請專利範圍第1項之成膜裝置,其中該第3空間的壓力係較該第1空間的壓力及該第2空間的壓力要高。
  12. 如申請專利範圍第1項之成膜裝置,其中該第3下面區域的形狀係從該迴轉台之迴轉中心越向周緣的位置其寬度越寬。
  13. 一種基板處理裝置,其具有:如申請專利範圍第1項之成膜裝置;真空搬送室,係氣密地連接於該成膜裝置,並於內部設置有基板搬送部;以及預備真空室,係氣密地連接於該真空搬送室,並可將氣氛在真空氣氛與大氣氣氛間切換。
  14. 一種成膜方法,係使用如申請專利範圍第1項之成膜裝置,在用以保護真空容器不受侵蝕之真空容器 保護部所圍繞的空間中,藉由依序供給含有第1反應氣體及第2反應氣體之至少2種原料氣體,並實施依序供給該至少2種原料氣體之供給循環,以於基板上在一邊分離及供給該第1反應氣體及該第2反應氣體一邊形成薄膜,其係藉由下述方式來形成薄膜:將基板載置於該真空容器內的迴轉台;使該迴轉台迴轉;將第1反應氣體從第1反應氣體供給部供給至形成於該迴轉台上面與該真空容器保護部的該頂板之間的第1空間;將第2反應氣體從設置於沿著該迴轉台的迴轉方向之和該第1反應氣體供給部相異的位置之第2反應氣體供給部,供給至形成於該迴轉台上面與該真空容器保護部的該頂板之間的第2空間;將第1分離氣體從設置於該第1反應氣體供給部與該第2反應氣體供給部之間的第1分離氣體供給部,供給至形成於該迴轉台上面與該真空容器保護部的該頂板之間的第3空間,且該第3空間係較該第1空間及該第2空間要更低;將用以分離該第1反應氣體及該第2反應氣體之第2分離氣體供給至該頂板的下面且為該迴轉台上側的中心部區域;藉由伴隨著該迴轉台之迴轉而使該基板移 動,以對該基板的表面重複進行供給該第1反應氣體、停止該第1反應氣體、供給該第2反應氣體及停止該第2反應氣體,並將該第1分離氣體及該第2分離氣體連同該第1反應氣體及該第2反應氣體一起排氣。
  15. 如申請專利範圍第14項之成膜方法,其中係使保護該真空容器不受侵蝕之第1保護氣體,流通在作為該真空容器保護部與該真空容器間的空間之保護空間,並使該保護空間的壓力較該真空容器保護部所圍繞之空間的壓力要更高。
  16. 如申請專利範圍第14項之成膜方法,其中係於供給該第1反應氣體時,使位於該迴轉台上側之供給該第1反應氣體區域的一部分且為包含該第1反應氣體供給部的部分之從該迴轉台上面到該真空容器保護部的該頂板的高度,較位於供給該第1反應氣體區域的其他部分之從該迴轉台上面到該真空容器保護部的該頂板的高度要更低。
  17. 如申請專利範圍第14項之成膜方法,其中係於供給該第2反應氣體時,使位於該迴轉台上側之供給該第2反應氣體區域的一部分且為包含該第2反應氣體供給部的部分之從該迴轉台上面到該真空容器保護部的該頂板的高度,較位於供給該第2反應氣體區域的其他部分之從該迴轉台上面到該真空容器保護部的該頂板的高度要更低。
  18. 如申請專利範圍第14項之成膜方法,其中係一邊加熱該迴轉台一邊進行該成膜方法。
  19. 如申請專利範圍第14項之成膜方法,其中係使第2保護氣體在該真空容器保護部與該真空容器的底面間流通。
TW098129629A 2008-09-04 2009-09-03 成膜裝置、基板處理裝置、成膜方法及記錄媒體 TWI512133B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2008227024A JP5107185B2 (ja) 2008-09-04 2008-09-04 成膜装置、基板処理装置、成膜方法及びこの成膜方法を実行させるためのプログラムを記録した記録媒体

Publications (2)

Publication Number Publication Date
TW201024450A TW201024450A (en) 2010-07-01
TWI512133B true TWI512133B (zh) 2015-12-11

Family

ID=41725831

Family Applications (1)

Application Number Title Priority Date Filing Date
TW098129629A TWI512133B (zh) 2008-09-04 2009-09-03 成膜裝置、基板處理裝置、成膜方法及記錄媒體

Country Status (5)

Country Link
US (1) US9267204B2 (zh)
JP (1) JP5107185B2 (zh)
KR (1) KR101596045B1 (zh)
CN (1) CN101665921B (zh)
TW (1) TWI512133B (zh)

Families Citing this family (311)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5423205B2 (ja) * 2008-08-29 2014-02-19 東京エレクトロン株式会社 成膜装置
US9416448B2 (en) * 2008-08-29 2016-08-16 Tokyo Electron Limited Film deposition apparatus, substrate processing apparatus, film deposition method, and computer-readable storage medium for film deposition method
JP5107185B2 (ja) * 2008-09-04 2012-12-26 東京エレクトロン株式会社 成膜装置、基板処理装置、成膜方法及びこの成膜方法を実行させるためのプログラムを記録した記録媒体
JP5445044B2 (ja) * 2008-11-14 2014-03-19 東京エレクトロン株式会社 成膜装置
US9297072B2 (en) 2008-12-01 2016-03-29 Tokyo Electron Limited Film deposition apparatus
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
JP5131240B2 (ja) * 2009-04-09 2013-01-30 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP5257328B2 (ja) * 2009-11-04 2013-08-07 東京エレクトロン株式会社 基板処理装置、基板処理方法及び記憶媒体
KR20110054840A (ko) * 2009-11-18 2011-05-25 주식회사 아토 샤워헤드 어셈블리 및 이를 구비한 박막증착장치
JP5310512B2 (ja) * 2009-12-02 2013-10-09 東京エレクトロン株式会社 基板処理装置
JP5553588B2 (ja) * 2009-12-10 2014-07-16 東京エレクトロン株式会社 成膜装置
CA2781663A1 (en) * 2010-03-15 2011-09-22 Sumitomo Electric Industries, Ltd. Semiconductor thin-film manufacturing method, semiconductor thin-film manufacturing apparatus, susceptor, and susceptor holder
JP5572515B2 (ja) * 2010-10-15 2014-08-13 東京エレクトロン株式会社 成膜装置および成膜方法
JP5599350B2 (ja) * 2011-03-29 2014-10-01 東京エレクトロン株式会社 成膜装置及び成膜方法
JP2012237026A (ja) * 2011-05-10 2012-12-06 Tokyo Electron Ltd 成膜装置
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
JP6000665B2 (ja) * 2011-09-26 2016-10-05 株式会社日立国際電気 半導体装置の製造方法、基板処理装置及びプログラム
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
WO2013146278A1 (ja) * 2012-03-30 2013-10-03 株式会社日立国際電気 半導体装置の製造方法、基板処理方法及び基板処理装置
JP5794194B2 (ja) * 2012-04-19 2015-10-14 東京エレクトロン株式会社 基板処理装置
JP5857896B2 (ja) * 2012-07-06 2016-02-10 東京エレクトロン株式会社 成膜装置の運転方法及び成膜装置
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
TWI627305B (zh) 2013-03-15 2018-06-21 應用材料股份有限公司 用於轉盤處理室之具有剛性板的大氣蓋
JP6115244B2 (ja) * 2013-03-28 2017-04-19 東京エレクトロン株式会社 成膜装置
JP6058515B2 (ja) * 2013-10-04 2017-01-11 漢民科技股▲分▼有限公司 気相成膜装置
JP6262115B2 (ja) 2014-02-10 2018-01-17 東京エレクトロン株式会社 基板処理方法及び基板処理装置
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US20150267295A1 (en) * 2014-03-19 2015-09-24 Asm Ip Holding B.V. Removable substrate tray and assembly and reactor including same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
JP6221932B2 (ja) * 2014-05-16 2017-11-01 東京エレクトロン株式会社 成膜装置
US10062598B2 (en) 2014-05-21 2018-08-28 Applied Materials, Inc. Thermal processing susceptor
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
JP6298383B2 (ja) * 2014-08-19 2018-03-20 株式会社日立国際電気 基板処理装置及び半導体装置の製造方法
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
JP6294194B2 (ja) * 2014-09-02 2018-03-14 東京エレクトロン株式会社 基板処理方法及び基板処理装置
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10096495B2 (en) * 2014-12-26 2018-10-09 Tokyo Electron Limited Substrate processing apparatus
US9920427B2 (en) 2015-02-02 2018-03-20 Toshiba Memory Corporation Semiconductor manufacturing apparatus and manufacturing method of semiconductor device
JP6398761B2 (ja) * 2015-02-04 2018-10-03 東京エレクトロン株式会社 基板処理装置
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10954597B2 (en) * 2015-03-17 2021-03-23 Asm Ip Holding B.V. Atomic layer deposition apparatus
US10837106B2 (en) * 2015-05-12 2020-11-17 Corner Star Limited Clamping assembly for a reactor system
JP6412466B2 (ja) * 2015-06-02 2018-10-24 東京エレクトロン株式会社 基板処理装置及び基板処理方法
JP6468955B2 (ja) * 2015-06-23 2019-02-13 東京エレクトロン株式会社 シリコン含有膜の成膜方法及び成膜装置
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
JP6548586B2 (ja) 2016-02-03 2019-07-24 東京エレクトロン株式会社 成膜方法
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
JP6608332B2 (ja) * 2016-05-23 2019-11-20 東京エレクトロン株式会社 成膜装置
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
SG11201810824UA (en) * 2016-06-03 2019-01-30 Applied Materials Inc Effective and novel design for lower particle count and better wafer quality by diffusing the flow inside the chamber
KR102483547B1 (ko) 2016-06-30 2023-01-02 삼성전자주식회사 가스 공급 유닛 및 이를 포함하는 박막 증착 장치
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
JP6733516B2 (ja) 2016-11-21 2020-08-05 東京エレクトロン株式会社 半導体装置の製造方法
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
KR102481410B1 (ko) * 2017-07-31 2022-12-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
TWI815915B (zh) 2018-06-27 2023-09-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
CN110767567B (zh) * 2018-07-25 2022-03-22 北京北方华创微电子装备有限公司 反应腔室和半导体热处理设备
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
KR20200086582A (ko) * 2019-01-09 2020-07-17 삼성전자주식회사 원자층 증착 장치 및 이를 이용한 박막 형성 방법
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
WO2021081221A1 (en) * 2019-10-22 2021-04-29 Purplesun Inc. Automated deposition of microfilms, systems and methods
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
JP7098677B2 (ja) * 2020-03-25 2022-07-11 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法及びプログラム
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN114768578B (zh) * 2022-05-20 2023-08-18 北京北方华创微电子装备有限公司 混气装置及半导体工艺设备

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6806211B2 (en) * 2000-08-11 2004-10-19 Tokyo Electron Limited Device and method for processing substrate
US20060177579A1 (en) * 2002-09-17 2006-08-10 Shin Cheol H Method for manufacturing semiconductor device

Family Cites Families (103)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4854266A (en) * 1987-11-02 1989-08-08 Btu Engineering Corporation Cross-flow diffusion furnace
US5225366A (en) 1990-06-22 1993-07-06 The United States Of America As Represented By The Secretary Of The Navy Apparatus for and a method of growing thin films of elemental semiconductors
JPH04287912A (ja) 1991-02-19 1992-10-13 Mitsubishi Electric Corp 半導体製造装置
US5338362A (en) * 1992-08-29 1994-08-16 Tokyo Electron Limited Apparatus for processing semiconductor wafer comprising continuously rotating wafer table and plural chamber compartments
JP3144664B2 (ja) 1992-08-29 2001-03-12 東京エレクトロン株式会社 処理装置及び処理方法
US5620523A (en) * 1994-04-11 1997-04-15 Canon Sales Co., Inc. Apparatus for forming film
US5744049A (en) * 1994-07-18 1998-04-28 Applied Materials, Inc. Plasma reactor with enhanced plasma uniformity by gas addition, and method of using same
JP3507614B2 (ja) * 1996-04-04 2004-03-15 株式会社ルネサステクノロジ 薄膜成膜装置
DE69825893T2 (de) 1997-05-08 2005-09-08 Matsushita Electric Industrial Co., Ltd., Kadoma Vorrichtung und verfahren zur herstellung eines aufzeichnungsträgers
JP3480280B2 (ja) * 1997-10-28 2003-12-15 東京エレクトロン株式会社 縦型処理装置
KR100253089B1 (ko) 1997-10-29 2000-05-01 윤종용 반도체소자 제조용 화학기상증착장치 및 이의 구동방법, 그 공정챔버 세정공정 레시피 최적화방법
US5906354A (en) 1998-01-12 1999-05-25 Sigma Scientific Technology, Inc. Ball valve for lethal gas or fluid service
US5849088A (en) 1998-01-16 1998-12-15 Watkins-Johnson Company Free floating shield
KR100652909B1 (ko) * 1998-03-06 2006-12-01 에이에스엠 아메리카, 인코포레이티드 하이 스텝 커버리지를 갖는 실리콘 증착 방법
JP2000117039A (ja) * 1998-10-15 2000-04-25 Toshiba Corp 気体分離装置
JP4286981B2 (ja) * 1999-07-22 2009-07-01 東京エレクトロン株式会社 枚葉式熱処理装置
JP4817210B2 (ja) 2000-01-06 2011-11-16 東京エレクトロン株式会社 成膜装置および成膜方法
US20020195056A1 (en) 2000-05-12 2002-12-26 Gurtej Sandhu Versatile atomic layer deposition apparatus
KR100458982B1 (ko) * 2000-08-09 2004-12-03 주성엔지니어링(주) 회전형 가스분사기를 가지는 반도체소자 제조장치 및 이를이용한 박막증착방법
DE10118130A1 (de) * 2001-04-11 2002-10-17 Aixtron Ag Vorrichtung oder Verfahren zum Abscheiden von insbesondere kristallinen Schichten auf insbesondere kristallinen Substraten aus der Gasphase
JP3616366B2 (ja) * 2001-10-23 2005-02-02 東京エレクトロン株式会社 基板処理装置および基板処理方法
US6869641B2 (en) 2002-07-03 2005-03-22 Unaxis Balzers Ltd. Method and apparatus for ALD on a rotary susceptor
US7153542B2 (en) * 2002-08-06 2006-12-26 Tegal Corporation Assembly line processing method
US7306707B2 (en) * 2003-06-04 2007-12-11 Tokyo Electron Limited Adaptable processing element for a processing system and a method of making the same
US7647886B2 (en) 2003-10-15 2010-01-19 Micron Technology, Inc. Systems for depositing material onto workpieces in reaction chambers and methods for removing byproducts from reaction chambers
US20060073276A1 (en) * 2004-10-04 2006-04-06 Eric Antonissen Multi-zone atomic layer deposition apparatus and method
JP4502198B2 (ja) * 2004-10-21 2010-07-14 ルネサスエレクトロニクス株式会社 エッチング装置およびエッチング方法
KR100558922B1 (ko) 2004-12-16 2006-03-10 (주)퓨전에이드 박막 증착장치 및 방법
US7751921B2 (en) 2004-12-28 2010-07-06 Tokyo Electron Limited Semiconductor manufacturing apparatus, method of detecting abnormality, identifying cause of abnormality, or predicting abnormality in the semiconductor manufacturing apparatus, and storage medium storing computer program for performing the method
US8475624B2 (en) * 2005-09-27 2013-07-02 Lam Research Corporation Method and system for distributing gas for a bevel edge etcher
US20070218701A1 (en) * 2006-03-15 2007-09-20 Asm Japan K.K. Semiconductor-processing apparatus with rotating susceptor
US20070215036A1 (en) * 2006-03-15 2007-09-20 Hyung-Sang Park Method and apparatus of time and space co-divided atomic layer deposition
US20070218702A1 (en) * 2006-03-15 2007-09-20 Asm Japan K.K. Semiconductor-processing apparatus with rotating susceptor
US8257503B2 (en) * 2008-05-02 2012-09-04 Lam Research Corporation Method and apparatus for detecting plasma unconfinement
US20090324826A1 (en) 2008-06-27 2009-12-31 Hitoshi Kato Film Deposition Apparatus, Film Deposition Method, and Computer Readable Storage Medium
US8465591B2 (en) 2008-06-27 2013-06-18 Tokyo Electron Limited Film deposition apparatus
US8465592B2 (en) 2008-08-25 2013-06-18 Tokyo Electron Limited Film deposition apparatus
JP5195175B2 (ja) 2008-08-29 2013-05-08 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
US9416448B2 (en) 2008-08-29 2016-08-16 Tokyo Electron Limited Film deposition apparatus, substrate processing apparatus, film deposition method, and computer-readable storage medium for film deposition method
JP5423205B2 (ja) 2008-08-29 2014-02-19 東京エレクトロン株式会社 成膜装置
US8808456B2 (en) 2008-08-29 2014-08-19 Tokyo Electron Limited Film deposition apparatus and substrate process apparatus
JP5276388B2 (ja) 2008-09-04 2013-08-28 東京エレクトロン株式会社 成膜装置及び基板処理装置
US8961691B2 (en) 2008-09-04 2015-02-24 Tokyo Electron Limited Film deposition apparatus, film deposition method, computer readable storage medium for storing a program causing the apparatus to perform the method
JP2010084230A (ja) 2008-09-04 2010-04-15 Tokyo Electron Ltd 成膜装置、基板処理装置及び回転テーブル
JP5107185B2 (ja) * 2008-09-04 2012-12-26 東京エレクトロン株式会社 成膜装置、基板処理装置、成膜方法及びこの成膜方法を実行させるためのプログラムを記録した記録媒体
JP2010087467A (ja) 2008-09-04 2010-04-15 Tokyo Electron Ltd 成膜装置、基板処理装置、成膜方法及びこの成膜方法を実行させるためのプログラムを記録した記録媒体
JP5253933B2 (ja) 2008-09-04 2013-07-31 東京エレクトロン株式会社 成膜装置、基板処理装置、成膜方法及び記憶媒体
JP5280964B2 (ja) 2008-09-04 2013-09-04 東京エレクトロン株式会社 成膜装置、基板処理装置、成膜方法及び記憶媒体
JP5253932B2 (ja) 2008-09-04 2013-07-31 東京エレクトロン株式会社 成膜装置、基板処理装置、成膜方法及び記憶媒体
JP5062144B2 (ja) 2008-11-10 2012-10-31 東京エレクトロン株式会社 ガスインジェクター
JP5445044B2 (ja) 2008-11-14 2014-03-19 東京エレクトロン株式会社 成膜装置
JP2010153769A (ja) 2008-11-19 2010-07-08 Tokyo Electron Ltd 基板位置検出装置、基板位置検出方法、成膜装置、成膜方法、プログラム及びコンピュータ可読記憶媒体
JP2010126797A (ja) 2008-11-28 2010-06-10 Tokyo Electron Ltd 成膜装置、半導体製造装置、これらに用いられるサセプタ、プログラム、およびコンピュータ可読記憶媒体
US9297072B2 (en) 2008-12-01 2016-03-29 Tokyo Electron Limited Film deposition apparatus
JP5056735B2 (ja) 2008-12-02 2012-10-24 東京エレクトロン株式会社 成膜装置
JP5083193B2 (ja) 2008-12-12 2012-11-28 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
US20100227059A1 (en) 2009-03-04 2010-09-09 Tokyo Electron Limited Film deposition apparatus, film deposition method, and computer readable storage medium
JP5107285B2 (ja) 2009-03-04 2012-12-26 東京エレクトロン株式会社 成膜装置、成膜方法、プログラム、およびコンピュータ可読記憶媒体
JP5181100B2 (ja) 2009-04-09 2013-04-10 東京エレクトロン株式会社 基板処理装置、基板処理方法及び記憶媒体
JP5131240B2 (ja) 2009-04-09 2013-01-30 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
JP5287592B2 (ja) 2009-08-11 2013-09-11 東京エレクトロン株式会社 成膜装置
JP5444961B2 (ja) 2009-09-01 2014-03-19 東京エレクトロン株式会社 成膜装置及び成膜方法
JP5434484B2 (ja) 2009-11-02 2014-03-05 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
JP5257328B2 (ja) 2009-11-04 2013-08-07 東京エレクトロン株式会社 基板処理装置、基板処理方法及び記憶媒体
JP5310512B2 (ja) 2009-12-02 2013-10-09 東京エレクトロン株式会社 基板処理装置
JP5553588B2 (ja) 2009-12-10 2014-07-16 東京エレクトロン株式会社 成膜装置
JP5327147B2 (ja) 2009-12-25 2013-10-30 東京エレクトロン株式会社 プラズマ処理装置
JP5482196B2 (ja) 2009-12-25 2014-04-23 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
US8034723B2 (en) 2009-12-25 2011-10-11 Tokyo Electron Limited Film deposition apparatus and film deposition method
JP5392069B2 (ja) 2009-12-25 2014-01-22 東京エレクトロン株式会社 成膜装置
JP5497423B2 (ja) 2009-12-25 2014-05-21 東京エレクトロン株式会社 成膜装置
JP5423529B2 (ja) 2010-03-29 2014-02-19 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
JP2012054508A (ja) 2010-09-03 2012-03-15 Tokyo Electron Ltd 成膜装置
JP5524139B2 (ja) 2010-09-28 2014-06-18 東京エレクトロン株式会社 基板位置検出装置、これを備える成膜装置、および基板位置検出方法
JP5579009B2 (ja) 2010-09-29 2014-08-27 東京エレクトロン株式会社 成膜装置および成膜方法
JP5599350B2 (ja) 2011-03-29 2014-10-01 東京エレクトロン株式会社 成膜装置及び成膜方法
JP5630393B2 (ja) * 2011-07-21 2014-11-26 東京エレクトロン株式会社 成膜装置及び基板処理装置
JP5780062B2 (ja) * 2011-08-30 2015-09-16 東京エレクトロン株式会社 基板処理装置及び成膜装置
JP5712874B2 (ja) 2011-09-05 2015-05-07 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
JP5765154B2 (ja) * 2011-09-12 2015-08-19 東京エレクトロン株式会社 基板処理装置及び成膜装置
JP5679581B2 (ja) 2011-12-27 2015-03-04 東京エレクトロン株式会社 成膜方法
JP5993154B2 (ja) * 2012-01-20 2016-09-14 東京エレクトロン株式会社 パーティクル低減方法
JP5803706B2 (ja) * 2012-02-02 2015-11-04 東京エレクトロン株式会社 成膜装置
JP5803714B2 (ja) * 2012-02-09 2015-11-04 東京エレクトロン株式会社 成膜装置
JP5794194B2 (ja) * 2012-04-19 2015-10-14 東京エレクトロン株式会社 基板処理装置
JP5823922B2 (ja) 2012-06-14 2015-11-25 東京エレクトロン株式会社 成膜方法
JP6011417B2 (ja) * 2012-06-15 2016-10-19 東京エレクトロン株式会社 成膜装置、基板処理装置及び成膜方法
JP5842750B2 (ja) * 2012-06-29 2016-01-13 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
JP2014017296A (ja) * 2012-07-06 2014-01-30 Tokyo Electron Ltd 成膜方法
JP5857896B2 (ja) * 2012-07-06 2016-02-10 東京エレクトロン株式会社 成膜装置の運転方法及び成膜装置
JP5861583B2 (ja) * 2012-07-13 2016-02-16 東京エレクトロン株式会社 成膜装置及び成膜方法
JP5859927B2 (ja) * 2012-07-13 2016-02-16 東京エレクトロン株式会社 成膜方法及び成膜装置
JP5939147B2 (ja) * 2012-12-14 2016-06-22 東京エレクトロン株式会社 成膜装置、基板処理装置及び成膜方法
JP6118102B2 (ja) 2012-12-21 2017-04-19 東京エレクトロン株式会社 基板位置検出装置及びこれを用いた基板処理装置、成膜装置
JP6101083B2 (ja) 2013-01-16 2017-03-22 東京エレクトロン株式会社 成膜方法及び成膜装置
JP5971144B2 (ja) * 2013-02-06 2016-08-17 東京エレクトロン株式会社 基板処理装置及び成膜方法
JP6114708B2 (ja) 2013-05-27 2017-04-12 東京エレクトロン株式会社 基板脱離検出装置及び基板脱離検出方法、並びにこれらを用いた基板処理装置及び基板処理方法
JP6118197B2 (ja) 2013-07-02 2017-04-19 東京エレクトロン株式会社 成膜方法
JP2015056632A (ja) 2013-09-13 2015-03-23 東京エレクトロン株式会社 シリコン酸化膜の製造方法
JP6114668B2 (ja) 2013-09-18 2017-04-12 東京エレクトロン株式会社 基板処理装置及び基板処理方法
JP2015090916A (ja) * 2013-11-06 2015-05-11 東京エレクトロン株式会社 基板処理装置及び基板処理方法
JP6204213B2 (ja) 2014-01-28 2017-09-27 東京エレクトロン株式会社 基板処理方法及び基板処理装置
JP6262115B2 (ja) * 2014-02-10 2018-01-17 東京エレクトロン株式会社 基板処理方法及び基板処理装置

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6806211B2 (en) * 2000-08-11 2004-10-19 Tokyo Electron Limited Device and method for processing substrate
US20060177579A1 (en) * 2002-09-17 2006-08-10 Shin Cheol H Method for manufacturing semiconductor device

Also Published As

Publication number Publication date
KR20100028498A (ko) 2010-03-12
US9267204B2 (en) 2016-02-23
TW201024450A (en) 2010-07-01
JP5107185B2 (ja) 2012-12-26
US20100055316A1 (en) 2010-03-04
CN101665921A (zh) 2010-03-10
CN101665921B (zh) 2013-05-22
JP2010059495A (ja) 2010-03-18
KR101596045B1 (ko) 2016-02-19

Similar Documents

Publication Publication Date Title
TWI512133B (zh) 成膜裝置、基板處理裝置、成膜方法及記錄媒體
JP5253932B2 (ja) 成膜装置、基板処理装置、成膜方法及び記憶媒体
JP5527197B2 (ja) 成膜装置
JP5056735B2 (ja) 成膜装置
TWI470112B (zh) 成膜裝置、基板處理裝置、成膜方法及記憶媒體(二)
TWI506159B (zh) 成膜裝置
TWI423367B (zh) 成膜裝置及基板處理裝置
JP5280964B2 (ja) 成膜装置、基板処理装置、成膜方法及び記憶媒体
JP5195174B2 (ja) 成膜装置及び成膜方法
TWI515323B (zh) 成膜裝置、成膜裝置之清潔方法及電腦可讀取記憶媒體
KR101624352B1 (ko) 가스 인젝터 및 성막 장치
JP5553588B2 (ja) 成膜装置
KR101536779B1 (ko) 복수의 반응 가스를 차례로 기판에 공급하는 성막 장치, 기판 처리 장치, 성막 방법, 및 컴퓨터 판독 가능한 기록 매체
JP5262452B2 (ja) 成膜装置及び基板処理装置
JP2010126797A (ja) 成膜装置、半導体製造装置、これらに用いられるサセプタ、プログラム、およびコンピュータ可読記憶媒体
KR20100028499A (ko) 성막 장치, 기판 처리 장치 및 회전 테이블
KR20100028497A (ko) 성막 장치, 기판 처리 장치, 성막 방법 및 컴퓨터 판독 가능한 기록 매체
KR20100027041A (ko) 성막 장치, 성막 방법 및 기억 매체
KR20100052415A (ko) 성막 장치
JP5093078B2 (ja) 成膜装置
JP5195176B2 (ja) 成膜装置
JP2010129983A (ja) 成膜装置