CN105316653A - 用rf等离子体循环和清洗去除处理室颗粒的系统和方法 - Google Patents

用rf等离子体循环和清洗去除处理室颗粒的系统和方法 Download PDF

Info

Publication number
CN105316653A
CN105316653A CN201510308675.7A CN201510308675A CN105316653A CN 105316653 A CN105316653 A CN 105316653A CN 201510308675 A CN201510308675 A CN 201510308675A CN 105316653 A CN105316653 A CN 105316653A
Authority
CN
China
Prior art keywords
circulation
period
treatment chamber
plasma body
purge gas
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201510308675.7A
Other languages
English (en)
Other versions
CN105316653B (zh
Inventor
康胡
阿德里安·拉瓦伊
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN105316653A publication Critical patent/CN105316653A/zh
Application granted granted Critical
Publication of CN105316653B publication Critical patent/CN105316653B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32862In situ cleaning of vessels and/or internal parts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32926Software, data control or modelling
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • H01J2237/3321CVD [Chemical Vapor Deposition]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/335Cleaning

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Mechanical Engineering (AREA)
  • Health & Medical Sciences (AREA)
  • Public Health (AREA)
  • Epidemiology (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

本发明涉及用RF等离子体循环和清洗去除处理室颗粒的系统和方法。操作衬底处理系统的系统和方法包括在处理室内处理放置在衬底支撑件上的衬底。在处理期间供应前体气体和/或反应气体中的至少一种。从处理室移除衬底。选择性地供应运载气体和清洗气体到处理室。在N个循环期间在处理室内生成RF等离子体,其中N是大于1的整数。在N个循环中的每个循环期间,RF等离子体开通持续第一时间段并且关闭持续第二时间段。在N个循环中的每个循环的至少部分期间供应清洗气体。

Description

用RF等离子体循环和清洗去除处理室颗粒的系统和方法
技术领域
本发明涉及衬底处理系统,尤其涉及从衬底处理室去除颗粒的系统和方法。
背景技术
本文所提供的背景描述是为了总体上呈现发明的内容。当前所冠名的发明人的工作(一定程度上在该背景部分中有所描述)以及在申请时可能没有资格作为现有技术的本说明书的方面,既不能明显地也不能隐含地被当做针对本发明的现有技术。
衬底处理系统可被用于执行在衬底上的膜的沉积和/或蚀刻。衬底处理系统通常包括处理室,处理室具有衬底支撑件,例如基座、静电卡盘、板等。衬底(例如半导体晶片)可被布置在衬底支撑件上。在化学气相沉积(CVD)或等离子体增强原子层沉积(PEALD)工艺中,包括一种或多种前体的气体混合物可被引入到处理室以在衬底上沉积膜。在一些衬底处理系统中,射频(RF)等离子体可以用于激活化学反应。
发生在气体状态下的一些化学反应生成颗粒,所述颗粒在处理完成后可能会滞留在处理室内。除了在处理期间产生颗粒以外,由于蒙尘的上游部件、室泄漏事件、替换部件时发生的污染和/或维修期间发生的污染,颗粒也可能到达处理室。
在一些处理中,在从处理室移除衬底之后循环开通和关闭清洗气体以去除残留在处理室内的颗粒。使用清洗气体去除颗粒花费相当长的时间(约24个小时)并且可能不会将处理室内的颗粒减少到可接受水平。
发明内容
一种操作衬底处理系统的方法包括a)处理布置在处理室内的衬底支撑件上的衬底,其中,在所述处理期间供应前体气体和/或反应气体中的至少一种;b)从所述处理室移除所述衬底;c)选择性地供应运载气体和清洗气体到所述处理室;d)在N个循环期间在所述处理室内生成射频(RF)等离子体,其中,N是大于1的整数,其中,在所述N个循环中的每个循环期间,所述RF等离子体开通持续第一时间段并且关闭持续第二时间段;以及e)在所述RF等离子体的所述N个循环中的每个循环的至少部分期间供应所述清洗气体。
在其他特征中,在所述N个循环的所述第一时间段期间不供应所述清洗气体,并且在所述N个循环的所述第二时间段的至少部分期间供应所述清洗气体。在所述(c)、(d)或者(e)期间不供应所述前体气体和/或所述反应气体中的至少一种。(a)包括使用RF等离子体来沉积膜。(a)包括原子层沉积(ALD)和化学气相沉积(CVD)中的至少一种。
在其他特征中,所述ALD和CVD中的一种使用RF等离子体。所述N个循环的占空比是介于25%和75%之间。在(d)和(e)期间执行(c)。
在其他特征中,所述N个循环中的每个循环具有介于1秒和5秒之间的持续时间。N大于或者等于100并且小于或者等于5000。所述N个循环的占空比和/或所述N个循环的持续时间中的至少一个在所述N个循环期间是变化的。
一种衬底处理系统包括处理室,所述处理室包括在处理期间支撑衬底的衬底支撑件。气体供应件在处理期间可选地供应前体气体和反应气体中的至少一种、运载气体以及清洗气体。控制器被配置以a)在从所述处理室移除所述衬底之后,供应所述运载气体到所述处理室;b)在N个循环期间在所述处理室内生成RF等离子体,其中N是大于1的整数,其中,在所述N个循环中的每个循环期间,所述RF等离子体开通持续第一时间段并且关闭持续第二时间段;以及c)在所述RF等离子体的所述N个循环中的每个循环的至少部分期间内供应所述清洗气体。
在其他特征中,在所述N个循环的第一时间段期间不供应所述清洗气体,并且在所述N个循环的第二时间段的至少部分期间供应所述清洗气体。
在其他特征中,所述控制器被配置以在所述(a)、(b)或者(c)期间不供应所述前体气体和所述反应气体。所述衬底处理系统使用RF等离子体来沉积膜。所述衬底处理系统执行原子层沉积(ALD)和化学气相沉积(CVD)中的至少一种。ALD和CVD中的至少一种使用RF等离子体。所述控制器被配置以控制所述N个循环的占空比介于25%和75%之间。
在其他特征中,控制器被配置以在(b)和(c)期间供应所述运载气体。所述N个循环中的每个循环具有介于1秒和5秒之间的持续时间。N大于或者等于100并且小于或者等于5000。所述N个循环的占空比和/或所述N个循环的持续时间中的至少一个在所述N个循环期间是变化的。
一种执行衬底处理系统的方法包括:a)从处理室内的衬底支撑件移除衬底;b)选择性地供应运载气体和清洗气体到所述处理室;c)在N个循环期间在所述处理室内生成RF等离子体,其中,N是大于1的整数,其中在所述N个循环中的每个循环期间,RF等离子体开通持续第一时间段并且关闭持续第二时间段;以及d)在所述RF等离子体的所述N个循环中的每个循环的至少部分期间供应所述清洗气体。
在其他特征中,在所述N个循环的所述第一时间段期间不供应清洗气体,并且在所述N个循环的所述第二时间段的至少部分期间供应清洗气体。
一种衬底处理系统包括处理室,处理室包括在处理期间支撑衬底的衬底支撑件。气体供应件供应运载气体和清洗气体。控制器被配置以:a)在从所述处理室移除了所述衬底之后,供应所述运载气体到所述处理室;b)在N个循环期间在所述处理室内生成RF等离子体,其中N是大于1的整数,其中在所述N个循环中的每个循环期间,所述RF等离子体开通持续第一时间段并且关闭持续第二时间段;以及c)在所述RF等离子体的所述N个循环中的每个循环的至少部分期间供应所述清洗气体。
在其他特征中,在所述N个循环的所述第一时间段期间不供应清洗气体,并且在所述N个循环的所述第二时间段的至少部分期间供应清洗气体。
本发明的进一步的适用范围将从具体实施方式、权利要求和附图变得显而易见。具体实施方式和具体实施例旨在仅供说明,而并非意在限制本公开的范围。
附图说明
本发明将从具体描述和附图被更充分地理解,其中:
图1是根据本发明的衬底处理系统的一个实施例的功能框图。
图2是示出了执行原子层沉积(ALD)的方法的一个实施例的流程图。
图3是示出了根据本发明用于从衬底处理室去除颗粒的方法的一个实施例的流程图。
图4是示出了根据本发明用于从衬底处理室去除颗粒的方法的另一实施例的流程图。
图5和6是示出了根据本发明用于在从处理室去除颗粒时供应前体、反应气体、运载气体、清洗气体和RF等离子体的控制信号的时序的曲线图。
在上述图中,附图标记可以重新使用以识别相似和/或相同的元件。
具体实施方式
使清洗气体循环来去除处理室内的颗粒并非很有效。清洗气体在减少处理室内的颗粒方面的相对低效可能部分归因于静电力,该静电力致使颗粒附着在处理室的表面上。此外,颗粒还可能因静电力而聚集并且可能被截留在例如喷头等处理室组件的内部。由静电力所保持的颗粒难以通过使清洗气体循环而去除。
本公开内容涉及从处理室去除颗粒的系统和方法。如本文所公开的,在移除衬底之后,处理室内的颗粒可以通过使RF等离子体和清洗气体循环来去除。在一些实施例中,RF等离子体循环的时序类似于在ALD膜沉积期间使用的循环的时序。
在一些实施例中,在去除颗粒期间不供应反应气体和前体。供应运载气体并且使RF等离子体循环开通和关闭。使用连续式或者脉冲式的清洗气体来从处理室去除颗粒。
在一些实施例中,根据本公开的系统和方法可以用于从用于通过ALD或者PEALD处理来沉积膜的处理室去除颗粒。膜类型的实例包括SiO2、SiN、SiCN、SiC、贵金属和高K值材料,所述高K值材料包括镧系氧化物、4族金属氧化物和5族金属氧化物,但也可能涉及其他膜类型和/或其他处理。例如,本公开还可用于从用于通过CVD或PECVD处理沉积膜的处理室去除颗粒。膜类型的实例包括SiO2、SiN、TEOS、SiC、SiCN和AHM,但也可使用其他膜类型和/或处理。
根据本公开内容,在处理之后移除衬底,供应运载气体并且使RF功率循环开通和关闭来激励RF等离子体。在一些实施例中,RF循环可以具有与在ALD膜沉积中使用的时序类似的时序。在一些实施例中,使用机械手或者转位机构移除衬底。在RF循环期间不供应前体和反应气体。在RF循环期间,可以使用连续式或者脉冲式的清洗气体来从处理室去除颗粒。
RF循环和清洗可以有助于释放静电附着在处理室内的表面上的颗粒。颗粒在RF循环期间释放并且与清洗气体一起排出处理室。此外,由RF等离子体充电的颗粒相互排斥,使得颗粒聚集可以由清洗气体流抽出处理室。
根据本公开的RF循环和清洗的优点包括显著减少了将处理室内的颗粒数降低到预定值之下所需的时间。例如,相比于24-48小时的仅有气体循环的清洗,2-3小时的如本文所述的RF循环和清洗可具有较佳的颗粒减少性能。结果,处理室后期维护调节时间显著减少。
现在参考图1,示出了使用RF循环和清洗去除机械颗粒的衬底处理系统10的一个实施例。衬底处理系统10包括处理室12。可以使用例如喷头或者其他装置之类的气体分配装置14供应气体到处理室12。衬底18(例如半导体晶片)可以在处理期间布置在衬底支撑件16上。衬底支撑件16可以包括基座、静电卡盘、机械卡盘或者其他类型的衬底支撑件。
气体输送系统20可包括一个或者多个气体源22-2、22-2…和22-N(统称为气体源22),其中N是大于1的整数。可以使用阀24-1、24-2…和24-N(统称为阀24)、质量流量控制器26-1、26-2…和26-N(统称为控制器26)或者其他流量控制装置来可控地供应前体、反应气体、惰性气体、清洗气体和它们的混合物到歧管30,该歧管30供应气体混合物到处理室12。
控制器40可以用于监控例如温度、压强等处理参数(使用传感器41)以及用于控制处理时序。控制器40可以用于控制例如气体输送系统20、基座加热器42和/或等离子体发生器46等处理装置。控制器40还可以用于使用阀50和泵52来排空处理室12。
RF等离子体发生器46在处理室内生成RF等离子体。RF等离子体发生器46可以是电感型或电容型RF等离子体发生器。在一些实施例中,RF等离子体发生器46可包括RF供应源60以及匹配和分配网络46。当RF等离子体发生器46显示为连接到带有接地或者浮置的基座的气体分配装置14时,RF发生器46可连接到衬底支撑件16并且气体分配装置14可以是接地的或者浮置的。
现在参考图2,示出了执行原子层沉积(ALD)的方法的一个实施例。虽然出于说明的目的显示了ALD处理,但本文所述的系统和方法可适用于包括但不限于CVD、PECVD、PEALD等其他类型的处理。
在104,衬底被放置进处理室内。可以供应处理气体(例如一种或者多种运载气体或者惰性气体)到处理室。在106,供应第一前体到处理室持续第一时间段。在第一时间段之后,在110清洗处理室。在114,第二前体可以被供应到处理室持续第二时间段,以与第一前体反应。可选地或者附加地,可以在处理室内激励RF等离子体以使第一前体转换。在第二时间段之后,在116清洗处理室。在120,执行一个或者多个附加的ALD循环并且控制返回到106。否则,在ALD循环完成时,控制结束。在处理完成时,从处理室内移除衬底。
现在参考图3,示出了从衬底处理室去除颗粒的方法的一个实施例。本文所述的系统和方法在从处理室移除了衬底之后执行。在此实施例中,清洗气体在RF等离子体循环和清洗期间继续保留。在204,从处理室移除衬底。在206,供应运载气体到处理室。在210,供应清洗气体到处理室。在214,激励RF等离子体持续预定时间段。在216,RF等离子体在预定时间段之后熄灭。当附加的RF等离子体循环(在220判定)将要执行时,控制在206继续。当完成预定数量的循环时,控制结束。
现在参考图4,示出了从衬底处理室去除颗粒的方法的另一实施例。在此实施例中,在关闭了RF信号之后,循环开通和关闭清洗气体。在304,从处理室移除衬底。在306,供应运载气体到处理室。在314,激励RF等离子体持续第一预定时间段。在316,在第一预定时间段之后熄灭RF等离子体。在318,在RF等离子体熄灭之后使用清洗气体清洗处理室持续第二预定时间段。如果需要附加的RF等离子体和清洗循环,那么控制在306继续。当完成了足够数量的循环时,控制结束。
现在参考图5和6,示出了说明用于供应前体、反应气体、运载气体、清洗气体和RF的控制信号的时序的实施例的曲线。图5中所示的时序对应于图3中所描述的方法。不供应前体和反应气体到处理室。在RF脉冲期间供应运载气体和清洗气体。
图6中所示的时序对应于图4中所描述的方法。在RF等离子体循环和清洗期间不供应前体和反应气体到处理室。在RF夹持期间供应运载气体。在RF脉冲的下降沿之后将清洗气体生成脉冲持续预定时间段。虽然清洗气体显示为在后续RF脉冲的前沿之前停止所述预定时间段,但也可以供应清洗气体直至后续RF脉冲的前沿或者正好在后续RF脉冲的前沿之后。在一些实施例中,RF循环的占空比介于25%和75%之间。在其他实施例中,所述占空比和时间段在颗粒去除期间可以是变化的。改变占空比和/或持续时间可有助于去除颗粒。在一些实施例中,RF循环为1至5秒长,但也可以使用其他时间段。在一些实施例中,执行100至5000个循环,但也可以使用附加的或者较少的循环。在一些实施例中,执行2000至3000个循环,但也可以使用附加的或者更少的循环。
在一个实施例中,在室泄漏事件发生之后对处理室除尘。10个小时的仅有清洗气体的循环使室机械颗粒减少到约1000个颗粒添加数(adder)。如表I所示,需要另外22个小时的仅有清洗气体的循环的该方法将室机械颗粒进一步减少到<30个颗粒添加数0.06μm:
表I
在另一实施例中,在喷头泄漏问题发生之后,衬底处理工具针对ALDOx处理经受高颗粒数。使用24小时的仅有清洗气体的循环,处理室机械颗粒数仍然处于约100。接着,使用2个小时的RF循环和清洗,处理室机械颗粒数降到约30添加数。如表II所示,另外1个小时的RF循环和清洗进一步减少机械颗粒数。
表II
从泄漏恢复工具 0.04μm 0.05μm 0.06μm 0.08μm 0.1μm
ALDOx处理A100A 1434 1048 924 527 303
24个小时清洗气体循环
仅机械气体的颗粒试验 145 94 73 38 20
2个小时RF夹持清洗
仅机械气体的颗粒试验 33 27 23 14 6
1个小时RF夹持清洗
仅机械气体的颗粒试验 15 11 10 6 3
在另一实施例中,1个小时的RF循环和清洗将2000埃膜中颗粒数从约800添加数显著降低到约100添加数,并且减少了处理室机械颗粒数。如表III所示,附加的2个小时的RF循环和清洗进一步减少了2000埃膜中颗粒数。
表III
前面的描述在本质上仅仅是说明性的并且不意在以任何方式限制本公开、其应用或用途。本公开的广泛教导可以以各种形式来实现。因此,虽然本公开包括特定实施例,但本公开的真正范围不应被如此限制,因为在研究了附图、说明书和后面的权利要求后,其它的变形方案将变得显而易见。如本文中所使用的短语“A,B和C中的至少一个”应当解释为是指使用非排他性的逻辑或的逻辑(A或B或C),并且不应当被解释为是指“至少一个A,至少一个B和至少一个C”。应该理解的是,方法中的一个或多个步骤在不改变本发明原理的情况下可以以不同的顺序(或同时)执行。
在本申请中,包括下面的定义,术语“控制器”可以被替换为术语“电路”。术语“控制器”可以指以下器件、以下器件中的一部分,或者包括以下器件:特定用途集成电路(ASIC);数字、模拟或混合模拟/数字分立电路;数字、模拟或混合模拟/数字集成电路;组合逻辑电路;现场可编程门阵列(FPGA);执行代码的处理器电路(共享的、专用的或成组的);存储由处理器电路执行的代码的存储器电路(共享的、专用的或成组的);提供所述功能的其它合适的硬件组件;或上述这些的部分或全部的组合(例如在芯片上系统中)。
控制器可以包括一个或多个接口电路。在一些实例中,接口电路可以包括连接到局域网(LAN)、互联网、广域网(WAN)或它们的组合的有线或无线接口。本发明的任何给定的控制器的功能可以在经由接口电路连接的多个控制器之间进行分配。例如,多个控制器可以允许负载平衡。在进一步的例子中,服务器(也称为远程或云)控制器可以以客户控制器的名义完成某些功能。
如上述使用的,术语“代码”可以包括软件、固件和/或微代码,并且可以指程序、例程、函数、类别、数据结构和/或对象。术语“共享处理器电路”包括执行来自多个控制器的部分或全部代码的单个处理器电路。术语“组处理器电路”包括与附加处理器电路相结合地执行来自一个或多个控制器的一些或全部代码的处理器电路。引用的多个处理器电路包括在离散模上的多个处理器电路、在单模上的多个处理器电路、单处理器电路的多内核、单处理器电路的多线程,或上述的组合。术语“共享存储器电路”包括存储来自多个控制器的部分或全部代码的单存储器电路。术语“组存储器电路”包括与附加存储器相组合地存储来自一或多个控制器的一些或全部代码的存储器电路。
术语“存储器电路”是术语“计算机可读介质”的子集。如本文所用的术语“计算机可读介质”不包括通过介质(如在载波上)传播的暂时性的电信号或电磁信号;术语“计算机可读介质”因此可被认为是有形的和非暂时性的。非暂时性的、有形的计算机可读介质的非限制性例子包括非易失性存储电路(诸如闪存电路或掩模只读存储器电路)、易失性存储器电路(如静态随机存取存储器电路和动态随机存取存储器电路),以及辅助存储装置,如磁存储装置(如磁带或硬盘驱动器)和光存储装置。
本申请中描述的方法和装置可以部分或完全由专用计算机来实现,所述专用计算机通过配置通用计算机来执行在计算机程序中所体现的一或多个特定功能来创建。该计算机程序包括存储在至少一个非暂时性的、有形的计算机可读介质中的处理器可执行指令。该计算机程序还可以包括或依赖于所存储的数据。该计算机程序可以包括与该专用计算机的硬件交互的基本输入/输出系统(BIOS)、与该专用计算机的特定装置进行交互的设备驱动器、一个或多个操作系统、用户应用程序、后台服务和应用程序等等。该计算机程序可以包括:(i)汇编代码;(ii)通过编译器由源代码生成的目标代码;(iii)用于解释器执行的源代码;(iv)由即时编译器编译和执行的源代码;(v)语法分析的描述性文本,如HTML(超文本标记语言)或XML(可扩展标记语言)等。仅作为示例,源代码可以用C、C++、C#、Objective-C、Haskell、Go、SQL、Lisp、ASP、Perl、HTML5、Ada、ASP(动态服务器网页)、Perl、Scala、Erlang、Ruby、Lua、或者语言来写。
权利要求中记载的技术要素均不意图成为由35USC§112(f)条所界定的装置加功能式的技术要素,除非技术要素是使用短语“用于……的装置”或在方法权利要求的情况下使用短语“用于……操作”或“用于……的步骤”来明确记载。

Claims (24)

1.一种操作衬底处理系统的方法,该方法包括:
a)处理布置在处理室内的衬底支撑件上的衬底,其中,在所述处理期间供应前体气体和/或反应气体中的至少一种;
b)从所述处理室移除所述衬底;
c)选择性地供应运载气体和清洗气体到所述处理室;
d)在N个循环期间在所述处理室内生成射频(RF)等离子体,其中,N是大于1的整数,其中,在所述N个循环中的每个循环期间,所述RF等离子体开通持续第一时间段并且关闭持续第二时间段;以及
e)在所述RF等离子体的所述N个循环中的每个循环的至少部分期间供应所述清洗气体。
2.根据权利要求1所述的方法,其中,在所述N个循环的所述第一时间段期间不供应所述清洗气体,并且在所述N个循环的所述第二时间段的至少部分期间供应所述清洗气体。
3.根据权利要求1所述的方法,其中在所述(c)、(d)或者(e)期间不供应所述前体气体和/或所述反应气体中的至少一种。
4.根据权利要求1所述的方法,其中,(a)包括使用RF等离子体来沉积膜。
5.根据权利要求1所述的方法,其中,(a)包括原子层沉积(ALD)和化学气相沉积(CVD)中的至少一种。
6.根据权利要求5所述的方法,其中,所述ALD和CVD中的一种使用RF等离子体。
7.根据权利要求1所述的方法,其中,所述N个循环的占空比是介于25%和75%之间。
8.根据权利要求1所述的方法,其中,在(d)和(e)期间执行(c)。
9.根据权利要求1所述的方法,其中,所述N个循环中的每个循环具有介于1秒和5秒之间的持续时间。
10.根据权利要求1所述的方法,其中,N大于或者等于100并且小于或者等于5000。
11.根据权利要求1所述的方法,其中,所述N个循环的占空比和/或所述N个循环的持续时间中的至少一个在所述N个循环期间是变化的。
12.一种衬底处理系统,其包括:
处理室,其包括在处理期间支撑衬底的衬底支撑件;
气体供应件,其在所述处理期间选择性地供应前体气体和反应气体中的至少一种、运载气体以及清洗气体;
控制器,其被配置以:
a)在从所述处理室移除所述衬底之后,供应所述运载气体到所述处理室;
b)在N个循环期间在所述处理室内生成RF等离子体,其中N是大于1的整数,其中,在所述N个循环中的每个循环期间,所述RF等离子体开通持续第一时间段并且关闭持续第二时间段;以及
c)在所述RF等离子体的所述N个循环中的每个循环的至少部分期间内供应所述清洗气体。
13.根据权利要求12所述的衬底处理系统,其中,在所述N个循环的第一时间段期间不供应所述清洗气体,并且在所述N个循环的第二时间段的至少部分期间供应所述清洗气体。
14.根据权利要求12所述的衬底处理系统,其中,所述控制器被配置以在所述(a)、(b)或者(c)期间不供应所述前体气体和所述反应气体。
15.根据权利要求12所述的衬底处理系统,其中,所述衬底处理系统使用RF等离子体来沉积膜。
16.根据权利要求12所述的衬底处理系统,其中,所述衬底处理系统执行原子层沉积(ALD)和化学气相沉积(CVD)中的一种。
17.根据权利要求16所述的衬底处理系统,其中,所述ALD和CVD中的所述一种使用RF等离子体。
18.根据权利要求12所述的衬底处理系统,其中,所述控制器被配置以控制所述N个循环的占空比介于25%和75%之间。
19.根据权利要求12所述的衬底处理系统,其中,所述控制器被配置以在(b)和(c)期间供应所述运载气体。
20.根据权利要求12所述的衬底处理系统,其中,所述N个循环中的每个循环具有介于1秒和5秒之间的持续时间。
21.根据权利要求12所述的衬底处理系统,其中,N大于或者等于100并且小于或者等于5000。
22.根据权利要求12所述的衬底处理系统,其中,所述N个循环的占空比和/或所述N个循环的持续时间中的至少一个在所述N个循环期间是变化的。
23.一种操作衬底处理系统的方法,该方法包括:
a)从处理室内的衬底支撑件移除衬底;
b)选择性地供应运载气体和清洗气体到所述处理室;
c)在N个循环期间在所述处理室内生成RF等离子体,其中,N是大于1的整数,其中在所述N个循环中的每个循环期间,所述RF等离子体开通持续第一时间段并且关闭持续第二时间段;以及
d)在所述RF等离子体的所述N个循环中的每个循环的至少部分期间供应所述清洗气体。
24.一种衬底处理系统,其包括:
处理室,其包括在处理期间支撑衬底的衬底支撑件;
气体供应件,其供应运载气体和清洗气体;
控制器,其被配置以:
a)在从所述处理室移除了所述衬底之后,供应所述运载气体到所述处理室;
b)在N个循环期间在所述处理室内生成RF等离子体,其中N是大于1的整数,其中在所述N个循环中的每个循环期间,所述RF等离子体开通持续第一时间段并且关闭持续第二时间段;以及
c)在所述RF等离子体的所述N个循环中的每个循环的至少部分期间供应所述清洗气体。
CN201510308675.7A 2014-06-06 2015-06-05 用rf等离子体循环和清洗去除处理室颗粒的系统和方法 Active CN105316653B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/297,745 2014-06-06
US14/297,745 US9478408B2 (en) 2014-06-06 2014-06-06 Systems and methods for removing particles from a substrate processing chamber using RF plasma cycling and purging

Publications (2)

Publication Number Publication Date
CN105316653A true CN105316653A (zh) 2016-02-10
CN105316653B CN105316653B (zh) 2019-05-14

Family

ID=54769100

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201510308675.7A Active CN105316653B (zh) 2014-06-06 2015-06-05 用rf等离子体循环和清洗去除处理室颗粒的系统和方法

Country Status (5)

Country Link
US (2) US9478408B2 (zh)
KR (4) KR102364494B1 (zh)
CN (1) CN105316653B (zh)
SG (1) SG10201504320PA (zh)
TW (1) TWI671424B (zh)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN106435470A (zh) * 2016-11-09 2017-02-22 上海华力微电子有限公司 一种实现自动清洗的烘烤腔结构及其自动清洗方法
CN107863307A (zh) * 2016-09-13 2018-03-30 朗姆研究公司 用于减少在抽吸排气系统中流出物积聚的系统和方法
TWI782003B (zh) * 2017-04-17 2022-11-01 荷蘭商Asm智慧財產控股公司 使用反應物氣體之緩慢下降流量之電漿輔助循環沉積方法

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9478408B2 (en) 2014-06-06 2016-10-25 Lam Research Corporation Systems and methods for removing particles from a substrate processing chamber using RF plasma cycling and purging
US10081869B2 (en) 2014-06-10 2018-09-25 Lam Research Corporation Defect control in RF plasma substrate processing systems using DC bias voltage during movement of substrates
US10047438B2 (en) 2014-06-10 2018-08-14 Lam Research Corporation Defect control and stability of DC bias in RF plasma-based substrate processing systems using molecular reactive purge gas
US10100407B2 (en) * 2014-12-19 2018-10-16 Lam Research Corporation Hardware and process for film uniformity improvement
TW201907475A (zh) * 2017-05-02 2019-02-16 日商東京威力科創股份有限公司 用以降低電漿程序後之表面粒子雜質的製造方法
KR20210087545A (ko) * 2018-11-30 2021-07-12 램 리써치 코포레이션 인터벌 컨디셔닝 퍼징으로 쓰루풋 개선
KR102318538B1 (ko) * 2021-02-23 2021-10-28 (주)세미메카 기판 처리 장치 및 그 운용방법

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5456796A (en) * 1993-06-02 1995-10-10 Applied Materials, Inc. Control of particle generation within a reaction chamber
US20050133059A1 (en) * 2003-12-17 2005-06-23 Taiwan Semiconductor Manufacturing Co., Ltd. Method for cleaning a plasma enhanced CVD chamber
US20060201624A1 (en) * 2002-08-09 2006-09-14 Howard Bradley J Method of in-situ chamber cleaning
US20060237667A1 (en) * 2005-04-21 2006-10-26 Ruzic David N Submicron particle removal
CN1873052A (zh) * 2006-04-14 2006-12-06 大连理工大学 用脉冲射频等离子体控制薄膜制备中的尘埃颗粒的方法
CN101068614A (zh) * 2004-12-03 2007-11-07 Mks仪器股份有限公司 用于下游气体离解的方法和设备
CN101880867A (zh) * 2010-07-02 2010-11-10 北京北方微电子基地设备工艺研究中心有限责任公司 等离子体增强化学气相沉积装置

Family Cites Families (94)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4795880A (en) 1986-09-11 1989-01-03 Hayes James A Low pressure chemical vapor deposition furnace plasma clean apparatus
DE3830249A1 (de) 1988-09-06 1990-03-15 Schott Glaswerke Plasmaverfahren zum beschichten ebener substrate
US5102496A (en) 1989-09-26 1992-04-07 Applied Materials, Inc. Particulate contamination prevention using low power plasma
US5242561A (en) 1989-12-15 1993-09-07 Canon Kabushiki Kaisha Plasma processing method and plasma processing apparatus
US5294320A (en) 1990-02-09 1994-03-15 Applied Materials, Inc. Apparatus for cleaning a shield in a physical vapor deposition chamber
JP3137682B2 (ja) 1991-08-12 2001-02-26 株式会社日立製作所 半導体装置の製造方法
US5232618A (en) 1991-09-30 1993-08-03 E. I. Du Pont De Nemours And Company Substantially constant boiling compositions of difluoromethane and trifluoroethane or perfluoroethane
JP2647585B2 (ja) 1991-11-28 1997-08-27 三菱電機株式会社 自動薄膜計測装置
US5478429A (en) 1993-01-20 1995-12-26 Tokyo Electron Limited Plasma process apparatus
US5486235A (en) 1993-08-09 1996-01-23 Applied Materials, Inc. Plasma dry cleaning of semiconductor processing chambers
US5449432A (en) 1993-10-25 1995-09-12 Applied Materials, Inc. Method of treating a workpiece with a plasma and processing reactor having plasma igniter and inductive coupler for semiconductor fabrication
US5625170A (en) 1994-01-18 1997-04-29 Nanometrics Incorporated Precision weighing to monitor the thickness and uniformity of deposited or etched thin film
EP0697467A1 (en) 1994-07-21 1996-02-21 Applied Materials, Inc. Method and apparatus for cleaning a deposition chamber
US6245189B1 (en) 1994-12-05 2001-06-12 Nordson Corporation High Throughput plasma treatment system
US6375860B1 (en) 1995-03-10 2002-04-23 General Atomics Controlled potential plasma source
US5573597A (en) 1995-06-07 1996-11-12 Sony Corporation Plasma processing system with reduced particle contamination
KR100192489B1 (ko) 1995-12-26 1999-06-15 구본준 용기를 갖는 습식에치 장치의 에치 종말점 측정방법
US5672242A (en) 1996-01-31 1997-09-30 Integrated Device Technology, Inc. High selectivity nitride to oxide etch process
US6465043B1 (en) 1996-02-09 2002-10-15 Applied Materials, Inc. Method and apparatus for reducing particle contamination in a substrate processing chamber
US5902494A (en) 1996-02-09 1999-05-11 Applied Materials, Inc. Method and apparatus for reducing particle generation by limiting DC bias spike
US5858108A (en) 1996-07-15 1999-01-12 Taiwan Semiconductor Manufacturing Company, Ltd Removal of particulate contamination in loadlocks
JP3220383B2 (ja) 1996-07-23 2001-10-22 東京エレクトロン株式会社 プラズマ処理装置及びその方法
US5779807A (en) 1996-10-29 1998-07-14 Applied Materials, Inc. Method and apparatus for removing particulates from semiconductor substrates in plasma processing chambers
TW411527B (en) * 1996-11-14 2000-11-11 Tokyo Electron Ltd Cleaning method for plasma processing system and plasma processing method
US6749717B1 (en) 1997-02-04 2004-06-15 Micron Technology, Inc. Device for in-situ cleaning of an inductively-coupled plasma chambers
US5924058A (en) 1997-02-14 1999-07-13 Applied Materials, Inc. Permanently mounted reference sample for a substrate measurement tool
US5919531A (en) 1997-03-26 1999-07-06 Gelest, Inc. Tantalum and tantalum-based films and methods of making the same
DE19713637C2 (de) 1997-04-02 1999-02-18 Max Planck Gesellschaft Teilchenmanipulierung
JP4120974B2 (ja) 1997-06-17 2008-07-16 キヤノンアネルバ株式会社 薄膜作製方法および薄膜作製装置
US5854138A (en) 1997-07-29 1998-12-29 Cypress Semiconductor Corp. Reduced-particle method of processing a semiconductor and/or integrated circuit
US6352049B1 (en) 1998-02-09 2002-03-05 Applied Materials, Inc. Plasma assisted processing chamber with separate control of species density
DE19814871A1 (de) 1998-04-02 1999-10-07 Max Planck Gesellschaft Verfahren und Vorrichtung zur gezielten Teilchenmanipulierung und -deposition
US6184489B1 (en) 1998-04-13 2001-02-06 Nec Corporation Particle-removing apparatus for a semiconductor device manufacturing apparatus and method of removing particles
JP2000026975A (ja) 1998-07-09 2000-01-25 Komatsu Ltd 表面処理装置
JP4153606B2 (ja) 1998-10-22 2008-09-24 東京エレクトロン株式会社 プラズマエッチング方法およびプラズマエッチング装置
US6286685B1 (en) 1999-03-15 2001-09-11 Seh America, Inc. System and method for wafer thickness sorting
US6194234B1 (en) 1999-06-04 2001-02-27 Taiwan Semiconductor Manufacturing Company Method to evaluate hemisperical grain (HSG) polysilicon surface
JP3639868B2 (ja) 1999-06-29 2005-04-20 株式会社東北テクノアーチ プラズマ中微粒子ダストの処理方法及びその装置
US6972071B1 (en) 1999-07-13 2005-12-06 Nordson Corporation High-speed symmetrical plasma treatment system
US6290821B1 (en) 1999-07-15 2001-09-18 Seagate Technology Llc Sputter deposition utilizing pulsed cathode and substrate bias power
US6237527B1 (en) 1999-08-06 2001-05-29 Axcelis Technologies, Inc. System for improving energy purity and implant consistency, and for minimizing charge accumulation of an implanted substrate
JP4592867B2 (ja) 2000-03-27 2010-12-08 株式会社半導体エネルギー研究所 平行平板形プラズマcvd装置及びドライクリーニングの方法
GB0016562D0 (en) 2000-07-05 2000-08-23 Metryx Limited Apparatus and method for investigating semiconductor wafers
US6428673B1 (en) 2000-07-08 2002-08-06 Semitool, Inc. Apparatus and method for electrochemical processing of a microelectronic workpiece, capable of modifying processing based on metrology
US7102763B2 (en) 2000-07-08 2006-09-05 Semitool, Inc. Methods and apparatus for processing microelectronic workpieces using metrology
US6747734B1 (en) 2000-07-08 2004-06-08 Semitool, Inc. Apparatus and method for processing a microelectronic workpiece using metrology
US6709522B1 (en) 2000-07-11 2004-03-23 Nordson Corporation Material handling system and methods for a multichamber plasma treatment system
US6841033B2 (en) 2001-03-21 2005-01-11 Nordson Corporation Material handling system and method for a multi-workpiece plasma treatment system
JP3555084B2 (ja) 2001-06-11 2004-08-18 Necエレクトロニクス株式会社 半導体基板に対するプラズマ処理方法及び半導体基板のためのプラズマ処理装置
US6790376B1 (en) 2001-07-23 2004-09-14 Advanced Micro Devices, Inc. Process control based upon weight or mass measurements, and systems for accomplishing same
US6708559B2 (en) 2001-09-28 2004-03-23 Infineon Technologies Ag Direct, non-destructive measurement of recess depth in a wafer
US7052622B2 (en) 2001-10-17 2006-05-30 Applied Materials, Inc. Method for measuring etch rates during a release process
US6902620B1 (en) 2001-12-19 2005-06-07 Novellus Systems, Inc. Atomic layer deposition systems and methods
US6843858B2 (en) 2002-04-02 2005-01-18 Applied Materials, Inc. Method of cleaning a semiconductor processing chamber
US6902647B2 (en) 2002-08-29 2005-06-07 Asm International N.V. Method of processing substrates with integrated weighing steps
US6922603B1 (en) 2002-09-26 2005-07-26 Lam Research Corporation System and method for quantifying uniformity patterns for tool development and monitoring
US6642531B1 (en) 2002-12-23 2003-11-04 Intel Corporation Contamination control on lithography components
WO2004095502A2 (en) 2003-03-31 2004-11-04 Tokyo Electron Limited Plasma processing system and method
JP4418193B2 (ja) 2003-08-22 2010-02-17 東京エレクトロン株式会社 パーティクル除去装置及びパーティクル除去方法及びプラズマ処理装置
US8608422B2 (en) 2003-10-08 2013-12-17 Tokyo Electron Limited Particle sticking prevention apparatus and plasma processing apparatus
DE102004007952B3 (de) 2004-02-18 2005-09-01 Infineon Technologies Ag Verfahren zum Bestimmen der Tiefe von in einem Trägersubstrat ausgebildeten Vertiefungen
CN100508133C (zh) 2004-03-22 2009-07-01 三益半导体工业株式会社 旋转蚀刻的工序管理方法及旋转蚀刻装置
US7276135B2 (en) 2004-05-28 2007-10-02 Lam Research Corporation Vacuum plasma processor including control in response to DC bias voltage
US7988816B2 (en) 2004-06-21 2011-08-02 Tokyo Electron Limited Plasma processing apparatus and method
WO2006003962A1 (ja) 2004-07-02 2006-01-12 Ulvac, Inc. エッチング方法及び装置
US20060021633A1 (en) * 2004-07-27 2006-02-02 Applied Materials, Inc. Closed loop clean gas control
KR100672820B1 (ko) 2004-11-12 2007-01-22 삼성전자주식회사 플라즈마를 사용한 피처리체의 처리 방법
US7799138B2 (en) 2006-06-22 2010-09-21 Hitachi Global Storage Technologies Netherlands In-situ method to reduce particle contamination in a vacuum plasma processing tool
US20080053817A1 (en) 2006-09-04 2008-03-06 Tokyo Electron Limited Plasma processing apparatus and plasma processing method
GB0620196D0 (en) 2006-10-11 2006-11-22 Metryx Ltd Measuring apparatus
US20080142481A1 (en) 2006-12-18 2008-06-19 White John M In-situ particle collector
GB0704936D0 (en) 2007-03-14 2007-04-25 Metryx Ltd Measuring apparatus
WO2008154222A1 (en) * 2007-06-06 2008-12-18 Mks Instruments, Inc. Particle reduction through gas and plasma source control
KR100876836B1 (ko) 2007-06-29 2009-01-07 주식회사 하이닉스반도체 반도체 소자의 제조 방법
GB0719460D0 (en) 2007-10-04 2007-11-14 Metryx Ltd Measurement apparatus and method
GB0719469D0 (en) 2007-10-04 2007-11-14 Metryx Ltd Measurement apparatus and method
GB0800227D0 (en) 2008-01-07 2008-02-13 Metryx Ltd Method of controlling semiconductor device fabrication
US8192806B1 (en) 2008-02-19 2012-06-05 Novellus Systems, Inc. Plasma particle extraction process for PECVD
GB0804499D0 (en) 2008-03-11 2008-04-16 Metryx Ltd Measurement apparatus and method
US8551885B2 (en) 2008-08-29 2013-10-08 Novellus Systems, Inc. Method for reducing tungsten roughness and improving reflectivity
US8282983B1 (en) 2008-09-30 2012-10-09 Novellus Systems, Inc. Closed loop control system for RF power balancing of the stations in a multi-station processing tool with shared RF source
US7967913B2 (en) 2008-10-22 2011-06-28 Applied Materials, Inc. Remote plasma clean process with cycled high and low pressure clean steps
US8591659B1 (en) 2009-01-16 2013-11-26 Novellus Systems, Inc. Plasma clean method for deposition chamber
US20110011534A1 (en) 2009-07-17 2011-01-20 Rajinder Dhindsa Apparatus for adjusting an edge ring potential during substrate processing
US20110162674A1 (en) 2009-10-26 2011-07-07 Applied Materials, Inc. In-situ process chamber clean to remove titanium nitride etch by-products
JP5397215B2 (ja) 2009-12-25 2014-01-22 ソニー株式会社 半導体製造装置、半導体装置の製造方法、シミュレーション装置及びシミュレーションプログラム
US9064691B2 (en) * 2010-08-13 2015-06-23 United Microelectronics Corp. Semiconductor process
JP2012096432A (ja) 2010-11-01 2012-05-24 Sony Corp バリアフィルム及びその製造方法
US8666530B2 (en) 2010-12-16 2014-03-04 Electro Scientific Industries, Inc. Silicon etching control method and system
US8501500B2 (en) 2011-06-20 2013-08-06 The Institute of Microelectronics, Chinese Academy of Science Method for monitoring the removal of polysilicon pseudo gates
US9017513B2 (en) 2012-11-07 2015-04-28 Lam Research Corporation Plasma monitoring probe assembly and processing chamber incorporating the same
US9478408B2 (en) 2014-06-06 2016-10-25 Lam Research Corporation Systems and methods for removing particles from a substrate processing chamber using RF plasma cycling and purging
US10081869B2 (en) 2014-06-10 2018-09-25 Lam Research Corporation Defect control in RF plasma substrate processing systems using DC bias voltage during movement of substrates
US10047438B2 (en) 2014-06-10 2018-08-14 Lam Research Corporation Defect control and stability of DC bias in RF plasma-based substrate processing systems using molecular reactive purge gas

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5456796A (en) * 1993-06-02 1995-10-10 Applied Materials, Inc. Control of particle generation within a reaction chamber
US20060201624A1 (en) * 2002-08-09 2006-09-14 Howard Bradley J Method of in-situ chamber cleaning
US20050133059A1 (en) * 2003-12-17 2005-06-23 Taiwan Semiconductor Manufacturing Co., Ltd. Method for cleaning a plasma enhanced CVD chamber
CN101068614A (zh) * 2004-12-03 2007-11-07 Mks仪器股份有限公司 用于下游气体离解的方法和设备
US20060237667A1 (en) * 2005-04-21 2006-10-26 Ruzic David N Submicron particle removal
CN1873052A (zh) * 2006-04-14 2006-12-06 大连理工大学 用脉冲射频等离子体控制薄膜制备中的尘埃颗粒的方法
CN101880867A (zh) * 2010-07-02 2010-11-10 北京北方微电子基地设备工艺研究中心有限责任公司 等离子体增强化学气相沉积装置

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN107863307A (zh) * 2016-09-13 2018-03-30 朗姆研究公司 用于减少在抽吸排气系统中流出物积聚的系统和方法
CN107863307B (zh) * 2016-09-13 2023-08-08 朗姆研究公司 用于减少在抽吸排气系统中流出物积聚的系统和方法
CN106435470A (zh) * 2016-11-09 2017-02-22 上海华力微电子有限公司 一种实现自动清洗的烘烤腔结构及其自动清洗方法
TWI782003B (zh) * 2017-04-17 2022-11-01 荷蘭商Asm智慧財產控股公司 使用反應物氣體之緩慢下降流量之電漿輔助循環沉積方法

Also Published As

Publication number Publication date
TWI671424B (zh) 2019-09-11
KR20220024370A (ko) 2022-03-03
US9899195B2 (en) 2018-02-20
CN105316653B (zh) 2019-05-14
SG10201504320PA (en) 2016-01-28
KR20230006775A (ko) 2023-01-11
US20170011893A1 (en) 2017-01-12
US20150354059A1 (en) 2015-12-10
KR102604675B1 (ko) 2023-11-21
US9478408B2 (en) 2016-10-25
KR20150140572A (ko) 2015-12-16
KR102364494B1 (ko) 2022-02-16
KR102478794B1 (ko) 2022-12-16
KR20230163962A (ko) 2023-12-01
TW201610211A (zh) 2016-03-16

Similar Documents

Publication Publication Date Title
CN105316653A (zh) 用rf等离子体循环和清洗去除处理室颗粒的系统和方法
JP7254042B2 (ja) 基板処理システムのためのdcバイアス回路及びガス供給システム
CN105316651B (zh) 抑制喷头背面寄生等离子体的方法和装置
TWI680020B (zh) 基板洗淨方法及基板洗淨裝置
US10268116B2 (en) Processing liquid supplying apparatus and method of supplying processing liquid
JP6218921B2 (ja) Aldコーティングによるターゲットポンプ内部の保護
TW201532127A (zh) 用於膜之循環式沉積的串接源活化
US20150247238A1 (en) Rf cycle purging to reduce surface roughness in metal oxide and metal nitride films
JP7454773B2 (ja) 自己律速型で有限溶解度の反応を使用した湿式原子層エッチングのための処理システム及びプラットフォーム
TWI234812B (en) Atomic layer deposition methods and atomic layer deposition tools
TW201243977A (en) Load lock assembly and method for particle reduction
KR20170044016A (ko) 원자층 증착을 위한 동적 전구체 도징
KR102623770B1 (ko) 성막 방법
TW201207976A (en) Method of improving film non-uniformity and throughput
JP2019114692A (ja) 成膜方法
CN103943534B (zh) 进气系统及基片处理设备
US11560624B2 (en) Precursor delivery system
CN108475629B (zh) 基片清洗方法、基片清洗装置和团簇生成气体的选择方法
JP2020096042A (ja) 基板処理装置、基板処理方法、および半導体装置の製造方法
JP5781659B1 (ja) 塗布膜形成乾燥装置
TW202117217A (zh) 清潔減少滯留區的隔離閥
KR20200004225A (ko) 플라즈마 원자층 증착공정을 이용한 선택적 박막 증착 방법
Dillenbeck The immersion wet process system
JP2003309156A (ja) 基板除電方法、基板除電装置及び真空処理装置

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant