TW201610211A - 利用射頻電漿循環及清洗由基板處理室移除粒子的系統及方法 - Google Patents

利用射頻電漿循環及清洗由基板處理室移除粒子的系統及方法 Download PDF

Info

Publication number
TW201610211A
TW201610211A TW104117905A TW104117905A TW201610211A TW 201610211 A TW201610211 A TW 201610211A TW 104117905 A TW104117905 A TW 104117905A TW 104117905 A TW104117905 A TW 104117905A TW 201610211 A TW201610211 A TW 201610211A
Authority
TW
Taiwan
Prior art keywords
cycle
during
substrate
gas
plasma
Prior art date
Application number
TW104117905A
Other languages
English (en)
Other versions
TWI671424B (zh
Inventor
康虎
艾里恩 拉芙依
Original Assignee
蘭姆研究公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 蘭姆研究公司 filed Critical 蘭姆研究公司
Publication of TW201610211A publication Critical patent/TW201610211A/zh
Application granted granted Critical
Publication of TWI671424B publication Critical patent/TWI671424B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32862In situ cleaning of vessels and/or internal parts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32926Software, data control or modelling
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • H01J2237/3321CVD [Chemical Vapor Deposition]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/335Cleaning

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Public Health (AREA)
  • Epidemiology (AREA)
  • Health & Medical Sciences (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

操作基板處理系統的系統和方法包含處理基板,該基板配置在處理室內的基板支座上。前驅物氣體及/或反應氣體的其中至少一者係於處理期間供應。基板從處理室移除。載體氣體和清洗氣體係選擇性地供應至處理室。RF電漿於N循環期間產生於處理室中,其中N係大於1的整數。RF電漿於該N循環的每一者期間係開啟一第一週期且關閉一第二週期。清洗氣體係供應於該N循環的每一者的至少部分期間。

Description

利用射頻電漿循環及清洗由基板處理室移除粒子的系統及方法
本揭露內容關於基板處理系統,及更具體而言,關於從基板處理室移除粒子的系統和方法。
在此提供的背景介紹係為了一般地呈現本揭露內容之背景的目的。目前列名發明者的工作成果,在此背景章節中所述之範圍,以及可能未在申請時以其他方式適格作為先前技術之說明的實施態樣,係未明示或暗示承認為對於本揭露內容之先前技術。
基板處理系統可用以在基板上執行膜的沉積及/或蝕刻。基板處理系統通常包含處理室,該處理室具有諸如基座、靜電夾頭、板等的基板支座。基板(諸如半導體晶圓)可配置在基板支座上。在化學氣相沉積(CVD, chemical vapor deposition)或原子層沉積(ALD, atomic layer deposition )的製程中,包含一或多個前驅物的氣體混合物可引入至處理室以沉積膜在基板上。在一些基板處理系統中,射頻(RF, radio frequency)電漿可用以活化化學反應。
一些發生在氣相的化學反應產生粒子,該等粒子在完成處理之後仍可殘留在處理室中。除了於處理期間產生的粒子,粒子亦可由下述原因到達處理室:含塵的上游零件、腔室洩露事件、當更換零件時發生的污染、及/或於維修期間發生的污染。
在一些處理中,於基板係從處理室移除之後,清洗氣體係週期地開啟和關閉以移除在處理室內殘留的粒子。使用清洗氣體循環移除粒子花費相對長的時間(~24小時),且可能無法降低處理室內的粒子到一可接受的水平。
操作基板處理系統的方法包含 a) 處理基板,該基板配置在處理室內的基板支座上,其中,前驅物氣體及/或反應氣體的其中至少一者係於處理期間供應;b) 從處理室移除基板;c) 選擇性地供應載體氣體和清洗氣體至處理室;d) 於N循環期間在處理室中產生RF電漿,其中N係大於1的整數,其中,於該N循環的每一者期間,RF電漿係開啟一第一週期且關閉一第二週期;且 e) 於該N個RF電漿循環的每一者的至少部分期間供應清洗氣體。
在其他的特徵中,清洗氣體於第一週期期間係不供應,而係供應於該N循環的該第二週期的至少部分期間。前驅物氣體及/或反應氣體的其中至少一者於(c)、(d)、或(e)期間係不供應。(a)包含使用RF電漿沉積膜。(a)包含原子層沉積(ALD)和化學氣相沉積(CVD)的其中一者。
在其他的特徵中,ALD和CVD的該其中一者使用RF電漿。N循環的工作循環係介於25%和75%之間。(c)係執行於(d)和(e)期間。
在其他的特徵中,N循環的每一者具有介於1和5秒之間的持續時間。N係大於或等於100且小於或等於5000。該N循環的工作循環及/或該N循環的持續時間之其中至少一者於該N循環期間係被改變。
基板處理系統包含處理室,該處理室包含基板支座以在處理期間支撐基板。氣體供應器於處理期間選擇性地供應前驅物氣體及/或反應氣體的其中至少一者、載體氣體、和清洗氣體。控制器係用於 a) 在基板從處理室中移除之後,供應載體氣體至處理室;b) 於N循環期間在處理室中產生RF電漿,其中N係大於1的整數,其中,於該N循環的每一者期間,RF電漿係開啟一第一週期且關閉一第二週期;及(c) 於該N個RF電漿循環的每一者的至少部分期間供應清洗氣體。
在其他的特徵中,清洗氣體於第一週期期間係不供應,而係供應於該N循環的該第二週期的至少部分期間。
在其他的特徵中,控制器係用於在(a)、(b)、和(c)期間不供應前驅物氣體和反應氣體。基板處理系統使用RF電漿沉積膜。基板處理系統執行原子層沉積(ALD)和化學氣相沉積(CVD)的其中一者。ALD和CVD的該其中一者使用RF電漿。控制器係用於控制N循環的工作循環介於25%和75%之間。
在其他的特徵中,控制器係用於在(b)和(c)期間供應載體氣體。N循環的每一者具有介於1和5秒之間的持續時間。N係大於或等於100且小於或等於5000。該N循環的工作循環及/或該N循環的持續時間之其中至少一者於該N循環期間係被改變。
操作基板處理系統的方法包含 a) 從處理室內的基板支座移除基板;b) 選擇性地供應載體氣體和清洗氣體至處理室;c) 於N循環期間在處理室中產生RF電漿,其中N係大於1的整數,其中,於該N循環的每一者期間,RF電漿開啟一第一週期且關閉一第二週期;及 d) 於該N個RF電漿循環的每一者的至少部分期間供應清洗氣體。
在其他的特徵中,清洗氣體於第一週期期間係不供應,而係供應於該N循環的每一者的該第二週期的至少部分期間。
基板處理系統包含處理室,該處理室包含基板支座以在處理期間支撐基板。氣體供應器供應載體氣體和清洗氣體。控制器係用於 a) 在基板從處理室中移除之後,供應載體氣體至處理室;b) 於N循環期間在處理室中產生RF電漿,其中N係大於1的整數,其中,於該N循環的每一者期間,RF電漿開啟一第一週期且關閉一第二週期;及 c) 於該N個RF電漿循環的每一者的至少部分期間供應清洗氣體。
在其他的特徵中,清洗氣體於第一週期期間係不供應,而係供應於該N循環的該第二週期的至少部分期間。
本揭露內容之進一步的可應用領域將從詳細說明、申請專利範圍和附圖中變得明顯。詳細說明和具體的例子係意圖為僅供說明的目的,而並非意欲限制本揭露內容的範圍。
循環清洗氣體以移除處理室內的粒子係並非很有效率。清洗氣體在處理室中減少粒子之相對低的效率可能部分由於靜電力,其造成粒子附著在處理室的表面上。此外,粒子亦可由於靜電力而聚集,且可卡在處理室之元件(諸如噴淋頭)內部。受靜電力而附著的粒子係難於藉由循環的清洗氣體移除。
本揭露內容關於從處理室移除粒子的系統和方法。如本文所揭露,在移除基板之後,處理室內的粒子可藉由循環RF電漿和清洗氣體而移除。在一些例子中,RF電漿循環的時序係相似於在ALD膜沉積期間使用的循環。
在一些例子中,於粒子移除期間不供應反應物氣體和前驅物。載體氣體係加以供應,且RF電漿係循環地開啟和關閉。連續或脈衝的清洗氣體係用以從處理室移除粒子。
在一些例子中,根據本揭露內容的系統和方法可用以從處理室移除粒子,該處理室用以藉由ALD或PEALD製程沉積膜。示例膜的類型包含SiO2 、SiN、SiCN、SiC、貴金屬、及高K材料,該高K材料包含鑭系元素氧化物、第4族元素金屬氧化物及第5族元素金屬氧化物,雖然可包含其他類型的膜及/或其他製程。例如:本揭露內容亦可用以從處理室移除粒子,該處理室用以藉由CVD或PECVD製程沉積膜。示例膜的類型包含SiO2 、SiN、TEOS、SiC、SiCN、及AHM,雖然可使用其他類型的膜及/或製程。
根據本揭露內容,基板在處理之後移除,載體氣體係加以供應,且RF功率係循環地開啟和關閉以點燃RF電漿。在一些例子中,RF循環可具有與使用於ALD膜沉積之時序相似的時序。在一些例子中,使用機器人或分度機構移除基板。於RF循環期間不供應前驅物和反應氣體。於RF循環期間,連續或脈衝的清洗氣體可用以從處理室移除粒子。
RF循環和清洗可幫助釋放因靜電力而附著在處理室表面上的粒子。該等粒子於RF循環期間被釋放,且與清洗氣體一起離開處理室。此外,因RF電漿而帶電荷的粒子互相排斥,使得粒子聚集受到離開處理室的清洗氣體流吸引。
根據所揭露的內容,RF循環和清洗的優勢包含:顯著減少所需要降低處理室中的粒子數到預先決定數值之下的時間。例如:2-3小時之在此所述的RF循環和清洗比24-48小時之僅氣體循環清洗可具有較佳的粒子減少效能。因此,處理室維修後的調整時間係顯著地縮短。
現參照圖1,其顯示用於使用RF循環和清洗移除機械粒子之基板處理系統10的一個例子。基板處理系統10包含處理室12。氣體可使用氣體分配裝置14(諸如噴淋頭或其他裝置)供應至處理室12。基板18(諸如半導體晶圓)於處理期間可配置在基板支座16上。基板支座16可包含基座、靜電夾頭、機械夾頭或其他類型的基板支座。
氣體遞送系統20可包含一或多個氣體源22-1、22-2、…、及22-N(統稱為氣體源22),其中N係大於1的整數。閥24-1、24-2、…、及24-N(統稱為閥24)、質流控制器26-1、26-2、…、及26-N(統稱為質流控制器26)或其他的流控制裝置,可用以可控制地供應前驅物、反應氣體、惰性氣體、清洗氣體、及其混合物至歧管30,該歧管供應氣體混合物至處理室12。
控制器40可用以監測諸如溫度、壓力等的製程參數(使用感測器41)及控制製程時序。控制器40可用以控制製程裝置,諸如氣體遞送系統20、基座加熱器42、及/或電漿產生器46。控制器40亦可用於使用閥50和幫浦52以抽空處理室12。
RF電漿產生器46在處理室中產生RF電漿。RF電漿產生器46可為電感式或電容式的RF電漿產生器。在一些例子中,RF電漿產生器46可包含RF供應器60及匹配和分配網路64。雖然RF電漿產生器46係顯示為連接到氣體分配裝置14而基座係接地或浮接,但RF電漿產生器46可連接至基板支座16而氣體分配裝置14可為接地或浮接。
現參照圖2,其顯示執行原子層沉積(ALD)之方法的例子。雖然ALD製程係出於說明的目的顯示,但在此描述的系統和方法可應用至其他類型的製程,該等製程包含但不限於CVD、PECVD、PEALD等。
在104中,基板係配置於處理室中。處理氣體(諸如一或多個載體氣體或惰性氣體)可供應至處理室。在106中,於第一週期,第一前驅物係供應至處理室。在第一週期之後,在110清洗處理室。在114中,於第二週期,第二前驅物可供應至處理室以與第一前驅物反應。交替地或附加地,在處理室中,RF電漿可被點燃以轉換第一前驅物。在第二週期之後,在116清洗處理室。在120中,執行一或多個額外的ALD循環,且控制返回到106。否則,當ALD循環係完成時,控制即停止。當完成處理時,基板係由處理室移除。
現參照圖3,其顯示從基板處理室移除粒子之方法的例子。在此描述的系統和方法係於基板從處理室移除之後執行。在此例子中,清洗氣體於RF電漿循環及清洗期間保持開啟。在204中,基板從處理室移除。在206中,供應載體氣體至處理室。在210中,供應清洗氣體至處理室。在214中,點燃RF電漿一預定的週期。在216中,於預定的週期之後熄滅RF電漿。當執行額外的RF電漿循環時(在220中所決定),控制從206繼續。當預定數目的循環完成時,控制即停止。
現參照圖4,其顯示從基板處理室移除粒子之方法的另一個例子。在此例子中,於關閉RF訊號之後,清洗氣體係循環地開啟和關閉。在304中,基板從處理室移除。在306中,供應載體氣體至處理室。在314中,點燃RF電漿一第一預定的週期。在316中,於第一預定的週期之後熄滅RF電漿。在318中,於熄滅RF電漿之後,在第二預定的週期以清洗氣體清洗處理室。若需要額外的RF電漿和清洗循環,控制從306繼續。當完成足夠數目的循環時,控制結束。
現參照圖5及圖6,其顯示說明控制訊號之時序之例子的圖,該控制訊號用於供應前驅物、反應氣體、載體氣體、清洗氣體、及RF。顯示於圖5中的時序對應描述於圖3中的方法。前驅物和反應氣體係不供應至處理室。載體氣體和清洗氣體係供應於RF脈衝期間。
顯示於圖6中的時序對應描述於圖4中的方法。於RF電漿循環和清洗期間,前驅物和反應氣體係不供應至處理室。於RF夾持(RF chucking)期間供應載體氣體。在RF脈衝的下降邊緣之後,清洗氣體脈衝輸送一預定的週期。雖然清洗氣體在隨後RF脈衝的前緣之前係顯示為停止一預定的週期,但清洗氣體亦可供應直到隨後RF脈衝的前緣或正好在隨後之RF脈衝的前緣之後。在一些例子中,RF循環的工作循環係介於25%和75%之間。在其他的例子中,工作循環和週期於粒子移除期間可被改變。改變工作循環及/或持續時間可幫助去除粒子。在一些例子中,RF循環係1至5秒長,雖然可使用其他的持續時間。在一些例子中,執行100至5000個循環,雖然可使用額外的或較少的循環。在一些例子中,執行2000至3000個循環,雖然可使用額外的或較少的循環。
在一個例子中,於腔室洩漏事件之後,處理室係滿是灰塵的。十小時的僅清洗氣體循環降低腔室機械粒子數至~1000附加物。此方式需要另外22小時的僅清洗氣體循環以進一步降低腔室機械粒子數至<30附加物@0.06 µm,如表I中所示: 表I
在另一個例子中,對於噴淋頭洩漏問題之後的ALD Ox過程,基板處理工具有高粒子數問題。使用24小時的僅清洗氣體循環,處理室的機械粒子數係仍在~100。接著,使用RF循環和清洗2小時,處理室的機械粒子數降至~30附加物。另外一小時的RF循環和清洗進一步降低機械粒子數,如表II中所示: 表II
在另一個例子中,RF循環和清洗1小時顯著地使2000埃的膜內粒子數從~800附加物降低為~100附加物,及降低處理室的機械粒子數。額外2小時的RF循環和清洗進一步降低2000 Å的膜內粒子數,如表III中所示: 表III
以上所述在本質上僅為說明且係決非意欲限制本揭露內容、其應用、或使用。本揭露內容的廣泛教示可以多種方式執行。因此,雖然此揭露內容包含特殊的例子,但本揭露內容的真實範圍應不被如此限制,因為其他的修正將在研讀圖示、說明書和隨後申請專利範圍後變為顯而易見。當在此使用,片語「A、B、和C的其中至少一者」應被理解為表示使用非排他邏輯「或」之邏輯(A或B或C),且不應理解為表示「A的其中至少一者、B的其中至少一者、及C的其中至少一者」。應理解方法中的一或多個步驟可以不同的順序(或同時)執行而不改變本揭露內容的原理。
在本申請案中包含下列定義:術語「控制器」可以術語「電路」取代。術語「控制器」可意指、包含下列或為下列的部分:特殊應用積體電路(ASIC, Application Specific Integrated Circuit);數位、類比、或混合數位/類比的離散電路;數位、類比、或混合數位/類比的整合電路;組合邏輯電路;現場可程式閘陣列(FPGA, field programmable gate array);執行碼的處理器電路(共享的、專用的、或群組的);儲存碼的記憶體電路(共享的、專用的、或群組的),該碼由處理器電路執行;其他適合的硬體元件,其提供所描述的功能性;或上述之一些或所有的組合,諸如在系統單晶片內。
控制器可包含一或多個界面電路。在一些例子中,界面電路可包含有線或無線的界面,其連接至區域網路(LAN, local area network)、網際網路、廣域網路(WAN, wide area network)、或其組合。本揭露內容之任何給定之控制器的功能性可分布在多重控制器之間,該多重控制器係由界面電路連接。例如:多重控制器可允許負載平衡。在進一步的例子中,伺服器(亦被稱作遠程、或雲端)控制器可代表客戶端控制器完成一些功能性。
如以上使用的術語「碼」可包含軟體、韌體、及/或微碼,且可意指程式、常用程式、函數、類別、資料結構、及/或物件。術語「共享處理器電路」涵蓋單一的處理器電路,其執行來自多重控制器之一些或所有的碼。術語「群組處理器電路」涵蓋處理器電路,其結合額外的處理器電路執行來自一或多個控制器之一些或所有的碼。參考多重處理器電路,其涵蓋離散晶粒上的多重處理器電路、單一晶粒上的多重處理器電路、單一處理器電路的多核、單一處理器電路的多個執行緒、或上述組合。術語「共享記憶體電路」涵蓋單一記憶體電路,其儲存來自多重控制器之一些或所有的碼。術語「群組記憶體電路」涵蓋記憶體電路,其結合額外的記憶體儲存來自一或多個控制器之一些或所有的碼。
術語「記憶體電路」係術語「電腦可讀媒體」的子集。如在此使用的術語「電腦可讀媒體」不涵蓋傳播通過一介質(諸如在載波上)的暫時之電或電磁的訊號;術語「電腦可讀媒體」可因此被認為實體的及非暫態的。一個非暫態、實體的電腦可讀媒體之非限制的例子包含非揮發性記憶體電路(諸如快閃記憶體電路或遮罩唯讀記憶體電路)、揮發性記憶體電路(諸如靜態隨機存取記憶體電路及動態隨機存取記憶體電路)、及輔助儲存器,諸如磁性儲存器(諸如磁帶或硬磁碟驅動機)和光儲存。
描述於本申請案的裝置和方法可為部分地或全部地由特殊用途電腦執行,該特殊用途電腦藉由配置通用電腦以實行體現於電腦程式中的一或多個特殊功能而建立。電腦程式包含處理器可執行的指令,該指令係儲存在至少一個非暫態的、實體的電腦可讀媒體中。電腦程式亦可包含或依賴儲存的資料。電腦程式可包含:基本輸入/輸出系統(BIOS, basic input/output system),其與特別用途電腦的硬體互相作用;驅動程式,與特別用途電腦之特定裝置互相作用;一或多個作業系統;用戶應用程序;後台服務及應用等。電腦程式可包含:(i) 組合碼;(ii)目標碼,其由編譯器從原始碼產生;(iii)原始碼,其由解譯器執行;(iv) 原始碼,其由及時編譯器編譯和執行;(v) 用於剖析之說明註記,諸如超文件標示語言(HTML, hypertext markup language)或可延伸標示語言(XML, extensible markup language)等。僅作為例子, 原始碼可以下列方式撰寫:C、C++、C#、Objective-C、Haskell、Go、SQL、Lisp、Java®、ASP、Perl、Javascript®、HTML5、Ada、主動伺服器頁(ASP, active server pages)、Perl、Scala、Erlang、Ruby、Flash®、Visual Basic®、Lua、或Python®。
記載於申請專利範圍中的要素,除非一個要素係使用片語「裝置用於」明確地記載,或在使用片語「操作用於」或「步驟用於」之方法權利要求的情況中,無意圖解釋為手段功能用語。
10‧‧‧基板處理系統
12‧‧‧處理室
14‧‧‧氣體分配裝置
16‧‧‧基板支座
18‧‧‧基板
20‧‧‧氣體遞送系統
22‧‧‧氣體源
24‧‧‧閥
26‧‧‧質流控制器
30‧‧‧歧管
40‧‧‧控制器
41‧‧‧感測器
42‧‧‧加熱器
46‧‧‧電漿產生器
50‧‧‧閥
52‧‧‧幫浦
60‧‧‧RF供應器
64‧‧‧匹配和分配網路
本揭露內容從詳細說明和隨附圖式可更完全了解,其中:
圖1係根據本揭露內容基板處理系統之例子的功能方塊圖;
圖2係說明執行原子層沉積(ALD)之方法的例子之流程圖;
圖3係說明根據本揭露內容從基板處理室移除粒子之方法的例子之流程圖;
圖4係說明根據本揭露內容從基板處理室移除粒子之方法的另一例子之流程圖;
圖5及6係說明根據本揭露內容當從處理室移除粒子時控制訊號之時序的圖,該等訊號用於供應前驅物、反應氣體、載體氣體、清洗氣體、和RF電漿。
在圖示中,參考數字可被再次使用以識別相似的及/或相同的元件。

Claims (24)

  1. 一種操作基板處理系統的方法,包含: a)          處理一基板,該基板配置在一處理室內的一基板支座上,其中,前驅物氣體及/或反應氣體的其中至少一者係於該處理期間供應; b)         從該處理室移除該基板; c)          選擇性地供應載體氣體及清洗氣體至該處理室; d)         於N循環期間在該處理室中產生RF電漿,其中N係大於1的一整數,其中,該RF電漿於該N循環的每一者期間係開啟一第一週期且關閉一第二週期;以及 e)          於RF電漿的該N循環的每一者的至少部分期間,供應該清洗氣體。
  2. 如申請專利範圍第1項之操作基板處理系統的方法,其中,該清洗氣體於該第一週期期間係不供應,而於該N循環的該第二週期的至少部分期間係加以供應。
  3. 如申請專利範圍第1項之操作基板處理系統的方法,其中,該前驅物氣體及/或該反應氣體的該其中至少一者於(c)、(d)或(e)期間係不供應。
  4. 如申請專利範圍第1項之操作基板處理系統的方法,其中,(a)包含使用RF電漿沉積膜。
  5. 如申請專利範圍第1項之操作基板處理系統的方法,其中,(a)包含原子層沉積(ALD)和化學氣相沉積(CVD)的其中一者。
  6. 如申請專利範圍第5項之操作基板處理系統的方法,其中,該ALD和該CVD的該其中一者使用RF電漿。
  7. 如申請專利範圍第1項之操作基板處理系統的方法,其中,該N循環的工作循環係介於25%和75%之間。
  8. 如申請專利範圍第1項之操作基板處理系統的方法,其中,於(d)和(e)期間執行(c)。
  9. 如申請專利範圍第1項之操作基板處理系統的方法,其中,該N循環的每一者具有介於1和5秒之間的持續時間。
  10. 如申請專利範圍第1項之操作基板處理系統的方法,其中,N係大於或等於100且小於或等於5000。
  11. 如申請專利範圍第1項之操作基板處理系統的方法,其中,該N循環的工作循環及/或該N循環的持續時間之其中至少一者於該N循環期間係被改變。
  12. 一種基板處理系統,包含: 一處理室,包含一基板支座以於處理期間支撐一基板; 一氣體供應器,於該處理期間選擇性地供應前驅物氣體和反應氣體的其中至少一者、載體氣體、及清洗氣體; 一控制器,用於 a)        在該基板係從該處理室移除之後,供應該載體氣體至該處理室; b)       於N循環期間在該處理室中產生RF電漿,其中N係大於1的一整數,其中,在該N循環的每一者期間,該RF電漿係開啟一第一週期且關閉一第二週期;以及 c)        於RF電漿的該N循環的每一者的至少部分期間,供應該清洗氣體。
  13. 如申請專利範圍第12項之基板處理系統,其中,該清洗氣體於該第一週期期間係不供應,而於該N循環的該第二週期的至少部分期間係加以供應。
  14. 如申請專利範圍第12項之基板處理系統,其中,該控制器係建構成於(a)、(b)及(c)期間不供應該前驅物氣體和該反應氣體。
  15. 如申請專利範圍第12項之基板處理系統,其中,該基板處理系統使用RF電漿沉積膜。
  16. 如申請專利範圍第12項之基板處理系統,其中,該基板處理系統執行原子層沉積(ALD)和化學氣相沉積(CVD)的其中一者。
  17. 如申請專利範圍第16項之基板處理系統,其中,該ALD和該CVD的該其中一者使用RF電漿。
  18. 如申請專利範圍第12項之基板處理系統,其中,該控制器係用於控制該N循環的工作循環介於25%和75%之間。
  19. 如申請專利範圍第12項之基板處理系統,其中,該控制器係建構成於(b)和(c)期間供應該載體氣體。
  20. 如申請專利範圍第12項之基板處理系統,其中,該N循環的每一者具有介於1和5秒之間的持續時間。
  21. 如申請專利範圍第12項之基板處理系統,其中,N係大於或等於100且小於或等於5000。
  22. 如申請專利範圍第12項之基板處理系統,其中,該N循環的工作循環及/或該N循環的持續時間之其中至少一者於該N循環期間係被改變。
  23. 一種操作基板處理系統的方法,包含: a)       從一處理室中的一基板支座移除一基板; b)      選擇性地供應載體氣體及清洗氣體至該處理室; c)       於N循環期間在該處理室中產生RF電漿,其中N係大於1的一整數,其中,於該N循環的每一者期間,該RF電漿係開啟一第一週期且關閉一第二週期;以及 d)    於RF電漿的該N循環的每一者的至少部分期間,供應該清洗氣體。
  24. 一種基板處理系統,包含: 一處理室,包含一基板支座以於處理期間支撐一基板; 一氣體供應器,供應載體氣體和清洗氣體; 一控制器,用於 a)        在該基板係從該處理室移除之後,供應該載體氣體至該處理室; b)       於N循環期間在該處理室中產生RF電漿,其中N係大於1的一整數,其中,於該N循環的每一者期間,該RF電漿係開啟一第一週期且關閉一第二週期。 c)        於RF電漿的該N循環的每一者的至少部分期間,供應該清洗氣體。
TW104117905A 2014-06-06 2015-06-03 利用射頻電漿循環及清洗由基板處理室移除粒子的系統及方法 TWI671424B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/297,745 2014-06-06
US14/297,745 US9478408B2 (en) 2014-06-06 2014-06-06 Systems and methods for removing particles from a substrate processing chamber using RF plasma cycling and purging

Publications (2)

Publication Number Publication Date
TW201610211A true TW201610211A (zh) 2016-03-16
TWI671424B TWI671424B (zh) 2019-09-11

Family

ID=54769100

Family Applications (1)

Application Number Title Priority Date Filing Date
TW104117905A TWI671424B (zh) 2014-06-06 2015-06-03 利用射頻電漿循環及清洗由基板處理室移除粒子的系統及方法

Country Status (5)

Country Link
US (2) US9478408B2 (zh)
KR (4) KR102364494B1 (zh)
CN (1) CN105316653B (zh)
SG (1) SG10201504320PA (zh)
TW (1) TWI671424B (zh)

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9478408B2 (en) 2014-06-06 2016-10-25 Lam Research Corporation Systems and methods for removing particles from a substrate processing chamber using RF plasma cycling and purging
US10047438B2 (en) 2014-06-10 2018-08-14 Lam Research Corporation Defect control and stability of DC bias in RF plasma-based substrate processing systems using molecular reactive purge gas
US10081869B2 (en) 2014-06-10 2018-09-25 Lam Research Corporation Defect control in RF plasma substrate processing systems using DC bias voltage during movement of substrates
US10100407B2 (en) * 2014-12-19 2018-10-16 Lam Research Corporation Hardware and process for film uniformity improvement
US11332824B2 (en) * 2016-09-13 2022-05-17 Lam Research Corporation Systems and methods for reducing effluent build-up in a pumping exhaust system
CN106435470A (zh) * 2016-11-09 2017-02-22 上海华力微电子有限公司 一种实现自动清洗的烘烤腔结构及其自动清洗方法
US9984869B1 (en) * 2017-04-17 2018-05-29 Asm Ip Holding B.V. Method of plasma-assisted cyclic deposition using ramp-down flow of reactant gas
WO2018204500A1 (en) * 2017-05-02 2018-11-08 Tokyo Electron Limited Manufacturing methods to reduce surface particle impurities after a plasma process
SG11202105127TA (en) * 2018-11-30 2021-06-29 Lam Res Corp Throughput improvement with interval conditioning purging
KR102318538B1 (ko) * 2021-02-23 2021-10-28 (주)세미메카 기판 처리 장치 및 그 운용방법

Family Cites Families (101)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4795880A (en) 1986-09-11 1989-01-03 Hayes James A Low pressure chemical vapor deposition furnace plasma clean apparatus
DE3830249A1 (de) 1988-09-06 1990-03-15 Schott Glaswerke Plasmaverfahren zum beschichten ebener substrate
US5102496A (en) 1989-09-26 1992-04-07 Applied Materials, Inc. Particulate contamination prevention using low power plasma
JP2775345B2 (ja) 1989-12-15 1998-07-16 キヤノン株式会社 プラズマ処理法及びプラズマ処理装置
US5294320A (en) 1990-02-09 1994-03-15 Applied Materials, Inc. Apparatus for cleaning a shield in a physical vapor deposition chamber
JP3137682B2 (ja) 1991-08-12 2001-02-26 株式会社日立製作所 半導体装置の製造方法
US5232618A (en) 1991-09-30 1993-08-03 E. I. Du Pont De Nemours And Company Substantially constant boiling compositions of difluoromethane and trifluoroethane or perfluoroethane
JP2647585B2 (ja) 1991-11-28 1997-08-27 三菱電機株式会社 自動薄膜計測装置
US5478429A (en) 1993-01-20 1995-12-26 Tokyo Electron Limited Plasma process apparatus
US5456796A (en) * 1993-06-02 1995-10-10 Applied Materials, Inc. Control of particle generation within a reaction chamber
US5486235A (en) 1993-08-09 1996-01-23 Applied Materials, Inc. Plasma dry cleaning of semiconductor processing chambers
US5449432A (en) 1993-10-25 1995-09-12 Applied Materials, Inc. Method of treating a workpiece with a plasma and processing reactor having plasma igniter and inductive coupler for semiconductor fabrication
US5625170A (en) 1994-01-18 1997-04-29 Nanometrics Incorporated Precision weighing to monitor the thickness and uniformity of deposited or etched thin film
EP0697467A1 (en) 1994-07-21 1996-02-21 Applied Materials, Inc. Method and apparatus for cleaning a deposition chamber
US6245189B1 (en) 1994-12-05 2001-06-12 Nordson Corporation High Throughput plasma treatment system
US6375860B1 (en) 1995-03-10 2002-04-23 General Atomics Controlled potential plasma source
US5573597A (en) 1995-06-07 1996-11-12 Sony Corporation Plasma processing system with reduced particle contamination
KR100192489B1 (ko) 1995-12-26 1999-06-15 구본준 용기를 갖는 습식에치 장치의 에치 종말점 측정방법
US5672242A (en) 1996-01-31 1997-09-30 Integrated Device Technology, Inc. High selectivity nitride to oxide etch process
US5902494A (en) 1996-02-09 1999-05-11 Applied Materials, Inc. Method and apparatus for reducing particle generation by limiting DC bias spike
US6465043B1 (en) 1996-02-09 2002-10-15 Applied Materials, Inc. Method and apparatus for reducing particle contamination in a substrate processing chamber
US5858108A (en) 1996-07-15 1999-01-12 Taiwan Semiconductor Manufacturing Company, Ltd Removal of particulate contamination in loadlocks
JP3220383B2 (ja) 1996-07-23 2001-10-22 東京エレクトロン株式会社 プラズマ処理装置及びその方法
US5779807A (en) 1996-10-29 1998-07-14 Applied Materials, Inc. Method and apparatus for removing particulates from semiconductor substrates in plasma processing chambers
EP0933806A4 (en) * 1996-11-14 2003-01-22 Tokyo Electron Ltd CLEANING A PLASMA APPARATUS AND TREATMENT
US6749717B1 (en) 1997-02-04 2004-06-15 Micron Technology, Inc. Device for in-situ cleaning of an inductively-coupled plasma chambers
US5924058A (en) 1997-02-14 1999-07-13 Applied Materials, Inc. Permanently mounted reference sample for a substrate measurement tool
US5919531A (en) 1997-03-26 1999-07-06 Gelest, Inc. Tantalum and tantalum-based films and methods of making the same
DE19713637C2 (de) 1997-04-02 1999-02-18 Max Planck Gesellschaft Teilchenmanipulierung
JP4120974B2 (ja) 1997-06-17 2008-07-16 キヤノンアネルバ株式会社 薄膜作製方法および薄膜作製装置
US5854138A (en) 1997-07-29 1998-12-29 Cypress Semiconductor Corp. Reduced-particle method of processing a semiconductor and/or integrated circuit
US6352049B1 (en) 1998-02-09 2002-03-05 Applied Materials, Inc. Plasma assisted processing chamber with separate control of species density
DE19814871A1 (de) 1998-04-02 1999-10-07 Max Planck Gesellschaft Verfahren und Vorrichtung zur gezielten Teilchenmanipulierung und -deposition
US6184489B1 (en) 1998-04-13 2001-02-06 Nec Corporation Particle-removing apparatus for a semiconductor device manufacturing apparatus and method of removing particles
JP2000026975A (ja) 1998-07-09 2000-01-25 Komatsu Ltd 表面処理装置
JP4153606B2 (ja) 1998-10-22 2008-09-24 東京エレクトロン株式会社 プラズマエッチング方法およびプラズマエッチング装置
US6286685B1 (en) 1999-03-15 2001-09-11 Seh America, Inc. System and method for wafer thickness sorting
US6194234B1 (en) 1999-06-04 2001-02-27 Taiwan Semiconductor Manufacturing Company Method to evaluate hemisperical grain (HSG) polysilicon surface
JP3639868B2 (ja) 1999-06-29 2005-04-20 株式会社東北テクノアーチ プラズマ中微粒子ダストの処理方法及びその装置
US6972071B1 (en) 1999-07-13 2005-12-06 Nordson Corporation High-speed symmetrical plasma treatment system
US6290821B1 (en) 1999-07-15 2001-09-18 Seagate Technology Llc Sputter deposition utilizing pulsed cathode and substrate bias power
US6237527B1 (en) 1999-08-06 2001-05-29 Axcelis Technologies, Inc. System for improving energy purity and implant consistency, and for minimizing charge accumulation of an implanted substrate
JP4592867B2 (ja) 2000-03-27 2010-12-08 株式会社半導体エネルギー研究所 平行平板形プラズマcvd装置及びドライクリーニングの方法
GB0016562D0 (en) 2000-07-05 2000-08-23 Metryx Limited Apparatus and method for investigating semiconductor wafers
US6428673B1 (en) 2000-07-08 2002-08-06 Semitool, Inc. Apparatus and method for electrochemical processing of a microelectronic workpiece, capable of modifying processing based on metrology
US6747734B1 (en) 2000-07-08 2004-06-08 Semitool, Inc. Apparatus and method for processing a microelectronic workpiece using metrology
US7102763B2 (en) 2000-07-08 2006-09-05 Semitool, Inc. Methods and apparatus for processing microelectronic workpieces using metrology
US6709522B1 (en) 2000-07-11 2004-03-23 Nordson Corporation Material handling system and methods for a multichamber plasma treatment system
US6841033B2 (en) 2001-03-21 2005-01-11 Nordson Corporation Material handling system and method for a multi-workpiece plasma treatment system
JP3555084B2 (ja) 2001-06-11 2004-08-18 Necエレクトロニクス株式会社 半導体基板に対するプラズマ処理方法及び半導体基板のためのプラズマ処理装置
US6790376B1 (en) 2001-07-23 2004-09-14 Advanced Micro Devices, Inc. Process control based upon weight or mass measurements, and systems for accomplishing same
US6708559B2 (en) 2001-09-28 2004-03-23 Infineon Technologies Ag Direct, non-destructive measurement of recess depth in a wafer
US7052622B2 (en) 2001-10-17 2006-05-30 Applied Materials, Inc. Method for measuring etch rates during a release process
US6902620B1 (en) 2001-12-19 2005-06-07 Novellus Systems, Inc. Atomic layer deposition systems and methods
US6843858B2 (en) 2002-04-02 2005-01-18 Applied Materials, Inc. Method of cleaning a semiconductor processing chamber
US20040025903A1 (en) * 2002-08-09 2004-02-12 Howard Bradley J. Method of in-situ chamber cleaning
US6902647B2 (en) 2002-08-29 2005-06-07 Asm International N.V. Method of processing substrates with integrated weighing steps
US6922603B1 (en) 2002-09-26 2005-07-26 Lam Research Corporation System and method for quantifying uniformity patterns for tool development and monitoring
US6642531B1 (en) 2002-12-23 2003-11-04 Intel Corporation Contamination control on lithography components
WO2004095502A2 (en) 2003-03-31 2004-11-04 Tokyo Electron Limited Plasma processing system and method
JP4418193B2 (ja) 2003-08-22 2010-02-17 東京エレクトロン株式会社 パーティクル除去装置及びパーティクル除去方法及びプラズマ処理装置
US8608422B2 (en) 2003-10-08 2013-12-17 Tokyo Electron Limited Particle sticking prevention apparatus and plasma processing apparatus
US7207339B2 (en) * 2003-12-17 2007-04-24 Taiwan Semiconductor Manufacturing Co., Ltd. Method for cleaning a plasma enhanced CVD chamber
DE102004007952B3 (de) 2004-02-18 2005-09-01 Infineon Technologies Ag Verfahren zum Bestimmen der Tiefe von in einem Trägersubstrat ausgebildeten Vertiefungen
US7659212B2 (en) 2004-03-22 2010-02-09 Mimasu Semiconductor Industry Co., Ltd. Process control method in spin etching and spin etching apparatus
US7276135B2 (en) 2004-05-28 2007-10-02 Lam Research Corporation Vacuum plasma processor including control in response to DC bias voltage
US7988816B2 (en) 2004-06-21 2011-08-02 Tokyo Electron Limited Plasma processing apparatus and method
JP4796965B2 (ja) 2004-07-02 2011-10-19 株式会社アルバック エッチング方法及び装置
US20060021633A1 (en) * 2004-07-27 2006-02-02 Applied Materials, Inc. Closed loop clean gas control
KR100672820B1 (ko) 2004-11-12 2007-01-22 삼성전자주식회사 플라즈마를 사용한 피처리체의 처리 방법
US20060118240A1 (en) * 2004-12-03 2006-06-08 Applied Science And Technology, Inc. Methods and apparatus for downstream dissociation of gases
US7528386B2 (en) * 2005-04-21 2009-05-05 Board Of Trustees Of University Of Illinois Submicron particle removal
CN1873052A (zh) * 2006-04-14 2006-12-06 大连理工大学 用脉冲射频等离子体控制薄膜制备中的尘埃颗粒的方法
US7799138B2 (en) 2006-06-22 2010-09-21 Hitachi Global Storage Technologies Netherlands In-situ method to reduce particle contamination in a vacuum plasma processing tool
US20080053817A1 (en) 2006-09-04 2008-03-06 Tokyo Electron Limited Plasma processing apparatus and plasma processing method
GB0620196D0 (en) 2006-10-11 2006-11-22 Metryx Ltd Measuring apparatus
US20080142481A1 (en) 2006-12-18 2008-06-19 White John M In-situ particle collector
GB0704936D0 (en) 2007-03-14 2007-04-25 Metryx Ltd Measuring apparatus
WO2008154222A1 (en) * 2007-06-06 2008-12-18 Mks Instruments, Inc. Particle reduction through gas and plasma source control
KR100876836B1 (ko) 2007-06-29 2009-01-07 주식회사 하이닉스반도체 반도체 소자의 제조 방법
GB0719469D0 (en) 2007-10-04 2007-11-14 Metryx Ltd Measurement apparatus and method
GB0719460D0 (en) 2007-10-04 2007-11-14 Metryx Ltd Measurement apparatus and method
GB0800227D0 (en) 2008-01-07 2008-02-13 Metryx Ltd Method of controlling semiconductor device fabrication
US8192806B1 (en) 2008-02-19 2012-06-05 Novellus Systems, Inc. Plasma particle extraction process for PECVD
GB0804499D0 (en) 2008-03-11 2008-04-16 Metryx Ltd Measurement apparatus and method
US8551885B2 (en) 2008-08-29 2013-10-08 Novellus Systems, Inc. Method for reducing tungsten roughness and improving reflectivity
US8282983B1 (en) 2008-09-30 2012-10-09 Novellus Systems, Inc. Closed loop control system for RF power balancing of the stations in a multi-station processing tool with shared RF source
US7967913B2 (en) 2008-10-22 2011-06-28 Applied Materials, Inc. Remote plasma clean process with cycled high and low pressure clean steps
US8591659B1 (en) 2009-01-16 2013-11-26 Novellus Systems, Inc. Plasma clean method for deposition chamber
US20110011534A1 (en) 2009-07-17 2011-01-20 Rajinder Dhindsa Apparatus for adjusting an edge ring potential during substrate processing
US20110162674A1 (en) 2009-10-26 2011-07-07 Applied Materials, Inc. In-situ process chamber clean to remove titanium nitride etch by-products
JP5397215B2 (ja) 2009-12-25 2014-01-22 ソニー株式会社 半導体製造装置、半導体装置の製造方法、シミュレーション装置及びシミュレーションプログラム
CN101880867B (zh) * 2010-07-02 2012-12-26 北京北方微电子基地设备工艺研究中心有限责任公司 等离子体增强化学气相沉积装置
US9064691B2 (en) * 2010-08-13 2015-06-23 United Microelectronics Corp. Semiconductor process
JP2012096432A (ja) 2010-11-01 2012-05-24 Sony Corp バリアフィルム及びその製造方法
US8666530B2 (en) 2010-12-16 2014-03-04 Electro Scientific Industries, Inc. Silicon etching control method and system
US8501500B2 (en) 2011-06-20 2013-08-06 The Institute of Microelectronics, Chinese Academy of Science Method for monitoring the removal of polysilicon pseudo gates
US9017513B2 (en) 2012-11-07 2015-04-28 Lam Research Corporation Plasma monitoring probe assembly and processing chamber incorporating the same
US9478408B2 (en) 2014-06-06 2016-10-25 Lam Research Corporation Systems and methods for removing particles from a substrate processing chamber using RF plasma cycling and purging
US10081869B2 (en) 2014-06-10 2018-09-25 Lam Research Corporation Defect control in RF plasma substrate processing systems using DC bias voltage during movement of substrates
US10047438B2 (en) 2014-06-10 2018-08-14 Lam Research Corporation Defect control and stability of DC bias in RF plasma-based substrate processing systems using molecular reactive purge gas

Also Published As

Publication number Publication date
CN105316653B (zh) 2019-05-14
SG10201504320PA (en) 2016-01-28
KR20220024370A (ko) 2022-03-03
KR20230163962A (ko) 2023-12-01
TWI671424B (zh) 2019-09-11
KR102364494B1 (ko) 2022-02-16
US20150354059A1 (en) 2015-12-10
CN105316653A (zh) 2016-02-10
US9478408B2 (en) 2016-10-25
US20170011893A1 (en) 2017-01-12
KR20150140572A (ko) 2015-12-16
KR102478794B1 (ko) 2022-12-16
KR102604675B1 (ko) 2023-11-21
KR20230006775A (ko) 2023-01-11
US9899195B2 (en) 2018-02-20

Similar Documents

Publication Publication Date Title
TW201610211A (zh) 利用射頻電漿循環及清洗由基板處理室移除粒子的系統及方法
JP7254042B2 (ja) 基板処理システムのためのdcバイアス回路及びガス供給システム
CN105316651B (zh) 抑制喷头背面寄生等离子体的方法和装置
US20190131130A1 (en) Etching metal oxide substrates using ale and selective deposition
US20150247238A1 (en) Rf cycle purging to reduce surface roughness in metal oxide and metal nitride films
JP6799550B2 (ja) プラズマ処理装置の部品をクリーニングする方法
TW201820461A (zh) 用於半導體圖案化應用之高度乾蝕刻率材料
JP6578163B2 (ja) 裏面成膜を低減し、基板端部の厚さ変化を緩和するシステムおよび方法
TW201532127A (zh) 用於膜之循環式沉積的串接源活化
CN107026081B (zh) 对被处理体进行处理的方法
WO2018200288A1 (en) Euv photopatterning and selective deposition for negative pattern mask
KR101778337B1 (ko) 막 불균일성 및 수율을 향상시키는 방법
KR20200043499A (ko) 웨이퍼 대 웨이퍼 막 두께 매칭을 위해 챔버 축적물의 함수로서 증착 사이클들의 수의 조절에 의한 두께 보상
US8822313B2 (en) Surface treatment methods and systems for substrate processing
KR102461750B1 (ko) 피처리체를 처리하는 방법
KR102623770B1 (ko) 성막 방법
JP2021534544A (ja) プラズマ処理のための制御のシステム及び方法
KR20190077238A (ko) 성막 방법
CN109417029B (zh) 对被处理体进行处理的方法
EP3726567A1 (en) Plasma etching method and plasma etching apparatus
TW202043529A (zh) 利用間歇調節性沖洗的處理量改善
JP6280408B2 (ja) 処理ガス流量の決定方法