KR20190077238A - 성막 방법 - Google Patents

성막 방법 Download PDF

Info

Publication number
KR20190077238A
KR20190077238A KR1020180168346A KR20180168346A KR20190077238A KR 20190077238 A KR20190077238 A KR 20190077238A KR 1020180168346 A KR1020180168346 A KR 1020180168346A KR 20180168346 A KR20180168346 A KR 20180168346A KR 20190077238 A KR20190077238 A KR 20190077238A
Authority
KR
South Korea
Prior art keywords
gas
space
plasma
processing
film
Prior art date
Application number
KR1020180168346A
Other languages
English (en)
Inventor
요시히데 기하라
다카히로 요코야마
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20190077238A publication Critical patent/KR20190077238A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0338Process specially adapted to improve the resolution of the mask
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/042Coating on selected surface areas, e.g. using masks using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/4554Plasma being used non-continuously in between ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4581Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber characterised by material of construction or surface finish of the means for supporting the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02043Cleaning before device manufacture, i.e. Begin-Of-Line process
    • H01L21/02046Dry cleaning only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02118Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02186Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing titanium, e.g. TiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02334Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment in-situ cleaning after layer formation, e.g. removing process residues
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0335Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by their behaviour during the process, e.g. soluble masks, redeposited masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/32051Deposition of metallic or metal-silicide layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/011Groups of the periodic table
    • H01L2924/01111Halogens
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/06Polymers
    • H01L2924/0695Polyamide

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Electromagnetism (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Plasma Technology (AREA)
  • Physical Vapour Deposition (AREA)

Abstract

[과제] 기판상의 패턴 형성에 있어서 고집적화에 수반하는 미세화를 위해, 파티클의 발생을 억제하기 위한 기술을 제공한다.
[해결수단] 일 실시형태에 따른 방법은, 기판상에 형성된 패턴에 성막하는 성막 방법으로서, 기판은 감압 환경 하에 있어서 플라즈마 처리 가능한 공간에 설치된 적재대에 배치되고, 공간에는 적재대에 대향하고 있고 고주파 전력이 공급 가능한 상부 전극이 배치된다. 해당 방법은, 기판의 패턴에 퇴적막을 형성하는 제1 공정과, 전력을 상부 전극에만 공급하여 공간에 플라즈마를 발생시키는 것에 따라, 공간을 클리닝하는 제2 공정을 구비하는 시퀀스를 반복 실행한다.

Description

성막 방법{FILM FORMING METHOD}
본 발명의 실시형태는, 성막 방법에 관한 것이다.
전자 디바이스의 고집적화에 수반하는 미세화에 따라서, 기판상의 패턴 형성에 있어서, 고정밀도의 최소 선폭(CD : Critical Dimension)의 제어가 요구된다. 플라즈마 에칭에 있어서의 최소 선폭의 변동의 요인으로서는, 일반적으로, 플라즈마가 생성되는 처리 공간에 노출하는 플라즈마 처리 장치의 구성 부품(예컨대, 플라즈마를 발생시키는 처리 용기의 내벽면이나, 처리 용기에 접속되는 각종 배관의 내벽면 등)의 표면의 상태가 변화하는 것을 들 수 있다. 이러한 플라즈마 처리 장치의 구성 부품의 표면의 상태 변화에 대응하는 기술이 여러 가지 개발되어 있다(예컨대 특허문헌 1∼3을 참조).
특허문헌 1 : 일본 특허 공개 공보 제2016-072625호 특허문헌 2 : 일본 특허 공개 공보 제2014-053644호 특허문헌 3 : 일본 특허 공개 공보 제2017-073535호
플라즈마 처리에 있어서는, 제품의 결함의 요인이 될 수 있는 파티클이 발생하는 경우가 있다. 파티클은, 처리 공간에 노출하는 플라즈마 처리 장치의 구성 부품의 표면으로부터 발생할 수 있어, 웨이퍼상에 부착되어 제품 불량에 연결된다. 파티클이 패턴 상에 부착함으로써 전사를 방해하는 것으로부터, 파티클은 고정밀도인 최소 선폭의 실현을 방해할 수 있다. 따라서, 기판 상의 패턴 형성에 있어서 고집적화에 수반하는 미세화를 위해, 파티클의 발생을 억제하기 위한 기술이 요구되고 있다.
일 양태에 있어서는, 기판 상에 형성된 패턴에 성막하는 성막 방법이 제공된다. 기판은 감압 환경하에 있어서 플라즈마 처리 가능한 공간에 설치된 적재대에 배치되고, 공간에는 적재대에 대향하고 있고 고주파 전력이 공급 가능한 상부 전극이 배치된다. 해당 성막 방법은, 기판의 패턴에 퇴적막을 형성하는 제1 공정과, 전력을 상부 전극에만 공급하여 공간에 플라즈마를 발생시키는 것에 따라, 공간을 클리닝하는 제2 공정을 구비하는 시퀀스를 반복 실행한다.
상기 성막 방법에서는, 제1 공정의 1회의 실행에 의해서 퇴적막이 형성될 때마다 제1 공정이 실행된 공간이 클리닝되기 때문에, 클리닝에 의해서 이 공간에 형성된 퇴적막의 제거가 용이해진다.
일 실시형태에서는, 제1 공정은, 전구체의 재료를 포함하는 제1 가스를 공간에 공급하고, 전구체를 패턴의 표면에 흡착시키는 공정과, 제2 가스의 플라즈마를 발생시켜, 플라즈마를 전구체에 공급하는 공정을 구비한다.
이렇게, 퇴적막을 형성하는 제1 공정에서는, 우선 전구체의 재료를 포함하는 제1 가스에 의해서 기판의 패턴의 표면에 전구체를 흡착시키고, 이 후에 해당 전구체에 대해서 제2 가스의 플라즈마를 공급하는 것에 의해, 기판의 패턴의 표면에 퇴적막을 형성한다. 따라서, ALD법(ALD : Atomic Layer Deposition)과 동일한 방법에 의해 기판의 패턴의 표면에 퇴적막을 형성할 수 있다.
일 실시형태에서는, 상기 제1 가스는, 아미노실란계 가스이며, 제2 가스는, 산소 또는 질소를 함유한다. 또한, 제2 공정에서는, 공간에 제3 가스의 플라즈마를 발생시키고, 제3 가스는 할로겐 화합물을 함유한다.
일 실시형태에서는, 제1 가스의 아미노실란계 가스는, 1∼3개의 규소 원자를 갖는 아미노실란을 포함한다. 또한, 일 실시형태에서는, 제1 가스의 아미노실란계 가스는, 1∼3개의 아미노기를 갖는 아미노실란을 포함할 수 있다.
일 실시형태에서는, 제1 가스는, 할로겐화텅스텐을 함유한다. 또한, 일 실시형태에서는, 제1 가스는, 4염화티탄 또는 테트라퀴스디메틸아미노티탄을 함유한다. 또한, 일 실시형태에서는, 제1 가스는, 할로겐화붕소를 함유한다.
일 실시형태에서는, 제1 공정(이하, 공정 a라고 함)은, 전자 공여성의 제1 치환기를 포함하는 제1 가스(이하, 공정 a에 있어서 이용되는 경우에는, 가스 a1이라고 함)를 공간에 공급하고, 제1 치환기를 패턴의 표면에 흡착시키는 공정과, 전자 흡인성의 제2 치환기를 포함하는 제2 가스(이하, 공정 a에 있어서 이용되는 경우에는, 가스 a2라고 함)를 제1 치환기에 공급하는 공정을 구비한다.
이와 같이, 퇴적막을 형성하는 공정 a에서는, 우선, 전자 공여성의 제1 치환기를 포함하는 가스 a1에 의해서 기판의 패턴의 표면에 제1 치환기를 흡착시키고, 이 후에, 해당 제1 치환기에 대하여 전자 흡인성의 제2 치환기를 포함하는 가스 a2를 공급함으로써 중합 반응을 생성하고, 이 중합 반응에 의해서 기판의 패턴의 표면에 퇴적막이 형성된다.
일 실시형태에서는, 상기의 공정 a는, 이소시아네이트와 아민의 중합 반응, 또는, 이소시아네이트와 수산기를 갖는 화합물의 중합 반응에 의해서, 퇴적막을 형성한다.
이상 설명한 바와 같이, 기판상의 패턴 형성에 있어서 고집적화에 수반하는 미세화를 위해 파티클의 발생을 억제하기 위한 기술이 제공된다.
도 1은, 일 실시형태에 따른 기판을 처리하는 방법을 나타내는 흐름도이다.
도 2는, 도 1에 나타내는 방법의 실행에 이용되는 일 실시형태에 따른 플라즈마 처리 장치의 일례를 나타내는 도면이다.
도 3은, 일 실시에 따른 기판을 처리하는 방법에 있어서 구분된 피처리 기판의 주면의 복수개 영역의 일부를 일례로서 모식적으로 나타내는 도면이다.
도 4는, (a)부, (b)부, (c)부, (d)부를 구비하고, 도 1에 나타내는 각 공정의 실시전 및 실시후의 기판의 상태를 나타내는 단면도이다.
도 5는, (a)부, (b)부, (c)부를 구비하고, 도 1에 나타내는 방법의 각 공정의 실시후의 기판의 상태를 나타내는 단면도이다.
도 6은, 도 1에 나타내는 방법의 각 공정의 실행 중에서의, 가스의 공급 및 고주파 전원의 공급의 상태를 나타내는 도면이다.
도 7은, (a)부, (b)부, (c)부를 구비하고, 도 1에 나타내는 방법에 있어서의 보호막의 형성의 모습을 모식적으로 나타내는 도면이다.
도 8은, 도 1에 나타내는 방법에 의해서 형성되는 보호막의 막 두께와 기판의 주면의 온도의 관계를 모식적으로 나타내는 도면이다.
도 9은, (a)부, (b)부, (c)부를 구비하고, 도 1에 나타내는 방법에 있어서의 피에칭층의 에칭의 원리를 나타내는 도면이다.
도 10은, 도 2에 나타내는 처리 용기의 내측에서의 막의 형성의 양태를 나타내는 도면이다.
도 11은, 도 1에 나타내는 클리닝 공정의 실행 시간, 또는 도 1에 나타내는 클리닝 공정에 이용되는 고주파 전력과, 클리닝에 의한 막의 나머지 두께와의 상관을 나타내는 도면이다.
도 12는, 도 2에 나타내는 처리 용기 내의 위치와 플라즈마 밀도의 상관을 나타내는 도면이다.
도 13은, 도 2에 나타내는 처리 용기 내의 위치와 플라즈마 밀도의 상관을 나타내는 도면이다.
도 14는, 기판의 한 장마다의 처리 시간의 내역을 나타내는 도면이다.
도 15는, 기판의 한 장마다의 박막 형성 공정의 반복 횟수와 처리 시간과의 상관을 나타내는 도면이다.
도 16은, 가스 공급 시스템의 개요도이다.
도 17은, 도 16에 나타내는 가스 공급 시스템이 이용된 경우에 있어서의 상부 전극의 개략적인 단면도이다.
이하, 도면을 참조하여 여러 가지의 실시형태에 관해서 상세하게 설명한다. 또, 각 도면에 있어서 동일 또는 상당의 부분에 대해서는 동일한 부호를 부여하는 것으로 한다. 도 1은, 일 실시형태에 따른 기판(이하, 웨이퍼(W)라고 하는 일이 있음)을 처리하는 방법을 나타내는 흐름도이다. 도 1에 나타내는 방법(MT)은, 기판을 성막하는 성막 방법의 일 실시형태이다. 방법(MT)(기판을 처리하는 방법)은, 도 2에 나타내는 플라즈마 처리 장치(10)에 의해서 실행된다.
도 2는, 도 1에 나타내는 방법(MT)의 실행에 이용되는 일 실시형태에 따른 플라즈마 처리 장치의 일례를 나타내는 도면이다. 도 2에는, 방법(MT)의 여러 가지의 실시형태에서 이용되는 플라즈마 처리 장치(10)의 단면 구조가 개략적으로 표시되고 있다. 도 2에 나타내는 바와 같이, 플라즈마 처리 장치(10)는, 평행 평판의 전극을 구비하는 플라즈마 에칭 장치이며, 처리 용기(12)를 구비하고 있다.
처리 용기(12)는, 예컨대 대략 원통 형상을 갖고 있고, 처리 공간(Sp)을 획정한다. 처리 용기(12)는, 예컨대 알루미늄의 재료를 갖고 있고, 처리 용기(12)의 내벽면에는 양극산화 처리가 실시되고 있다. 처리 용기(12)는 보안 접지되어 있다.
처리 용기(12)의 바닥부상에는, 예컨대 대략 원통형상의 지지부(14)가 설치되어 있다. 지지부(14)는, 예컨대 절연 재료를 갖고 있다. 지지부(14)의 절연 재료는, 석영과 같이 산소를 포함할 수 있다. 지지부(14)는, 처리 용기(12) 내에 있어서, 처리 용기(12)의 바닥부로부터 수직 방향으로(바닥부로부터 천장측의 상부 전극(30)을 향한 방향으로) 연장하고 있다.
처리 용기(12) 내에는, 적재대(PD)가 설치되어 있다. 적재대(PD)는, 지지부(14)에 의해서 지지되어 있다. 적재대(PD)는, 적재대(PD)의 상면에 있어서 웨이퍼(W)를 유지한다. 웨이퍼(W)의 주면은, 적재대(PD)의 상면에 접촉하는 웨이퍼(W)의 이면의 반대측에 있고, 상부 전극(30)을 향하고 있다. 적재대(PD)는, 하부 전극(LE) 및 정전척(ESC)을 갖고 있다. 하부 전극(LE)은, 제1 플레이트(18a) 및 제2 플레이트(18b)를 포함하고 있다.
제1 플레이트(18a) 및 제2 플레이트(18b)는, 예컨대 알루미늄 등의 금속의 재료를 갖고 있고, 예컨대 대략 원반 형상을 갖고 있다. 제2 플레이트(18b)는, 제1 플레이트(18a) 상에 설치되어 있고, 제1 플레이트(18a)에 전기적으로 접속되어 있다.
제2 플레이트(18b) 상에는, 정전척(ESC)이 설치되어 있다. 정전척(ESC)은, 도전막인 전극을, 한 쌍의 절연층의 사이 또는 한 쌍의 절연 시트의 사이에 배치한 구조를 갖고 있다. 정전척(ESC)의 전극에는, 직류 전원(22)이 스위치(23)를 통하여 전기적으로 접속되어 있다. 웨이퍼(W)는, 적재대(PD)에 적재되어 있는 경우에, 정전척(ESC)에 접한다.
웨이퍼(W)의 이면(주면의 반대측의 면)은, 정전척(ESC)에 접한다. 정전척(ESC)은, 직류 전원(22)으로부터의 직류 전압에 의해서 생기는 쿨롱력 등의 정전력에 의해서, 웨이퍼(W)를 흡착한다. 이에 따라, 정전척(ESC)은, 웨이퍼(W)를 유지한다.
제2 플레이트(18b)의 둘레 가장자리부상에는, 웨이퍼(W)의 엣지 및 정전척(ESC)을 둘러싸도록 포커스링(FR)이 배치되어 있다. 포커스링(FR)은, 에칭의 균일성을 향상시키기 위해서 설치되어 있다. 포커스링(FR)은, 에칭 대상의 막의 재료에 따라서 적절하게 선택되는 재료를 갖고 있고, 예컨대 석영의 재료를 가질 수 있다.
플라즈마 처리 장치(10)에는, 웨이퍼(W)의 온도를 조절하는 온도 조절부(HT)가 설치되어 있다. 온도 조절부(HT)는, 정전척(ESC)에 내장되어 있다. 온도 조절부(HT)에는, 히터 전원(HP)이 접속되어 있다. 히터 전원(HP)으로부터 온도 조절부(HT)에 전력이 공급되는 것에 따라, 정전척(ESC)의 온도가 조절되고, 정전척(ESC) 상에 적재되는 웨이퍼(W)의 온도가 조절된다. 또, 온도 조절부(HT)는, 제2 플레이트(18b) 내에 매립되어 있을 수도 있다.
온도 조절부(HT)는, 열을 발하는 복수의 가열 소자와, 해당 복수의 가열 소자의 각각의 주위의 온도를 각각 검출하는 복수의 온도 센서를 구비한다. 복수의 가열 소자의 각각은, 웨이퍼(W)가 정전척(ESC) 상에 위치 맞춤되어 적재되어 있는 경우에, 도 3에 나타내는 바와 같이 웨이퍼(W)의 주면의 복수개 영역(ER)마다, 설치되어 있다. 도 3은, 방법(MT)에 있어서 구분된 웨이퍼(W)의 주면의 복수의 영역(ER)의 일부를, 일례로서 모식적으로 나타내는 도면이다. 후술의 제어부(Cnt)는, 웨이퍼(W)가 정전척(ESC) 상에 위치 맞춤되어 적재되어 있는 경우에, 웨이퍼(W)의 주면의 복수개 영역(ER)의 각각에 대응하는 가열 소자 및 온도 센서를 영역(ER)과 관련시켜 인식한다. 제어부(Cnt)는, 영역(ER)와, 영역(ER)에 대응하는 가열 소자 및 온도 센서를, 복수개 영역(ER)마다, 예컨대 숫자나 문자 등의 번호 등에 의해서 식별할 수 있다. 제어부(Cnt)는, 하나의 영역(ER)의 온도를, 해당 하나의 영역(ER)에 대응하는 개소에 설치된 온도 센서에 의해서 검출하고, 해당 하나의 영역(ER)에 대한 온도 조절을, 해당 하나의 영역(ER)에 대응하는 개소에 설치된 가열 소자에 의해서 행한다. 또, 웨이퍼(W)가 정전척(ESC) 상에 적재되어 있는 경우에 하나의 온도 센서에 의해서 검출되는 온도는, 웨이퍼(W) 중 해당 온도 센서 상의 영역(ER)의 온도와 동일하다.
제2 플레이트(18b)의 내부에는, 냉매 유로(24)가 설치되어 있다. 냉매 유로(24)는, 온도 조절 기구를 구성하고 있다. 냉매 유로(24)에는, 처리 용기(12)의 외부에 설치된 칠러 유닛(도시 생략)으로부터 배관(26a)을 통하여 냉매가 공급된다. 냉매 유로(24)에 공급되는 냉매는, 배관(26b)을 통하여 칠러 유닛에 복귀된다. 이와 같이, 냉매 유로(24)에는, 냉매가 순환하도록 공급된다. 이 냉매의 온도를 제어함으로써, 정전척(ESC)에 의해서 지지된 웨이퍼(W)의 온도가 제어된다. 플라즈마 처리 장치(10)에는, 가스 공급 라인(28)이 설치되어 있다. 가스 공급 라인(28)은, 전열 가스 공급 기구로부터의 전열 가스, 예컨대 He 가스를, 정전척(ESC)의 상면과 웨이퍼(W)의 이면의 사이에 공급한다.
플라즈마 처리 장치(10)는, 상부 전극(30)을 구비한다. 상부 전극(30)은, 처리 용기(12) 내의 천장측(처리 용기(12) 내에 있어서 지지부(14)가 설치되어 있는 측의 반대측)에 설치되어 있다. 상부 전극(30)은, 적재대(PD)의 위쪽에 있어서, 적재대(PD)와 대향 배치되어 있다.
하부 전극(LE)와 상부 전극(30)은, 서로 대략 평행하게 설치되어 있고, 평행 평판 전극을 구성한다. 상부 전극(30)과 하부 전극(LE)의 사이에는, 웨이퍼(W)에 플라즈마 처리를 행하기 위한 처리 공간(Sp)이 제공되어 있다. 상부 전극(30)은, 절연성 차단 부재(32)를 개재하여, 처리 용기(12)의 상부에 지지되어 있다. 절연성 차단 부재(32)는, 절연 재료의 재료를 갖고 있고, 예컨대 석영과 같이 산소를 포함할 수 있다. 상부 전극(30)은, 전극판(34) 및 전극 지지체(36)를 포함할 수 있다. 전극판(34)은 처리 공간(Sp)에 면하고 있고, 전극판(34)에는 복수의 가스 토출 구멍(34a)이 설치되어 있다.
전극판(34)은, 일 실시형태에서는, 실리콘(이하, 규소라고 하는 경우가 있음)을 함유한다. 별도의 실시형태에서는, 전극판(34)은, 산화실리콘(SiO2)을 함유할 수 있다.
전극 지지체(36)는, 전극판(34)을 착탈이 자유롭게 지지하는 것으로, 예컨대 알루미늄 등의 도전성 재료를 가질 수 있다. 전극 지지체(36)는, 수냉 구조를 가질 수 있다. 전극 지지체(36)의 내부에는, 가스 확산실(36a)이 설치되어 있다. 가스 확산실(36a)로부터는, 가스 토출 구멍(34a)에 연통하는 복수의 가스 통류 구멍(36b)이 아래쪽으로 연장되고 있다.
플라즈마 처리 장치(10)는, 제1 고주파 전원(62) 및 제2 고주파 전원(64)을 구비한다. 제1 고주파 전원(62)은, 플라즈마 생성용의 제1 고주파 전력을 발생하는 전원이며, 27∼100[MHz]의 주파수, 일례에 있어서는 60[MHz]의 고주파 전력을 발생한다. 또한, 제1 고주파 전원(62)은, 펄스 사양을 구비하고 있고, 예컨대, 주파수 0.1∼50[kHz], Duty 5∼100%로 제어 가능하다.
제1 고주파 전원(62)은, 정합기(66)를 통해 상부 전극(30)에 접속되어 있다. 정합기(66)는, 제1 고주파 전원(62)의 출력 임피던스와 부하측(하부 전극(LE)측)의 입력 임피던스를 정합시키기 위한 회로이다. 또, 제1 고주파 전원(62)은, 정합기(66)를 통해 하부 전극(LE)에 접속되어 있어도 좋다.
제2 고주파 전원(64)은, 웨이퍼(W)에 이온을 인입하기 위한 제2 고주파 전력, 즉 고주파 바이어스 전력을 발생하는 전원이며, 400[kHz]∼40.68[MHz]의 범위 내의 주파수, 일례에 있어서는 13.56[MHz]의 주파수의 고주파 바이어스 전력을 발생한다. 또한, 제2 고주파 전원(64)은, 펄스 사양을 구비하고 있고, 예컨대, 주파수 0.1∼50[kHz], Duty 5∼100%로 제어 가능하다.
제2 고주파 전원(64)은, 정합기(68)를 통해 하부 전극(LE)에 접속되어 있다. 정합기(68)는, 제2 고주파 전원(64)의 출력 임피던스와 부하측(하부 전극(LE)측)의 입력 임피던스를 정합시키기 위한 회로이다.
플라즈마 처리 장치(10)는, 전원(70)을 더욱 구비하고 있다. 전원(70)은, 상부 전극(30)에 접속되어 있다. 전원(70)은, 처리 공간(Sp) 내에 존재하는 플러스 이온을 전극판(34)에 인입하기 위한 전압을, 상부 전극(30)에 인가한다. 일례에 있어서는, 전원(70)은, 마이너스의 직류 전압을 발생하는 직류 전원이다. 이러한 전압이 전원(70)으로부터 상부 전극(30)에 인가되면, 처리 공간(Sp)에 존재하는 플러스 이온이, 전극판(34)에 충돌한다. 이에 따라, 전극판(34)으로부터 이차 전자 및/또는 실리콘이 방출될 수 있다.
처리 용기(12)내의 바닥부측(처리 용기(12)내의 천장측의 반대측이며, 처리 용기(12) 내에 있어서 지지부(14)가 설치되어 있는 측), 또한, 지지부(14)와 처리 용기(12)의 측벽의 사이에는 배기 플레이트(48)가 설치되어 있다. 배기 플레이트(48)는, 예컨대, 알루미늄재에 Y2O3 등의 세라믹스가 피복될 수 있다. 배기 플레이트(48)의 아래쪽 또한, 처리 용기(12)에는, 배기구(12e)가 설치되어 있다.
배기구(12e)에는, 배기관(52)을 통해 배기 장치(50)가 접속되어 있다. 배기 장치(50)는, 예컨대 터보 분자 펌프 등의 진공 펌프를 갖고 있고, 처리 용기(12)의 처리 공간(Sp) 내의 공간을 원하는 진공도까지 감압시킨다. 처리 용기(12)의 측벽에는 웨이퍼(W)의 반입출구(12g)가 설치되어 있고, 반입출구(12g)는 게이트 밸브(54)에 의해 개폐 가능하게 되어 있다.
플라즈마 처리 장치(10)에서는, 후술하는 바와 같이, 유기 함유의 아미노실란계 가스를 공급하기 때문에, 플라즈마 처리 장치(10)는, 유기 함유의 아미노실란계 가스를 공급하는 배관과, 다른 프로세스 가스(예컨대 산소 가스)를 공급하는 배관을 분리시키는 포스트믹스 구조를 구비한다. 유기 함유의 아미노실란계 가스는 반응성이 비교적으로 높기 때문에, 유기 함유의 아미노실란계 가스의 공급과 다른 프로세스 가스의 공급을 동일한 배관에 의해서 행하는 경우에는, 배관 내에 흡착하는 유기 함유의 아미노실란계 가스의 성분과 다른 프로세스 가스의 성분이 반응하고, 이 반응에 의한 반응 생성물이 배관 내에 퇴적하는 경우가 있다.
배관 내에 퇴적한 반응 생성물은, 클리닝 등에 의한 제거가 곤란하며, 파티클의 원인, 및, 배관의 위치가 플라즈마 영역에 가까운 경우에는 이상 방전의 원인이 될 수 있다. 따라서, 유기 함유의 아미노실란계 가스의 공급과 다른 프로세스 가스의 공급을 각각 별개의 배관으로 행할 필요가 있다. 플라즈마 처리 장치(10)의 포스트믹스 구조에 의해서, 유기 함유의 아미노실란계 가스의 공급과 다른 프로세스 가스의 공급이 각각 별개의 배관에 의해서 행해진다.
플라즈마 처리 장치(10)의 포스트믹스 구조는, 적어도 두 개의 배관(가스 공급관(38), 가스 공급관(82))을 구비한다. 가스 공급관(38)과 가스 공급관(82)에는, 모두 밸브군(42) 및 유량 제어기군(45)을 통해 가스 소스군(40)이 접속되어 있다.
가스 소스군(40)은, 복수의 가스 소스를 갖고 있다. 복수의 가스 소스는, 유기 함유된 아미노실란계 가스(예컨대 가스(G1)에 포함되는 가스)의 소스, 플루오로카본계 가스(CxFy 가스(x, y는 1∼10의 정수))(예컨대 공정(ST3) 및 공정(ST7)에 있어서 이용되는 가스, 및, 가스(G4)에 포함되는 가스)의 소스, 산소 원자를 포함하는 가스(산소 가스 등)(예컨대 가스(G2)에 포함되는 가스)의 소스, 불소 원자를 포함하는 가스(예컨대 가스(G3)에 포함되는 가스)의 소스, 질소 원자를 포함하는 가스(예컨대 공정(ST8)에 있어서 이용되는 가스)의 소스, 수소 원자를 포함하는 가스(예컨대 공정(ST8)에 있어서 이용되는 가스)의 소스, 및, Ar 가스(예컨대 가스(G5)에 포함되는 가스, 퍼지 가스, 및, 역류 방지 가스) 등의 불활성 가스의 소스 등의 각종의 가스의 소스를 포함할 수 있다.
유기 함유의 아미노실란계 가스로서, 아미노기의 수가 비교적으로 적은 분자 구조를 갖는 가스가 이용될 수 있고, 예컨대, 모노아미노실란(H3-Si-R(R은 유기를 포함하고 있고 치환되어 있어도 좋은 아미노기))이 이용될 수 있다. 상기의 유기 함유의 아미노실란계 가스(후술하는 가스(G1)에 포함되는 가스)는, 1∼3개의 규소 원자를 가질 수 있는 아미노실란을 포함할 수 있고, 또는, 1∼3개의 아미노기를 갖는 아미노실란을 포함할 수 있다.
1∼3개의 규소 원자를 갖는 아미노실란은, 1∼3개의 아미노기를 갖는 모노실란(모노아미노실란), 1∼3개의 아미노기를 갖는 디실란, 또는, 1∼3개의 아미노기를 갖는 트리실란일 수 있다. 또한, 상기의 아미노실란은, 치환되어 있어도 좋은 아미노기를 가질 수 있다. 또한, 상기의 아미노기는, 메틸기, 에틸기, 프로필기, 및, 부틸기의 어느 것에 따라서 치환될 수 있다. 또한, 상기의 메틸기, 에틸기, 프로필기, 또는, 부틸기는, 할로겐에 의해서 치환될 수 있다.
플루오로카본계 가스로서는, CF4 가스, C4F6 가스, C4F8 가스 등의 임의의 플루오로카본계 가스가 이용될 수 있다. 불활성 가스로서는, 질소 가스, Ar 가스, He 가스 등의 임의의 가스가 이용될 수 있다.
밸브군(42)은 복수의 밸브를 포함하고 있고, 유량 제어기군(45)은 매스플로우 컨트롤러 등의 복수의 유량 제어기를 포함하고 있다. 가스 소스군(40)의 복수의 가스 소스의 각각은, 밸브군(42)의 대응의 밸브 및 유량 제어기군(45)의 대응의 유량 제어기를 통하여, 가스 공급관(38) 및 가스 공급관(82)에 접속되어 있다. 따라서, 플라즈마 처리 장치(10)는, 가스 소스군(40)의 복수의 가스 소스 중 선택된 일 이상의 가스 소스로부터의 가스를, 개별로 조정된 유량으로, 처리 용기(12)의 처리 공간(Sp) 내에 공급한다.
처리 용기(12)에는, 가스 도입구(36c)가 설치되어 있다. 가스 도입구(36c)는, 처리 용기(12) 내에 있어서 적재대(PD) 상에 배치된 웨이퍼(W)의 위쪽에 설치된다. 가스 도입구(36c)는, 가스 공급관(38)의 일단에 접속되어 있다. 가스 공급관(38)의 타단은, 밸브군(42)에 접속되어 있다.
가스 도입구(36c)는, 전극 지지체(36)에 설치되어 있다. 가스 도입구(36c)는, 가스 확산실(36a)을 통하여 처리 공간(Sp)에, 플루오로카본가스계 가스, 산소 원자를 포함하는 가스, 불소 원자를 포함하는 가스, 질소 원자 및 수소 원자를 포함하는 가스, Ar 가스, 퍼지 가스(불활성 가스 등을 포함하는 가스), 역류 방지 가스(불활성 가스 등을 포함하는 가스) 등을 유도한다. 가스 도입구(36c)로부터, 가스 확산실(36a)을 통하여 처리 공간(Sp)에 공급되는 상기의 여러 가지의 가스는, 웨이퍼(W) 상으로서 웨이퍼(W)와 상부 전극(30)의 사이의 공간 영역에 공급된다.
처리 용기(12)에는, 가스 도입구(52a)가 설치되어 있다. 가스 도입구(52a)는, 처리 용기(12) 내에 있어서 적재대(PD) 상에 배치된 웨이퍼(W)의 측방에 설치되어 있다. 가스 도입구(52a)는, 가스 공급관(82)의 일단에 접속되어 있다. 가스 공급관(82)의 타단은, 밸브군(42)에 접속되어 있다.
가스 도입구(52a)는, 처리 용기(12)의 측벽에 설치되어 있다. 가스 도입구(52a)는, 처리 공간(Sp)에, 유기 함유의 아미노실란계 가스를 포함하는 가스, 역류 방지 가스(불활성 가스 등을 포함하는 가스) 등을 유도한다. 가스 도입구(52a)로부터 처리 공간(Sp)에 공급되는 상기의 여러 가지의 가스는, 웨이퍼(W) 상으로서 웨이퍼(W)와 상부 전극(30)의 사이의 공간 영역에, 웨이퍼(W)의 측방으로부터 공급된다.
가스 도입구(36c)에 접속되는 가스 공급관(38)과, 가스 도입구(52a)에 접속되는 가스 공급관(82)은, 서로 교차하지 않는다. 바꾸어 말하면, 가스 도입구(36c) 및 가스 공급관(38)을 포함하는 가스의 공급 경로와, 가스 도입구(52a) 및 가스 공급관(82)을 포함하는 가스의 공급 경로는 서로 교차하지 않는다.
플라즈마 처리 장치(10)에서는, 처리 용기(12)의 내벽을 따라서 디포지션 실드(46)가 착탈이 자유롭게 설치되어 있다. 디포지션 실드(46)는, 지지부(14)의 외주에도 설치되어 있다. 디포지션 실드(46)는, 처리 용기(12)에 에칭 부생물(디포지션)이 부착하는 것을 방지하는 것으로, 예컨대 알루미늄재에 Y2O3 등의 세라믹스가 피복될 수 있다. 디포지션 실드는, Y2O3 의 외에, 예컨대 석영과 같이 산소를 포함하는 재료를 가질 수 있다.
제어부(Cnt)는, 프로세서, 기억부, 입력 장치, 표시 장치 등을 구비하는 컴퓨터이며, 도 2에 나타내는 플라즈마 처리 장치(10)의 각부를 제어한다. 제어부(Cnt)는, 플라즈마 처리 장치(10)에 있어서, 밸브군(42), 유량 제어기군(45), 배기 장치(50), 제1 고주파 전원(62), 정합기(66), 제2 고주파 전원(64), 정합기(68), 전원(70), 히터 전원(HP), 칠러 유닛 등에 접속되어 있다.
제어부(Cnt)는, 도 1에 나타내는 방법(MT)의 각 공정 있어서 플라즈마 처리 장치(10)의 각부를 제어하기 위한 컴퓨터 프로그램(입력된 레시피에 기초한 프로그램)에 따라서 동작하여, 제어 신호를 송출한다. 플라즈마 처리 장치(10)의 각부는, 제어부(Cnt)로부터의 제어 신호에 의해서 제어된다.
제어부(Cnt)는, 구체적으로는, 도 2에 나타내는 플라즈마 처리 장치(10)에 있어서, 제어 신호를 이용하여, 가스 소스군(40)으로부터 공급되는 가스의 선택 및 유량, 배기 장치(50)의 배기, 제1 고주파 전원(62) 및 제2 고주파 전원(64)으로부터의 전력 공급, 전원(70)으로부터의 전압 인가, 히터 전원(HP)의 전력 공급, 칠러 유닛으로부터의 냉매 유량 및 냉매 온도, 등을 제어한다.
또, 본 명세서에 있어서 개시되는 기판을 처리하는 방법(MT)의 각 공정은, 제어부(Cnt) 에 의한 제어에 의해서 플라즈마 처리 장치(10)의 각부를 동작시키는 것에 따라 실행될 수 있다. 제어부(Cnt)의 기억부에는, 방법(MT)을 실행하기 위한 컴퓨터 프로그램, 및, 방법(MT)의 실행에 이용되는 각종의 데이터가, 독출이 자유롭게 저장되어 있다.
재차 도 1을 참조하여, 방법(MT)에 관해서 상세하게 설명한다. 이하에서는, 방법(MT)의 실행에 플라즈마 처리 장치(10)가 이용되는 예에 관해서 설명을 행한다. 또한, 이하의 설명에 있어서, 도 1∼도 3과 함께, 더욱, 도 4∼도 10을 참조한다.
도 4는, (a)부, (b)부, (c)부, (d)부를 구비하고, 도 1에 나타내는 각 공정의 실시전 및 실시후의 웨이퍼(W)의 상태를 나타내는 단면도이다. 도 5는, (a)부, (b)부, (c)부를 구비하고, 도 1에 나타내는 방법의 각 공정의 실시후의 웨이퍼(W)의 상태를 나타내는 단면도이다. 도 6은, 도 1에 나타내는 방법(MT)의 각 공정의 실행 중에서의, 가스의 공급 및 고주파 전원의 공급의 상태를 나타내는 도면이다. 도 7은, (a)부, (b)부, (c)부를 구비하고, 도 1에 나타내는 방법(MT) 에서의 보호막(SX)의 형성의 모습을 모식적으로 나타내는 도면이다. 도 8은, 도 1에 나타내는 방법(MT)의 성막 공정(시퀀스(SQ1) 및 공정(ST6))에 의해서 성막되는 보호막(SX)의 막 두께와 웨이퍼(W)의 주면의 온도의 관계를 모식적으로 나타내는 도면이다. 도 9는, (a)부, (b)부, (c)부를 구비하고, 도 1에 나타내는 방법(MT)에서의 피에칭층(EL)의 에칭의 원리를 나타내는 도면이다. 도 10은, 처리 용기(12)의 내측에서의 막의 형성의 양태를 나타내는 도면이다.
방법(MT)은, 웨이퍼(W) 상에 형성된 패턴(웨이퍼(W)의 표면에 형성되어 있는 요철에 의해서 획정되는 패턴이며, 예컨대 후술하는 마스크(MK1)에 의해서 획정되는 패턴)에 성막하는 성막 방법이다. 웨이퍼(W)는 감압 환경 하에 있어서 플라즈마 처리가 수행된 처리 공간(Sp)에 설치된 적재대(PD)에 배치되어 있다. 상기한 바와 같이, 플라즈마 처리 장치(10)에 있어서 처리 공간(Sp)에는 적재대(PD)에 대향하고 있고 고주파 전력을 공급하는 상부 전극(30)이 배치되어 있다. 도 1에 나타내는 바와 같이, 방법(MT)은, 공정(ST1)∼공정(ST10)을 구비한다. 방법(MT)은, 시퀀스(SQ1), 시퀀스(SQ2)를 구비한다. 우선, 공정(ST1)에 있어서, 도 4의 (a)부에 나타내는 웨이퍼(W)를, 도 2에 나타내는 웨이퍼(W)로서 준비한다. 공정(ST1)에 있어서는, 도 10의 상태(CON1)에 나타내는 바와 같이, 처리 용기(12)의 내측에 있는 플라즈마 처리 장치(10)의 모든 구성 부품의 표면(예컨대, 플라즈마를 발생시키는 처리 용기(12)의 내벽면 등이며, 이하, 단순히 처리 용기(12)의 내측의 표면이라고 하는 경우가 있음)은, 처리 공간(Sp)에 노출하고 있다.
공정(ST1)에 있어서 준비되는 웨이퍼(W)는, 도 4의 (a)부에 나타내는 바와 같이, 기판(SB), 피에칭층(EL), 유기막(OL), 반사 방지막(AL), 및 마스크(MK1)를 갖는다. 피에칭층(EL)은, 기판(SB) 상에 설치된다. 피에칭층(EL)은, 유기막(OL)에 대하여 선택적으로 에칭되는 재료를 갖는 층이며 절연막이 이용된다. 피에칭층(EL)은, 예컨대, 산화실리콘을 가질 수 있다. 또, 피에칭층(EL)은, 다결정실리콘 등의 다른 재료를 갖는 경우가 있다.
유기막(OL)은, 피에칭층(EL) 상에 설치된다. 유기막(OL)은, 탄소를 포함하는 층이며, 예컨대, SOH(스핀 온 하드 마스크)층이다. 반사 방지막(AL)은, 실리콘 함유의 반사 방지막이며, 유기막(OL) 상에 설치된다. 마스크(MK1)는, 반사 방지막(AL) 상에 설치된다. 마스크(MK1)는, 레지스트 재료를 갖는 레지스트 마스크이며, 포토리소그래피 기술에 의해서 레지스트층이 패터닝되는 것에 따라 제작된다. 마스크(MK1)는, 반사 방지막(AL)을 부분적으로 덮고 있다. 마스크(MK1)는, 반사 방지막(AL)을 부분적으로 노출시키는 개구를 구획하여 형성하고 있다. 마스크(MK1)의 패턴은 라인·앤드·스페이스 패턴을 포함할 수 있다. 또, 마스크(MK1)는, 평면에서 보아서 원형의 개구를 갖는 패턴을 가질 수 있거나. 또는, 마스크(MK1)는, 평면에서 보아서 타원형상의 개구를 갖는 패턴을 가질 수 있다.
공정(ST1)에서는, 도 4의 (a)부에 나타내는 웨이퍼(W)가 준비되고, 웨이퍼(W)가 플라즈마 처리 장치(10)의 처리 용기(12)의 처리 공간(Sp) 내에 수용되어, 적재대(PD) 상에 적재된다.
공정(ST1)에 계속되는 공정(ST2)에서는, 웨이퍼(W)에 이차 전자가 조사된다. 구체적으로는, 가스 공급관(38)을 통하여 가스 도입구(36c)로부터 처리 용기(12)의 처리 공간(Sp) 내에 수소 가스 및 희가스가 공급되고, 제1 고주파 전원(62)으로부터 고주파 전력이 공급되는 것에 따라, 플라즈마가 생성된다. 또한, 전원(70)에 의해서, 상부 전극(30)에 마이너스의 직류 전압이 인가된다. 이에 따라, 처리 공간(Sp) 중의 플러스 이온이 상부 전극(30)에 인입되어, 해당 플러스 이온이 상부 전극(30)에 충돌한다. 플러스 이온이 상부 전극(30)에 충돌함으로써, 상부 전극(30)으로부터는 이차 전자가 방출된다. 방출된 이차 전자가 마스크(MK1)를 개질시킨다. 공정(ST2)의 종료 시에는, 처리 용기(12)의 처리 공간(Sp) 내는 퍼지된다.
상부 전극(30)에 인가되는 마이너스의 직류 전압의 절대치의 레벨이 높은 경우에는, 전극판(34)에 플러스 이온이 충돌함으로써, 해당 전극판(34)의 구성 재료인 실리콘이, 이차 전자와 함께 방출된다. 방출된 실리콘은, 플라즈마에 노출되어진 플라즈마 처리 장치(10)의 구성 부품으로부터 방출되는 산소와 결합한다. 해당 산소는, 예컨대, 지지부(14), 절연성 차단 부재(32), 및, 디포지션 실드(46) 등의 부재로부터 방출된다. 이러한 실리콘과 산소의 결합에 의해, 산화실리콘의 화합물이 생성되고, 해당 산화실리콘의 화합물이 웨이퍼(W) 상에 퇴적하여 마스크(MK1)를 덮어 보호한다.
이러한 개질과 보호의 효과에 의해서, 후속의 공정에 의한 마스크(MK1)의 손상이 억제된다. 또, 공정(ST2)에서는, 이차 전자의 조사에 의한 개질이나 보호막의 형성을 위해, 제2 고주파 전원(64)의 바이어스 전력을 최소한으로 하여, 실리콘의 방출을 억제해도 좋다.
공정(ST2)에 계속되는 공정(ST3)에서는, 반사 방지막(AL)을 에칭한다. 구체적으로는, 가스 소스군(40)의 복수의 가스 소스 중 선택한 가스 소스로부터, 도 6의 부호 SRa에 나타내는 바와 같이, 가스 공급관(38) 및 가스 도입구(36c)를 통하여, 플루오로카본계 가스를 포함하는 가스를 처리 용기(12)의 처리 공간(Sp) 내에 공급한다. 이 경우, 도 6의 부호 SRb에 나타내는 바와 같이, 가스 도입구(52a)로부터는 가스를 공급하지 않거나, 또는, 도 6의 부호 SRb의 파선에 나타내는 바와 같이, 가스 공급관(82) 및 가스 도입구(52a)를 통하여, 역류 방지 가스를 처리 용기(12)의 처리 공간(Sp) 내에 공급한다.
그리고, 도 6의 부호 SRc에 나타내는 바와 같이 제1 고주파 전원(62)으로부터 고주파 전력을 공급하고, 도 6의 부호 SRd에 나타내는 바와 같이 제2 고주파 전원(64)으로부터 고주파 바이어스 전력을 공급한다. 배기 장치(50)를 동작시키는 것에 따라, 처리 용기(12)의 처리 공간(Sp) 내의 공간의 압력을 미리 설정된 압력으로 설정한다. 이것에 의해서, 플루오로카본계 가스의 플라즈마가 생성된다.
생성된 플라즈마 중의 불소를 포함하는 활성종은, 반사 방지막(AL)의 전체 영역 중 마스크(MK1)로부터 노출된 영역을 에칭한다. 이 에칭에 의해서, 도 4의 (b)부에 나타내는 바와 같이, 반사 방지막(AL)으로부터 마스크(ALM)가 형성된다. 공정(ST3)에 의해서 형성되는 유기막(OL)에 대한 마스크는, 마스크(MK1)와 마스크(ALM)를 갖는다.
공정(ST3)에 계속되는 공정(ST4)에서는, 공정(ST2)의 방법과 동일하게 하여, 도 4의 (c)부에 나타내는 바와 같이, 마스크(MK1)의 표면, 마스크(ALM)의 표면, 유기막(OL)의 표면에, 산화실리콘의 보호막(PF)을 형성한다. 공정(ST4)의 종료시에는, 처리 용기(12)의 처리 공간(Sp) 내는 퍼지된다. 또, 공정(ST3)의 후에는, 공정(ST4)를 행하지 않고서 시퀀스(SQ1)를 실행하도록 해도 좋다.
공정(ST4)에 계속해서, 도 1에 나타내는 방법(MT)에서는, 시퀀스(SQ1)를 1회 이상 실행한다. 시퀀스(SQ1)는, 공정(ST5a)∼공정(ST5f)를 구비한다. 시퀀스(SQ1)는, 웨이퍼(W)의 패턴에 퇴적막(보호막(SX)을 구성하는 박막)을 형성하는 제1 공정(공정(ST5a)∼공정(ST5d))과, 제1 공정에 계속해서, 전력을 상부 전극(30)에만 공급하여 처리 공간(Sp)에 플라즈마를 발생시키는 것에 따라 처리 공간(Sp)을 클리닝하는 제2 공정(공정(ST5e)∼공정(ST5f))을 구비한다. 시퀀스(SQ1) 및 공정(ST6)을 포함하는 성막 공정은, 도 4의 (d)부에 나타내는 바와 같이, ALD 법(ALD : Atomic Layer Deposition)과 동일한 방법에 의해서, 플라즈마 처리 장치(10)의 처리 용기(12) 내에 수용된 웨이퍼(W)의 주면에 대하여 박막(보호막(SX)을 구성하는 막)을 컨포멀하게 형성하는 박막 형성 공정(공정(ST5a), 공정(ST5b), 공정(ST5c), 공정(ST5d))과 함께, 박막 형성 공정에 계속해서, 처리 용기(12) 내 중 웨이퍼(W)의 위쪽(처리 용기(12) 내의 천장측)에 있는 영역을 클리닝하는 클리닝 공정(공정(ST5e), 공정(ST5f))을 포함한다.
성막 공정은, 박막 형성 공정과 클리닝 공정을 포함하는 시퀀스(SQ1)를, 공정(ST6)을 통해 반복 실행하고, 도 4의 (d)부에 나타내는 바와 같이 웨이퍼(W)의 주면에 보호막(SX)을 형성한다. 시퀀스(SQ1)의 1회의 실행에서는, 박막 형성 공정의 실행에 의해서 웨이퍼(W)의 주면에 박막(보호막(SX)을 구성하는 막)이 형성됨과 함께, 해당 박막의 형성에 기인하여 처리 용기(12)의 내측에 형성되는 박막(도 10에 나타내는 박막(SXa)) 중 처리 용기(12)의 상부(처리 용기(12) 내의 천장측)에 있는 부분이 클리닝 공정의 실행에 의해서 제거된다.
공정(ST5a)에서는, 전구체(층(Ly1))의 재료를 포함하는 제1 가스(가스(G1))를 처리 공간(Sp)에 공급하고, 이 전구체를 패턴(마스크(MK1)에 의해서 획정되는 패턴)의 표면에 흡착시키는 공정. 공정(ST5a)에서는, 처리 용기(12)의 처리 공간(Sp) 내에 가스(G1)를 도입한다. 구체적으로는, 가스 소스군(40)의 복수의 가스 소스 중 선택한 가스 소스로부터, 도 6의 부호 SRb에 나타내는 바와 같이, 가스 공급관(82) 및 가스 도입구(52a)를 통하여, 가스(G1)를 처리 용기(12)의 처리 공간(Sp) 내에 공급한다. 이 경우, 도 6의 부호 SRa에 나타내는 바와 같이, 가스 도입구(36c)로부터는 가스를 공급하지 않거나, 또는, 도 6의 부호 SRa의 파선에 나타내는 바와 같이, 가스 공급관(38) 및 가스 도입구(36c)를 통하여, 역류 방지 가스를 처리 용기(12)의 처리 공간(Sp) 내에 공급한다.
공정(ST5a)에서는, 도 6의 부호 SRc, 부호 SRd에 나타내는 바와 같이, 가스(G1)의 플라즈마를 생성하지 않는다. 가스(G1)는, 예컨대 유기 함유의 아미노실란계 가스이다. 가스(G1)는, 유기 함유의 아미노실란계 가스로서, 모노아미노실란(H3-Si-R(R는 아미노기))을 포함한다.
도 7의 (a)부에 나타내는 바와 같이, 가스(G1)의 분자가 반응 전구체로서 웨이퍼(W)의 주면에 부착한다. 가스(G1)의 분자(예컨대 모노아미노실란)는, 화학 결합에 기초하는 화학 흡착에 의해서 웨이퍼(W)의 주면에 부착되는 것이고, 플라즈마는 이용되지 않는다. 공정(ST5a)에서는, 웨이퍼(W)의 온도는, 섭씨 0도 이상 또한 마스크(MK1)에 포함되는 재료의 글라스 전이 온도 이하(예컨대 섭씨 200도 이하)의 정도이다.
또, 해당 온도 범위에서 화학 결합에 의해서 표면에 부착되고 또한 실리콘을 함유하는 것이라면, 모노아미노실란 이외의 가스의 이용도 가능하다. 디아미노실란(H2-Si-R2(R는 아미노기)) 및 트리아민실란(H-Si-R3(R은 아미노기))에 관해서는, 모노아미노실란보다도 복잡한 분자 구조를 갖기 때문에, 가스(G1)로서 이용하는 경우에 있어서 균일한 막의 형성을 실현하기 위해서는, 아미노기를 자기 분해하기 위해서 열처리가 행해지는 경우도 있다.
가스(G1)에 모노아미노실란계 가스가 일례로서 선택되는 이유는, 모노아미노실란이 비교적으로 높은 전기 음성도를 갖고 또한 극성을 갖는 분자 구조를 갖는 것에 따라 화학 흡착이 비교적으로 용이하게 행해질 수 있다는 것에 기인한다. 가스(G1)의 분자가 웨이퍼(W)의 주면에 부착함으로써 형성되는 층(Ly1)(도 7의 (b)부를 참조)은, 해당 부착이 화학 흡착이기 때문에 단분자층(단층)에 가까운 상태가 된다.
모노아미노실란의 아미노기(R)가 작을수록, 웨이퍼(W)의 주면에 흡착되는 분자의 분자 구조도 작아지기 때문에, 분자의 크기에 기인하는 입체 장해가 저감되고, 따라서, 가스(G1)의 분자가 웨이퍼(W)의 주면에 균일하게 흡착되고, 층(Ly1)은 웨이퍼(W)의 주면에 대하여 균일한 막 두께로 형성된다. 예컨대, 가스(G1)에 포함되는 모노아미노실란(H3-Si-R)이 웨이퍼(W)의 주면의 OH기와 반응하는 것에 따라, 반응 전구체의 H3-Si-O가 형성되고, 따라서, H3-Si-O의 단분자층인 층(Ly1)이 형성된다. 따라서, 웨이퍼(W)의 주면에 대하여, 반응 전구체의 층(Ly1)이, 웨이퍼(W)의 패턴 밀도에 의존하지 않고서, 균일한 막 두께로 컨포멀하게 형성된다.
공정(ST5a)에 계속되는 공정(ST5b)에서는, 처리 용기(12)의 처리 공간(Sp) 내의 공간을 퍼지한다. 구체적으로는, 공정(ST5a)에 있어서 공급된 가스(G1)가 배기된다. 공정(ST5b)에서는, 퍼지 가스로서 질소 가스 등의 불활성 가스를 처리 용기(12)의 처리 공간(Sp) 내에 공급해도 좋다. 즉, 공정(ST5b)의 퍼지는, 불활성 가스를 처리 용기(12)의 처리 공간(Sp) 내에 흘리는 가스 퍼지, 또는 에어 퍼지에 의한 퍼지의 어느 것이라도 좋다. 공정(ST5b)에서는, 웨이퍼(W) 상에 지나치게 부착된 분자도 제거될 수 있다. 이상에 따라서, 반응 전구체의 층(Ly1)은 매우 얇은 단분자층이 된다.
공정(ST5b)에 계속되는 공정(ST5c)은, 제2 가스(가스(G2))의 플라즈마를 발생시켜, 해당 플라즈마를 전구체(공정(ST5a)에 의해서 형성된 전구체이며 층(Ly1))에 공급하는 공정이다. 공정(ST5c)에서는, 처리 용기(12)의 처리 공간(Sp) 내에 있어서 가스(G2)의 플라즈마(P1)를 생성한다. 공정(ST5c)에 있어서, 가스(G2)의 플라즈마(P1)가 생성될 때의 웨이퍼(W)의 온도는, 섭씨 0도 이상 또한 마스크(MK1)에 포함되는 재료의 글라스 전이 온도 이하(예컨대 섭씨 200도 이하)이다. 구체적으로는, 가스 소스군(40)의 복수의 가스 소스 중 선택한 가스 소스로부터, 도 6의 부호 SRa에 나타내는 바와 같이, 가스 공급관(38) 및 가스 도입구(36c)를 통하여, 산소(O)를 포함하는 가스(G2)를 처리 용기(12)의 처리 공간(Sp) 내에 공급한다. 가스(G2)는, 산소 또는 질소를 함유한다. 가스(G2)는, 예컨대 O2 가스(산소 가스)를 포함할 수 있다. 이 경우, 도 6의 부호 SRb에 나타내는 바와 같이, 가스 도입구(52a)로부터는 가스를 공급하지 않거나, 또는, 도 6의 부호 SRb의 파선에 나타내는 바와 같이, 가스 공급관(82) 및 가스 도입구(52a)를 통하여, 역류 방지 가스를 처리 용기(12)의 처리 공간(Sp) 내에 공급한다.
그리고, 도 6의 부호 SRc에 나타내는 바와 같이 제1 고주파 전원(62)으로부터 고주파 전력을 공급하지만, 도 6의 부호 SRd에 나타내는 바와 같이 제2 고주파 전원(64)의 바이어스 전력을 인가하지 않는다. 배기 장치(50)를 동작시키는 것에 따라, 처리 용기(12)의 처리 공간(Sp) 내의 공간의 압력을 미리 설정된 압력으로 설정한다. 또, 제1 고주파 전원(62)을 이용하지 않고서 제2 고주파 전원(64)만을 이용하여 플라즈마를 생성할 수도 있다.
전술한 바와 같이 공정(ST5a)의 실행에 의해서 웨이퍼(W)의 주면에 부착된 분자(층(Ly1)의 단분자층을 구성하는 분자)는, 실리콘과 수소의 결합을 포함한다. 실리콘과 수소의 결합 에너지는, 실리콘과 산소의 결합 에너지보다도 낮다. 따라서, 도 7의 (b)부에 나타내는 바와 같이, 산소 가스를 포함하는 가스(G2)의 플라즈마(P1)가 생성되면, 산소의 활성종, 예컨대, 산소 라디칼이 생성되고, 층(Ly1)의 단분자층을 구성하는 분자의 수소가 산소로 치환되어, 도 7의 (c)부에 나타내는 바와 같이, 산화실리콘인 층(Ly2)이 단분자층으로서 형성된다.
공정(ST5c)에 계속되는 공정(ST5d)에서는, 처리 용기(12)의 처리 공간(Sp) 내의 공간을 퍼지한다. 구체적으로는, 공정(ST5c)에 있어서 공급된 가스(G2)가 배기된다. 공정(ST5d)에서는, 퍼지 가스로서, 예컨대 질소 가스 등의 불활성 가스를 처리 용기(12)의 처리 공간(Sp) 내에 공급해도 좋다. 즉, 공정(ST5d)의 퍼지는, 불활성 가스를 처리 용기(12)의 처리 공간(Sp) 내에 흘리는 가스 퍼지, 또는 에어 퍼지에 의한 퍼지의 어느 것이라도 좋다.
이상 설명한 바와 같이, 공정(ST5b)에 있어서 퍼지가 행해지고, 공정(ST5b)에 계속되는 공정(ST5c)에 있어서 층(Ly1)을 구성하는 분자의 수소가 산소로 치환된다. 따라서, 박막 형성 공정(공정(ST5a)∼공정(ST5d))의 실행에 의해서, 원자층의 레벨의 막 두께의 박막(보호막(SX)을 구성하는 막)이 웨이퍼(W)의 주면상에 형성된다. 1회의 박막 형성 공정의 실행에 의해서, ALD법과 동일하게, 산화실리콘의 층(Ly2)을, 웨이퍼(W)의 주면상에, 마스크(MK1)의 조밀에 상관없이 얇고 균일한 막 두께로 컨포멀하게 형성할 수 있다. 또한, 박막 형성 공정의 실행에 의해서, 도 10의 상태(CON2)에 나타내는 바와 같이, 처리 용기(12)의 내측의 표면에 박막(SXa)이 부착된다.
공정(ST5d)에 계속되는 공정(ST5e)는, 처리 용기(12) 내 중 웨이퍼(W)의 위쪽에 있는 영역을 클리닝한다. 보다 구체적으로, 공정(ST5e)는, 처리 용기(12)의 내측에서의 상부 전극(30)측의 표면을 클리닝한다. 공정(ST5e)에서는, 박막 형성 공정의 실행에 의해서 처리 용기(12)의 내측의 표면에 부착된 박막(SXa) 중 상부 전극(30)측의 표면에 부착된 부분(처리 용기(12) 내 중 웨이퍼(W)의 위쪽의 영역에 있는 부분)을, 도 10의 상태(CON3)에 나타내는 바와 같이, 제거한다.
공정(ST5e)는, 처리 공간(Sp)에 제3 가스(가스(G3))의 플라즈마를 발생시킨다. 공정(ST5e)에서는, 처리 용기(12)의 처리 공간(Sp) 내에 있어서 가스(G3)의 플라즈마를 생성한다. 공정(ST5e)는, 웨이퍼(W)의 위쪽에 있는 상부 전극(30)으로부터 공급되는 고주파 전력을 이용하여 처리 용기(12) 내에 가스(G3)의 플라즈마를 생성한다. 공정(ST5e)는, 제2 고주파 전원(64)을 이용한 바이어스 전압을 인가하지 않는다. 구체적으로는, 가스 소스군(40)의 복수의 가스 소스 중 선택한 가스 소스로부터, 도 6의 부호 SRa에 나타내는 바와 같이, 가스 공급관(38) 및 가스 도입구(36c)를 통하여, 가스(G3)를 처리 용기(12)의 처리 공간(Sp) 내에 공급한다. 이 경우, 도 6의 부호 SRb에 나타내는 바와 같이, 가스 도입구(52a)로부터는 가스를 공급하지 않거나, 또는, 도 6의 부호 SRb의 파선에 나타내는 바와 같이, 가스 공급관(82) 및 가스 도입구(52a)를 통해, 역류 방지 가스를 처리 용기(12)의 처리 공간(Sp) 내에 공급한다.
공정(ST5e)에서는, 이하의 프로세스 조건(이하, 조건군 CND라고 함)이 이용된다. 즉, 조건군 CND는, 도 6의 부호 SRc에 나타내는 바와 같이 제1 고주파 전원(62)으로부터 고주파 전력을 공급하지만, 도 6의 부호 SRd에 나타내는 바와 같이 제2 고주파 전원(64)의 바이어스 전압을 인가하지 않는다는 조건을 구비한다. 조건군 CND는, 또한, 와이드갭 조건을 구비한다. 본 설명에 있어서 와이드갭 조건이란, 전극 간격이 30[mm] 이상으로 되어 있는 상태를 의미한다. 예컨대 압력100[mTorr]의 조건 하에 있어서, 전극 간격이 30[mm] 미만인 경우에 갭 길이에 의존한 전자·이온 밀도의 변동의 저감이 실험적으로 확인되어 있고, 따라서, 적어도 30[mm]이상의 전극 간격을 갖고 있는 것이 바람직하다. 조건군 CND은, 또한, 배기 장치(50)를 동작시키는 것에 따라, 처리 용기(12)의 처리 공간(Sp) 내의 공간의 압력을, 미리 설정된 비교적으로 높은 압력으로 설정한다는 조건을 구비한다. 본 설명에 있어서 높은 압력이란, 대강 100[mTorr] 이상의 압력이다. 100[mTorr] 이상의 압력 하에서는, 평균 자유 공정이 1[mm] 이하가 되고, 웨이퍼(W)측으로의 라디칼, 이온의 입사가 충분히 저감되며, 웨이퍼(W)측의 에칭률이 억제된다.
공정(ST5e)의 클리닝에 있어서의 에칭률은, 공정(ST5e)의 상기 프로세스 조건(조건군 CND)에 의해서, 상부 전극(30)측(처리 용기(12)내의 상부) 쪽이 웨이퍼(W)측(처리 용기(12) 내의 하부)보다도 비교적으로 높다. 조건군 CND은, 상기한 바와 같이, 제1 고주파 전원(62)으로부터의 고주파 전력만을 공급하는 조건과, 처리 용기(12)의 처리 공간(Sp) 내의 압력을 비교적으로 높은 압력으로 하는 조건과, 와이드갭 조건을 구비한다.
조건군 CND 중, 제1 고주파 전원(62)으로부터만 고주파 전력을 공급한다는 조건에 의해서, 플라즈마 밀도 및 전자 밀도를 상부 전극(30)측에 편재시킬 수 있다. 조건군 CND 중, 처리 용기(12)의 처리 공간(Sp) 내의 압력을 비교적으로 높은 압력으로 하는 조건과 와이드갭 조건에 따라서, 플라즈마 밀도 및 전자 밀도의 각 밀도 분포를, 보다 상부 전극(30)측에 편재시킬 수 있다.
시스(Sheath)폭은, 전자 밀도의 변동에 따라서 변동하고, 시스 전압은 애노드/캐소드비에 따라서 결정된다. 본 설명에 있어서, 애노드/캐소드비란, 면적비를 의미하고 있고, 예컨대, 상부 전극(30) 및 하부 전극(LE)의 면적과, 각각에 도통하고 있는(각각의 전극과 동 전위의) 부분의 면적을 합한 합계의 면적이라고 할 수 있다. 조건군 CND에 있어서, 캐소드는 상부 전극(30)을 포함하고, 애노드는 웨이퍼(W)(하부 전극(LE))와 처리 용기(12) 내의 내벽을 포함하며, 애노드측의 영역쪽이 캐소드측의 영역보다도 상대적으로 넓기 때문에, 시스 전압도 저감된다.
따라서, 조건군 CND에 있어서, 전자 밀도 및 시스 전압, 및, 이온에너지가, 도 12 및 도 13에 나타내는 바와 같이, 상부 전극(30)으로부터 이격한 웨이퍼(W)측에 있어서 충분히 저감되기 때문에, 조건군 CND이 이용되는 공정(ST5e)의 클리닝에 있어서, 에칭률은, 웨이퍼(W)측의 쪽이 상부 전극(30)측보다도 작다.
도 12는 처리 용기(12) 내의 위치와 플라즈마 밀도의 상관을 표시하고 있고, 도 12의 횡축은 처리 용기(12) 내의 위치를 표시하며, 도 12의 종축은 플라즈마 밀도를 표시하고 있다. 도 13은 처리 용기(12) 내의 위치와 플라즈마 밀도의 상관을 표시하고 있고, 도 13의 횡축은 처리 용기(12) 내의 위치를 표시하며, 도 13의 종축은 이온 에너지를 표시하고 있다. 여기서, 플라즈마 밀도는, 플라즈마 중의 전자 밀도 및 이온 밀도를 의미하고 있다. 또한, 전자 밀도와 이온 밀도는 대략 동일하기 때문에, 플라즈마 밀도의 증감은, 전자 밀도 및 이온 밀도의 증감을 반영하고 있다.
조건군 CND에 따르면, 도 11에 나타내는 바와 같이, 상부 전극(30)측(처리 용기(12)내의 상부)에 있어서의 박막(SXa)의 제거가, 웨이퍼(W)측(처리 용기(12)내의 하부)에 있어서의 박막(SXa)의 제거보다도 빠르게 완료된다.
도 11은, 도 1에 나타내는 클리닝 공정의 클리닝(공정(ST5e))의 실행 시간, 또는 도 1에 나타내는 클리닝 공정의 클리닝(공정(ST5e))에 이용되는 고주파 전력과, 해당 클리닝에 의한 박막(SXa)의 나머지 두께와의 상관을 나타내는 도면이다. 도 11의 횡축은, 공정(ST5e)의 클리닝의 실행 시간, 또는, 공정(ST5e)의 클리닝에 이용되는 제1 고주파 전원(62)의 고주파 전력을 표시하고 있고, 도 11의 종축은, 공정(ST5e)의 클리닝 후의 박막(SXa)의 나머지 두께를 표시하고 있다.
공정(ST5e)의 클리닝에 있어서, 상부 전극(30)측의 에칭량(ET[nm])은, 상부 전극(30)측의 에칭률(ER[nm/sec])과 에칭 시간(T[sec])의 곱(ET[nm]=ER[nm/sec]×T[sec])이다. 에칭 시간(T[sec])은, 공정(ST5e)의 클리닝의 실행 시간이다. 에칭률은 제1 고주파 전원(62)의 고주파 전력(RF[W])에 대강 비례하기 때문에, 공정(ST5e)의 클리닝에 있어서, 상부 전극(30)측의 에칭량(ET[nm])은 RF[W]×T[sec]에 비례한다.
따라서, 공정(ST5e)의 클리닝의 실행시에서의 상부 전극(30)측의 박막(SXa)의 막 두께(FT[nm])를 에칭량(ET[nm])으로 설정하면(FT[nm]=ET[nm]), FT[nm]=RF[W]×T[sec]를 만족하는 RF[W], T[sec]를 이용함에 따라, 도 11에 나타내는 바와 같이 웨이퍼(W)에 대한 에칭을 충분히 억제하면서 상부 전극(30)측의 박막(SXa)을 충분히 제거할 수 있다. 이와 같이, 공정(ST5e)의 클리닝에 있어서 설정할 수 있는 RF[W], T[sec]의 조합은, 비교적으로 높은 자유도를 갖고, 조건군 CND와 정합하도록 적합하게 선택될 수 있다.
가스(G3)의 가스종은, 가스(G1)의 가스종 및 가스(G2)의 가스종과의 조합, 즉, 특히 처리 용기(12)의 내측에 형성되는 박막(SXa)의 재료에 따라서, 적합하게 선택될 수 있다.
박막(SXa)이 SiO2를 포함하는 물질인 경우, 예컨대, 가스(G1)는 유기 함유의 아미노실란계 가스를 포함하는 가스, 또는, 사염화실리콘(SiCl4)을 포함하는 가스이고, 가스(G2)는 O2 가스, CO2 가스, CO 가스 등의 산소(O)를 포함하는 가스이며, 가스(G3)는, 할로겐 화합물을 함유하고, 예컨대 CF4 가스, NF3 가스, SF6 가스 등의 불소(F)를 포함하는 가스일수 있다.
박막(SXa)이 텅스텐(W)을 포함하는 물질인 경우, 예컨대, 가스(G1)는 WF6 가스 등의 할로겐화텅스텐을 포함하는 가스이며, 가스(G2)는 수소(H2)를 포함하는 가스이며, 가스(G3)는 CF4 가스, NF3 가스, SF6 가스등의 불소(F)를 포함하는 가스일 수 있다.
박막(SXa)이 TiO, TiN 등의 티탄(Ti)을 포함하는 물질인 경우, 예컨대, 가스(G1)는 4염화 티탄(TiCl4) 또는 테트라퀴스디메틸아미노티탄(TDMAT)을 포함하는 가스이며, 가스(G2)는 물(H2O) 또는 암모니아(NH3)를 포함하는 가스이며, 가스(G3)는 CF4 가스, NF3 가스, SF6 가스, Cl2 가스 등의 할로겐(F, Cl 등)을 포함하는 가스일 수 있다.
박막(SXa)이 BOx, BN 등의 붕소(B)를 포함하는 물질인 경우, 예컨대, 가스(G1)는 BBr3 가스, BCl3 가스 등의 할로겐화붕소를 포함하는 가스이며, 가스(G2)는 물(H2O) 또는 암모니아(NH3)를 포함하는 가스이며, 가스(G3)는 CF4 가스, NF3 가스, SF6 가스, Cl2 가스 등의 할로겐(F, Cl 등)을 포함하는 가스일 수 있다.
박막(SXa)이 유기막인 경우, 가스(G1) 및 가스(G2)는, 모두, 유기 화합물 가스를 포함한다. 보다 구체적으로, 박막(SXa)이 유기막인 경우, 가스(G1) 및 가스(G2)에 관해서는, (a) 가스(G1)가 전자 공여성의 치환기(제1 치환기)를 포함하고 또한, 가스(G2)가 전자 흡인성의 치환기(제2 치환기)를 포함할 수 있다. 또는, (b)가스(G1)가 전자 흡인성인 치환기를 포함하고, 또한, 가스(G2)가 전자 공여성의 치환기를 포함할 수 있다. 박막(SXa)이 유기막인 경우, 가스(G3)는 O2 가스, CO2 가스, CO 가스 등의 산소(O)를 포함하는 가스이다. 또, 박막(SXa)이 유기막인 경우, 제1 공정(공정(ST5a)∼공정(ST5d))은, 전자 공여성의 치환기를 포함하는 가스(G1)를 처리 공간(Sp)에 공급하고, 전자 공여성의 치환기를 패턴(웨이퍼(W)의 표면에 형성되어 있는 요철에 의해서 획정되는 패턴이며, 예컨대 마스크(MK1)에 의해서 획정되는 패턴)의 표면에 흡착시키는 공정이며, 제2 공정(공정(ST5e)∼공정(ST5f))은, 전자 흡인성의 치환기를 포함하는 가스(G2)를 전자 공여성의 치환기에 공급하는 공정이다. 이와 같이, 전자 공여성의 치환기를 포함하는 가스(G1)의 재료와 전자 흡인성의 치환기를 포함하는 가스(G2)의 재료가 중합 반응함으로써, 퇴적막(보호막(SX)을 구성하는 박막)이 형성될 수 있다.
박막(SXa)이 유기막인 경우, 공정(ST5c)에 있어서 플라즈마는 생성되지 않고, 유기막인 박막(SXa)은 가스(G1)의 재료와 가스(G2)의 재료가 중합 또는 열중합되는 것에 따라 형성된다. 이와 같이 가스(G1)의 재료와 가스(G2)의 재료가 중합 또는 열중합하는 경우에 있어서도, ALD법과 동일하게, 자기 제어(self-limiting)가 작용한다.
박막(SXa)이 유기막인 경우, 박막 형성 공정(특히 공정(ST5a) 및 공정(ST5c))에 있어서, 웨이퍼(W)의 온도는, 예컨대 섭씨 30도 이상 섭씨 200도 이하로 조절되는 경우가 있다.
박막(SXa)이 유기막인 경우에 관해서, 보다 구체적으로 설명한다. 박막(SXa)이 유기막인 경우의 이하의 설명에서는, 편의상, 가스(G1) 및 가스(G2) 중 어느 한쪽의 가스를 가스(GA)라고 하고, 가스(G1) 및 가스(G2) 중 해당 가스(GA) 이외의 나머지의 한쪽의 가스를 가스(GB)라고 한다.
박막(SXa)이 유기막(요소 수지)인 경우, 예컨대, 가스(GA)는 전자 공여성의 치환기를 갖는 디아민 화합물을 포함하는 가스이며, 가스(GB)는 전자 흡인성의 치환기를 갖는 이소시아네이트 화합물을 포함하는 가스일 수 있다. 박막(SXa)이 요소 수지인 경우, 예컨대, 가스(GA)는 전자 공여성의 치환기를 갖는 요소를 포함하는 가스이며, 가스(GB)는 전자 흡인성의 치환기를 갖는 알데히드 화합물을 포함하는 가스일 수 있다.
제1 공정은, 이소시아네이트와 아민의 중합 반응, 또는, 이소시아네이트와 수산기를 갖는 화합물의 중합 반응에 의해서, 퇴적막(보호막(SX)을 구성하는 박막)을 형성할 수 있다.
박막(SXa)이 폴리아미드 수지인 경우, 예컨대, 가스(GA)는 전자 공여성의 치환기를 갖는 디아민 화합물을 포함하는 가스이며, 가스(GB)는 전자 흡인성의 치환기를 갖는 디카르복실산 화합물을 포함하는 가스일 수 있다.
박막(SXa)이 폴리에스테르 수지인 경우, 예컨대, 가스(GA)는 전자 공여성의 치환기를 갖는 디올 화합물을 포함하는 가스이며, 가스(GB)는 전자 흡인성의 치환기를 갖는 디카르복실산 화합물을 포함하는 가스일 수 있다.
박막(SXa)이 폴리카보네이트 수지인 경우, 예컨대, 가스(GA)는 전자 공여성의 치환기를 갖는 비스페놀 화합물을 포함하는 가스이며, 가스(GB)는 전자 흡인성의 치환기를 갖는 포스겐 화합물을 포함하는 가스일 수 있다.
보호막(SX)이 폴리우레탄 수지인 경우, 예컨대, 가스(GA)는 전자 공여성의 치환기를 갖는 알코올 화합물을 포함하는 가스이며, 가스(GB)는 전자 흡인성의 치환기를 갖는 이소시아네이트 화합물을 포함하는 가스일 수 있다.
박막(SXa)이 에폭시 수지인 경우, 예컨대, 가스(GA)는 전자 공여성의 치환기를 갖는 아민 화합물 또는 산무수물을 포함하는 가스이며, 가스(GB)는 전자 흡인성의 치환기를 갖는 에폭시 화합물을 포함하는 가스일 수 있다.
박막(SXa)이 페놀 수지인 경우, 예컨대, 가스(GA)는 전자 공여성의 치환기를 갖는 페놀 화합물을 포함하는 가스이며, 가스(GB)는 전자 흡인성의 치환기를 갖는 알데히드 화합물을 포함하는 가스일 수 있다.
박막(SXa)이 멜라민 수지인 경우, 예컨대, 가스(GA)는 전자 공여성의 치환기를 갖는 멜라민 화합물을 포함하는 가스이며, 가스(GB)는 전자 흡인성의 치환기를 갖는 알데히드 화합물을 포함하는 가스일 수 있다.
공정(ST5e)에 계속되는 공정(ST5f)에서는, 처리 용기(12)의 처리 공간(Sp) 내의 공간을 퍼지한다. 구체적으로는, 공정(ST5e)에 있어서 공급된 가스(G3)가 배기된다. 공정(ST5f)에서는, 퍼지 가스로서, 예컨대 질소 가스 등의 불활성 가스를 처리 용기(12)의 처리 공간(Sp) 내에 공급해도 좋다. 즉, 공정(ST5f)의 퍼지는, 불활성 가스를 처리 용기(12)의 처리 공간(Sp) 내에 흘리는 가스 퍼지, 또는 에어 퍼지에 의한 퍼지의 어느 것이어도 좋다.
시퀀스(SQ1)에 계속되는 공정(ST6)에서는, 시퀀스(SQ1)의 실행을 종료하는지 아닌지를 판정한다. 구체적으로는, 공정(ST6)에서는, 시퀀스(SQ1)의 실행 횟수가 미리 설정된 횟수에 달했는지 아닌지를 판정한다. 시퀀스(SQ1)의 실행 횟수의 결정은, 웨이퍼(W) 상에 성막되는 보호막(SX)의 막 두께를 결정하는 것이다.
즉, 1회(단위 사이클)의 시퀀스(SQ1)의 실행에 의해서 형성되는 박막의 막 두께와 시퀀스(SQ1)의 실행 횟수와의 곱에 의해서, 최종적으로 웨이퍼(W) 상에 형성되는 보호막(SX)의 막 두께가 실질적으로 결정된다. 따라서, 웨이퍼(W) 상에 형성되는 보호막(SX)의 원하는 막 두께에 따라서, 시퀀스(SQ1)의 실행 횟수가 설정된다.
공정(ST6)에 있어서 시퀀스(SQ1)의 실행 횟수가 미리 설정된 횟수에 달하고 있지 않다고 판정되는 경우에는(공정(ST6):아니오), 시퀀스(SQ1)의 실행이 재차 반복된다. 한편, 공정(ST6)에 있어서 시퀀스(SQ1)의 실행 횟수가 미리 설정된 횟수에 달하고 있다고 판정되는 경우에는(공정(ST6):예), 시퀀스(SQ1)의 실행이 종료하고,공정(ST7)에 이행한다.
이것에 의해서, 도 4의 (d)부에 나타내는 바와 같이, 웨이퍼(W)의 주면상에 산화 실리콘의 보호막(SX)이 형성된다. 즉, 시퀀스(SQ1)가 미리 설정된 횟수만큼 반복되는 것에 따라, 미리 설정된 막 두께를 갖는 보호막(SX)이, 마스크(MK1)의 조밀에 의하지 않고 균일한 막으로 컨포멀하게, 웨이퍼(W)의 주면에 형성된다.
보호막(SX)은 도 4의 (d)부에 나타내는 바와 같이, 영역 R11, 영역 R21 및 영역 R31을 포함한다. 영역 R31는, 마스크(MK1)의 측면상 및 마스크(ALM)의 측면상에 있어서 해당 측면을 따라서 연장하는 영역이다. 영역 R31은, 유기막(OL)의 표면으로부터 영역 R11의 하측까지 연장하고 있다. 영역 R11은, 마스크(MK1)의 상면의 위 및 영역 R31 위에 있어서 연장하고 있다. 영역 R21은, 인접하는 영역 R31의 사이에 있어서, 또한, 유기막(OL)의 표면 상에 있어서 연장하고 있다.
전술한 바와 같이, 시퀀스(SQ1)는, ALD법과 동일한 방법에 의해서 보호막(SX)을 형성하기 때문에, 마스크(MK1)의 조밀에 의하지 않고서, 영역 R11, 영역 R21, 및 영역 R31의 각각의 막 두께는, 서로 대략 동일한 막 두께가 된다.
또, 상기한 시퀀스(SQ1) 및 공정(ST6)의 성막 공정에 있어서 성막되는 보호막(SX)의 막 두께는 웨이퍼(W)의 주면의 온도에 따라서 증감하기 때문에, 공정(ST4)의 실행 후의 시퀀스(SQ1)의 실행 전에, 웨이퍼(W)의 주면의 복수개 영역(ER)(도 3을 참조)마다 온도 조절부(HT)를 이용하여 웨이퍼(W)의 주면의 온도를 조절함으로써, 웨이퍼(W)의 주면상에 있어서 보호막(SX)의 막 두께를 조절할 수 있다.
도 8을 참조하여 설명한다. 도 8에 나타내는 선 GRa는, 시퀀스(SQ1)에 의해서 형성되는 박막(보호막(SX)을 구성하는 막)의 막 두께와, 해당 막이 형성되는 웨이퍼(W)의 주면의 온도와의 대응을 나타내고 있고, 아레니우스(Arrhenius)의 식(아레니우스 플롯)에 대응하고 있다. 도 8의 횡축은, 시퀀스(SQ1)에 의해서 박막이 형성되는 웨이퍼(W)의 주면의 온도를 표시하고 있다. 도 8의 종축은, 시퀀스(SQ1)에 의해서 형성되는 박막의 막 두께를 표시하고 있다. 특히, 도 8의 횡축에 나타내는 막 두께는, 시퀀스(SQ1)에 있어서 이용되는 ALD법에 있어서의 자기 제어(self-limited) 영역에 이르는 시간 이상의 시간으로 형성되는 박막의 막 두께이다.
도 8에 나타내는 바와 같이, 웨이퍼(W)의 주면의 온도가 값 T1인 경우에, 웨이퍼(W)의 해당 주면에 형성되는 막의 막 두께는 값 W1이 되고, 웨이퍼(W)의 해당 주면의 온도가 값 T2(T2>T1)인 경우에 웨이퍼(W)의 해당 주면에 형성되는 막의 막 두께는 값 W2(W2>W1)로 된다. 이와 같이, ALD법을 이용한 경우, 웨이퍼(W)의 주면의 온도를 높게 할수록, 해당 주면에 형성되는 보호막(SX)의 막 두께를 두껍게 할 수 있다.
시퀀스(SQ1)는, 상기한 바와 같이, ALD법과 동일한 방법에 의해서 성막을 행하는 박막 형성 공정(공정(ST5a)∼공정(ST5d))과, 웨이퍼(W)의 위쪽(처리 용기(12) 내의 천장측)에 있어서의 처리 용기(12)의 내측의 부분을 해당 박막 형성 공정의 1회의 실행마다 클리닝하는 클리닝 공정(공정(ST5e), 공정(ST5f))을 구비한다. 박막 형성 공정은 ALD법과 동일한 방법이기 때문에, 1회의 박막 형성 공정에 의해서 처리 용기(12)의 내측에 형성되는 막의 막 두께는 원자층의 레벨의 막 두께이다. 이 때문에, 1회의 박막 형성 공정의 실행마다 행해지는 클리닝 공정에서는, 이러한 원자층의 레벨의 막 두께의 막이 제거되기 때문에, 클리닝 공정의 실행 시간이 충분히 짧아도 처리 용기(12)의 내측의 막 중 웨이퍼(W)의 위쪽에 있어서의 부분이 충분히 제거될 수 있다.
예컨대 1장의 웨이퍼(W)에 대하여 시퀀스(SQ1)를 20회 반복하는 처리 시간은, 클리닝 공정을 행하지 않고서 박막 형성 공정만을 20회 반복하는 처리 시간과 이 박막 형성 공정의 후에 처리 용기(12)의 내측의 클리닝을 1회만 행하는 처리 시간(웨이퍼를 이용한 클리닝의 경우에는 해당 웨이퍼의 반송에 요하는 처리 시간을 포함함)을 합계한 처리 시간에 비교하여, 짧아질 수 있다.
도 14는, 박막 형성 공정을 20회 행한 경우에 있어서의 웨이퍼(W)의 한 장마다의 처리 시간의 내역을 나타내는 도면이다. 도 15는, 웨이퍼(W)의 한 장마다에서의 박막 형성 공정의 반복 횟수와 처리 시간의 상관을 나타내는 도면이다.
클리닝 공정을 행하지 않고서 박막 형성 공정만을 20회 반복함과 함께 박막 형성 공정을 20회 반복 행한 후에 웨이퍼를 이용하여 처리 용기(12)의 내측의 클리닝을 1회만 행하는 경우의 처리 시간(처리 시간 TP1이라고 함)의 내역이, 도 14의 직사각형 GR1에 표시되어 있다. 직사각형 GR1에 있어서 부호 ALD1에 나타내는 부분은, 박막 형성 공정의 20회 분의 처리 시간을 나타내고 있다. 박막 형성 공정의 1회분의 처리 시간을 40[s/회] 정도로 하면, 박막 형성 공정의 20회 분의 처리 시간은, 800[s](=40[s/회]×20[회]) 정도가 된다.
직사각형 GR1에 있어서 부호 DC1에 나타내는 부분은, 박막 형성 공정이 20회 반복 행해진 경우에 처리 용기(12)의 내측의 클리닝에 요하는 처리 시간을 나타내고 있다. 박막 형성 공정이 20회 반복하여 행해진 경우에 처리 용기(12)의 내측의 클리닝에 요하는 처리 시간은, 300[s] 정도이다. 직사각형 GR1에 있어서 부호 TR1에 나타내는 부분은 처리 용기(12)의 내측의 클리닝에 이용되는 웨이퍼의 반송에 요하는 처리 시간을 표시하고 있다. 웨이퍼의 반송에 요하는 처리 시간은, 60[s] 정도이다.
따라서, 직사각형 GR1에 의해서 표시되는 처리 시간, 즉, 클리닝 공정을 행하지 않고 박막 형성 공정만을 20회 반복함과 함께 박막 형성 공정을 20회 반복 행한 후에 웨이퍼를 이용하여 처리 용기(12)의 내측의 클리닝을 1회만 행하는 경우의 처리 시간 TP1은 1160[s] 정도가 된다.
또한, 클리닝 공정을 행하지 않고서 박막 형성 공정만을 20회 반복함과 함께 박막 형성 공정을 20회 반복 행한 후에 웨이퍼를 이용하지 않고 처리 용기(12)의 내측의 클리닝을 1회만 행하는 경우의 처리 시간(처리 시간 TP2이라고 함)의 내역이, 도 14의 직사각형 GR2에 표시되어 있다. 직사각형 GR2에 있어서 부호 ALD2에 나타내는 부분은, 박막 형성 공정의 20 회분의 처리 시간을 나타내고 있다. 박막 형성 공정의 1회분의 처리 시간을 40[s/회] 정도로 하면, 박막 형성 공정의 20회분의 처리 시간은, 800[s](=40[s/회]×20 [회])정도가 된다.
직사각형 GR2에 있어서 부호 DC2에 나타내는 부분은, 박막 형성 공정이 20회 반복 행해진 경우에 처리 용기(12)의 내측의 클리닝에 요하는 처리 시간을 나타내고 있다. 박막 형성 공정이 20회 반복 행해진 경우에 처리 용기(12)의 내측의 클리닝에 요하는 처리 시간은 300[s] 정도이다.
따라서, 직사각형 GR2에 의해서 표시되는 처리 시간, 즉, 클리닝 공정을 행하지 않고 박막 형성 공정만을 20회 반복함과 함께 박막 형성 공정을 20회 반복 행한 후에 웨이퍼를 이용하지 않고 처리 용기(12)의 내측의 클리닝을 1회만 행하는 경우의 처리 시간 TP2은, 1100[s] 정도가 된다.
한편, 박막 형성 공정과 박막 형성 공정 후에 행하는 클리닝 공정을 구비하는 시퀀스(SQ1)를 20회 반복 행하는 경우의 처리 시간(처리 시간 TP3이라고 함)의 내역이, 도 14의 직사각형 GR3에 표시되어 있다. 직사각형 GR3에 있어서 부호 ALD3에 나타내는 부분은, 박막 형성 공정과 박막 형성 공정 후에 행하는 클리닝 공정을 구비하는 시퀀스(SQ1)의 20회분의 처리 시간을 나타내고 있다. 박막 형성 공정과 클리닝 공정을 구비하는 시퀀스(SQ1)의 1회분의 처리 시간을 45[s/회] 정도로 하면, 시퀀스(SQ1)의 20회분의 처리 시간은 900[s](=45[s/회]×20[회]) 정도가 된다.
도 15에 나타내는 바와 같이, 박막 형성 공정의 반복 횟수가 많을 수록 상기한 처리 시간 TP1 및 처리 시간 TP2은 본 실시형태에 따른 상기의 처리 시간 TP3에 비교하여 길어지고, 양자의 차이는 현저해진다.
도 1에 되돌아가 설명한다. 공정(ST6)에 계속되는 공정(ST7)에서는, 영역 R11 및 영역 R21을 제거하도록, 보호막(SX)을 에칭(에치백)한다. 영역 R11 및 영역 R21의 제거를 위해서는, 이방성의 에칭 조건이 필요하다. 이 때문에, 공정(ST7)에서는, 가스 소스군(40)의 복수의 가스 소스 중 선택한 가스 소스로부터, 가스 공급관(38) 및 가스 도입구(36c)를 통해, 플루오로카본계 가스를 포함하는 가스를 처리 용기(12)의 처리 공간(Sp) 내에 공급한다.
그리고, 제1 고주파 전원(62)으로부터 고주파 전력을 공급한다. 제2 고주파 전원(64)으로부터 고주파 바이어스 전력을 공급한다. 배기 장치(50)를 동작시키는 것에 따라, 처리 용기(12)의 처리 공간(Sp) 내의 공간의 압력을 미리 설정된 압력으로 설정한다. 이것에 의해서, 플루오로카본계 가스의 플라즈마가 생성된다.
생성된 플라즈마 중의 불소를 포함하는 활성종은, 고주파 바이어스 전력에 의한 수직 방향으로의 인입에 의해서, 영역 R11 및 영역 R21를 우선적으로 에칭한다. 그 결과, 도 5의 (a)부에 나타내는 바와 같이, 영역 R11 및 영역 R21가 선택적으로 제거되고, 남겨진 영역 R31에 의해서 마스크(MS)가 형성된다. 마스크(MS)와, 보호막(PF) 및 마스크(ALM)는 유기막(OL)의 표면상의 마스크(MK2)를 구성한다.
공정(ST7)에 계속되는 공정(ST8)에서는, 유기막(OL)을 에칭한다. 구체적으로는, 가스 소스군(40)의 복수의 가스 소스 중 선택한 가스 소스로부터, 가스 공급관(38) 및 가스 도입구(36c)를 통해, 질소 가스와 수소 가스를 포함하는 가스를 처리 용기(12)의 처리 공간(Sp) 내에 공급한다.
그리고, 제1 고주파 전원(62)으로부터 고주파 전력을 공급한다. 제2 고주파 전원(64)으로부터 고주파 바이어스 전력을 공급한다. 배기 장치(50)를 동작시키는 것에 따라, 처리 용기(12)의 처리 공간(Sp) 내의 공간의 압력을 미리 설정된 압력으로 설정한다. 이것에 의해서, 질소 가스와 수소 가스를 포함하는 가스의 플라즈마가 생성된다.
생성된 플라즈마 중의 수소의 활성종인 수소 라디칼은, 유기막(OL)의 전체 영역 중 마스크(MK2)로부터 노출한 영역을 에칭한다. 이것에 의해서, 도 5의 (b)부에 나타내는 바와 같이, 유기막(OL)으로부터 마스크(OLM)가 형성된다. 또, 유기막(OL)을 에칭하는 가스로서는, 산소를 포함하는 가스를 이용해도 좋다.
도 1에 나타내는 방법(MT)에서는, 공정(ST8)에 계속해서, 시퀀스(SQ2)를 1회 이상 실행한다. 시퀀스(SQ2)는, 도 5의 (b)부 및 도 5의 (c)부에 나타내는 바와 같이, ALE(Atomic Layer Etching)법과 동일한 방법에 의해서, 피에칭층(EL) 중 마스크(OLM)로 덮여 있지 않은 영역을, 마스크(OLM)의 소밀에 상관없이 고선택비로 정밀하게 에칭하는 공정이며, 시퀀스(SQ2)에 있어서 순차 실행되는 공정(ST9a), 공정(ST9b), 공정(ST9c), 공정(ST9d)를 포함한다.
공정(ST9a)은, 처리 용기(12)의 처리 공간(Sp) 내에 있어서 가스(G4)의 플라즈마를 생성하고, 도 5의 (b)부에 나타내는 바와 같이, 이 플라즈마에 포함되는 라디칼을 포함하는 혼합층(MX)을 피에칭층(EL)의 표면의 원자층에 형성한다. 혼합층(MX)은, 피에칭층(EL) 중 마스크(OLM)에 의해서 덮여 있지 않은 영역의 표면의 원자층에 형성된다. 공정(ST9a)에 있어서, 웨이퍼(W)가 정전척(ESC) 상에 적재되어 있는 상태에 있어서, 처리 용기(12)의 처리 공간(Sp) 내에 가스(G4)를 공급하고, 가스(G4)의 플라즈마를 생성한다.
가스(G4)는, 실리콘을 함유하는 피에칭층(EL)의 에칭에 적합한 에칭제 가스이며, 예컨대 플루오로카본계 가스와 희가스를 포함하고, 예컨대 CxFy/Ar 가스일 수 있다. CxFy는, 예컨대 CF4일 수 있다. 구체적으로는, 가스 소스군(40)의 복수의 가스 소스 중 선택한 가스 소스로부터, 플루오로카본계 가스와 희가스를 포함하는 가스(G4)를, 가스 공급관(38) 및 가스 도입구(36c)를 통해, 처리 용기(12)의 처리 공간(Sp) 내에 공급한다.
그리고, 제1 고주파 전원(62)으로부터 고주파 전력을 공급하고, 제2 고주파 전원(64)으로부터 고주파 바이어스 전력을 공급하며, 배기 장치(50)를 동작시키는 것에 따라 처리 용기(12)의 처리 공간(Sp) 내의 공간의 압력을 미리 설정된 압력으로 설정한다. 이와 같이 하여, 가스(G4)의 플라즈마가 처리 용기(12)의 처리 공간(Sp) 내에 있어서 생성된다. 가스(G4)의 플라즈마는, 탄소 라디칼 및 불소 라디칼을 포함한다.
도 9에 있어서, 회게 한 원(흰원)은, 피에칭층(EL)을 구성하는 원자를 나타내고 있고, 흑칠의 원(검은 원)은 라디칼을 나타내고 있으며, 원으로 둘러싸인 「+」는 후술의 가스(G5)에 포함되는 희가스의 원자의 이온(예컨대 Ar 원자의 이온)을 나타내고 있다. 도 9의 (a)부에 나타내는 바와 같이, 공정(ST9a)에 의해서, 가스(G4)의 플라즈마에 포함되는 탄소 라디칼 및 불소 라디칼이, 피에칭층(EL)의 표면에 공급된다.
이와 같이, 공정(ST9a)에 의해서, 피에칭층(EL)을 구성하는 원자와 탄소 라디칼 및 불소 라디칼을 포함하는 혼합층(MX)이, 도 5의 (b)부에 나타내는 바와 같이, 피에칭층(EL)의 표면에 형성된다.
이상과 같이, 가스(G4)가 플루오로카본계 가스를 포함하기 때문에, 공정(ST9a)에 있어서, 피에칭층(EL)의 표면의 원자층에 불소 라디칼 및 탄소 라디칼이 공급되고, 당해 원자층에 해당 양 라디칼을 함유하는 혼합층(MX)이 형성될 수 있다.
공정(ST9a)에 계속되는 공정(ST9b)에서는, 처리 용기(12)의 처리 공간(Sp) 내의 공간을 퍼지한다. 구체적으로는, 공정(ST9a)에 있어서 공급된 가스(G4)가 배기된다. 공정(ST9b)에서는, 퍼지 가스로서, 예컨대 질소 가스 또는 희가스(예컨대 Ar 가스 등) 등의 불활성 가스를, 처리 용기(12)의 처리 공간(Sp) 내에 공급해도 좋다. 즉, 공정(ST9b) 의 퍼지는, 불활성 가스를 처리 용기(12)의 처리 공간(Sp) 내에 흘리는 가스 퍼지, 또는, 에어 퍼지에 의한 퍼지의 어느 것이라도 좋다.
공정(ST9b)에 계속되는 공정(ST9c)에 있어서, 처리 용기(12)의 처리 공간(Sp) 내에 있어서 가스(G5)의 플라즈마를 생성하고, 해당 플라즈마에 바이어스 전압을 인가하여, 혼합층(MX)을 제거한다. 가스(G5)는, 희가스를 포함하고, 예컨대 Ar 가스를 포함할 수 있다.
구체적으로는, 가스 소스군(40)의 복수의 가스 소스 중 선택한 가스소스로부터, 희가스(예컨대 Ar 가스)를 포함하는 가스(G5)를, 가스 공급관(38) 및 가스 도입구(36c)를 통해, 처리 용기(12)의 처리 공간(Sp) 내에 공급하고, 제1 고주파 전원(62)으로부터 고주파 전력을 공급하고, 제2 고주파 전원(64)으로부터 고주파 바이어스 전력을 공급하며, 배기 장치(50)를 동작시키는 것에 따라 처리 용기(12)의 처리 공간(Sp) 내의 공간의 압력을 미리 설정된 압력으로 설정한다. 이와 같이 하여, 가스(G5)의 플라즈마가 처리 용기(12)의 처리 공간(Sp) 내에 있어서 생성된다.
생성된 플라즈마 중의 가스(G5)의 원자의 이온(예컨대 Ar 원자의 이온)은, 고주파 바이어스 전력에 의한 수직 방향으로의 인입에 의해서, 피에칭층(EL)의 표면의 혼합층(MX)에 충돌하고, 해당 혼합층(MX)에 에너지를 공급한다. 도 9의 (b)부에 나타내는 바와 같이, 공정(ST9c)에 의해서, 피에칭층(EL)의 표면에 형성된 혼합층(MX)에 가스(G5)의 원자의 이온을 통해 에너지가 공급되고, 이 에너지에 의해서 피에칭층(EL)으로부터 혼합층(MX)이 제거된다.
이상과 같이, 가스(G5)가 희가스를 포함하기 때문에, 공정(ST9c)에 있어서, 피에칭층(EL)의 표면에 형성된 혼합층(MX)은, 당해 희가스의 플라즈마가 바이어스 전압에 의해서 받는 에너지에 의해서, 해당 표면으로부터 제거된다.
공정(ST9c)에 계속되는 공정(ST9d)에서는, 처리 용기(12)의 처리 공간(Sp) 내의 공간을 퍼지한다. 구체적으로는, 공정(ST9c)에 있어서 공급된 가스(G5)가 배기된다. 공정(ST9d)에서는, 퍼지 가스로서, 예컨대 질소 가스 또는 희가스(예컨대 Ar 가스 등) 등의 불활성 가스를, 처리 용기(12)에 공급해도 좋다. 즉, 공정(ST9d)의 퍼지는, 불활성 가스를 처리 용기(12)의 처리 공간(Sp) 내에 흘리는 가스 퍼지 또는 에어 퍼지에 의한 퍼지의 어느 것이라도 좋다.
도 9의 (c)부에 나타내는 바와 같이, 공정(ST9d)에서 행해지는 퍼지에 의해서, 피에칭층(EL)의 표면의 혼합층(MX)을 구성하는 원자, 및, 가스(G5)의 플라즈마에 포함되는 과잉의 이온(예컨대 Ar 원자의 이온)도 충분히 제거된다.
시퀀스(SQ2)에 계속되는 공정(ST10)에서는, 시퀀스(SQ2)의 실행을 종료할지 아닌지를 판정한다. 구체적으로는, 공정(ST10)에서는, 시퀀스(SQ2)의 실행 횟수가 미리 설정된 횟수에 달했는지 아닌지를 판정한다. 시퀀스(SQ2)의 실행 횟수의 결정은, 피에칭층(EL)에 대한 에칭의 정도(깊이)를 결정하는 것이다.
시퀀스(SQ2)는, 기판(SB)의 표면에 이를 때까지 피에칭층(EL)을 에칭하도록, 반복 실행될 수 있다. 즉, 1회(단위 사이클)의 시퀀스(SQ2)의 실행에 의해서 에칭되는 피에칭층(EL)의 두께와 시퀀스(SQ2)의 실행 횟수의 곱이, 피에칭층(EL) 자체의 전체 두께가 되도록, 시퀀스(SQ2)의 실행 횟수가 결정될 수 있다. 따라서, 피에칭층(EL)의 두께에 따라서, 시퀀스(SQ2)의 실행 횟수가 설정될 수 있다.
공정(ST10)에 있어서 시퀀스(SQ2)의 실행 횟수가 미리 설정된 횟수에 달하고 있지 않다고 판정되는 경우에는(공정(ST10):아니오), 시퀀스(SQ2)의 실행이 재차 반복된다. 한편, 공정(ST10)에 있어서 시퀀스(SQ2)의 실행 횟수가 미리 설정된 횟수에 달하고 있다고 판정되는 경우에는(공정(ST10):예), 시퀀스(SQ2)의 실행이 종료된다.
시퀀스(SQ1)의 박막 형성 공정(공정(ST5a)∼공정(ST5d))에 의해서 처리 용기(12)의 내측에 형성된 박막(SXa)(보다 구체적으로는, 처리 용기(12)의 내측에 형성된 박막(SXa) 중 클리닝 공정(공정(ST5e), 공정(ST5f))에 의한 클리닝 후에 남은 부분이며, 도 10의 상태(CON2)에 나타내는 상태의 박막(SXa))은, 이상 설명한 시퀀스(SQ2) 및 공정(ST10)을 포함하는 공정에 의해서, 도 10의 상태(CON1)에 나타내는 바와 같이, 전부 제거된다.
이상과 같이, 시퀀스(SQ2) 및 공정(ST10)을 포함하는 공정은, ALE법과 동일한 방법에 의해서, 마스크(OLM)를 이용하여 시퀀스(SQ2)를 반복 실행하고 피에칭층(EL)을 원자층마다 제거하고, 피에칭층(EL)을 정밀하게 에칭한다.
상기 설명한 도 1에 나타내는 방법(MT)의 실행에 의해서, 예컨대 하기의 효과가 일례로서 발휘될 수 있다. 박막 형성 공정(공정(ST5a)∼공정(ST5d))의 1회의 실행에 의해서 박막이 형성될 때마다 클리닝 공정(공정(ST5e), 공정(ST5f))이 행해지기 때문에, 처리 용기(12) 내 중 웨이퍼(W)의 위쪽에 있는 영역(처리 용기(12) 내 중 상부 전극(30)측의 영역)에 대한 클리닝 공정에 의한 해당 박막의 제거는, 용이해진다.
또한, 박막 형성 공정에서는, 가스(G1)에 의해서 웨이퍼(W)의 주면에 반응 전구체(예컨대 도 7의 (b)부에 나타내는 층(Ly1))가 형성되고, 가스(G2)에 의해서 해당 반응 전구체에 대하여 박막이 컨포멀하게 형성된다. 이 박막은 처리 용기(12) 내에도 형성될 수 있지만, 처리 용기(12) 내 중 웨이퍼(W)의 위쪽에 있는 영역(처리 용기(12) 내 중 상부 전극(30)측의 영역)에 대해서는, 처리 용기(12)의 상부 전극(30)으로부터 공급되는 고주파 전력을 이용하여 생성되는 가스(G3)의 플라즈마에 의해서 제거(클리닝)된다.
또, 가스를 공급하는 구성으로서는, 도 2에 나타내는 것에 한정되지 않는다. 즉, 도 2에 나타내는 가스 도입구(36c), 가스 공급관(38), 가스 소스군(40), 밸브군(42), 유량 제어기군(45), 가스 도입구(52a), 가스 공급관(82)을 이용하지 않고서, 도 16에 나타내는 가스 공급 시스템(1)을 이용해도 좋다. 도 16은, 가스 공급 시스템(1)의 개요도이다. 도 16에 나타내는 가스 공급 시스템(1)은, 플라즈마 처리 장치(10)의 처리 용기(12) 내의 처리 공간(Sp)에 가스를 공급하는 시스템의 일례이다. 도 16에 나타내는 가스 공급 시스템(1)은, 제1 유로(L1), 제2 유로(L2), 가스 토출 구멍(34a), 가스 토출 구멍(34b), 복수의 다이어프램 밸브(다이어프램 밸브(DV1), 다이어프램 밸브(DV2), 다이어프램 밸브(DV3), 다이어프램 밸브(DV4))를 구비한다.
제1 유로(L1)는, 제1 가스의 제1 가스 소스(GS1)에 접속되어 있다. 제1 유로(L1)는, 처리 공간(Sp)의 천장을 구성하는 천장 부재(예컨대 상부 전극(30))의 내부 또는 처리 용기(12)의 측벽의 내부에 형성되어 있다. 복수의 가스 토출 구멍(34b)은, 제1 유로(L1)와 처리 공간(Sp)을 연통시킨다. 제2 유로(L2)는, 제2 가스의 제2 가스 소스(GS2)에 접속되어 있다. 제2 유로(L2)는, 상기 천장 부재의 내부 또는 처리 용기(12)의 측벽의 내부에 형성되어 있다. 복수의 가스 토출 구멍(34a)은, 제2 유로(L2)와 처리 공간(Sp)을 연통시킨다. 복수의 다이어프램 밸브(다이어프램 밸브(DV1)∼다이어프램 밸브(DV4))의 각각은, 제1 유로(L1)와 가스 토출 구멍(34b)의 사이에 있어서, 가스 토출 구멍(34b)에 대응하여 설치되어 있다.
도 16과 함께, 도 17을 참조하여, 가스 공급 시스템(1)의 구성을 보다 상세하게 설명한다. 도 17은, 도 16에 나타내는 가스 공급 시스템(1)이 이용된 경우에 있어서의 상부 전극(30)의 개략적인 단면도이다. 가스 공급 시스템(1)은, 제1 가스 소스(GS1) 및 제2 가스 소스(GS2)를 구비한다. 제1 가스 소스(GS1)는, 제1 가스를 저류한다. 제2 가스 소스(GS2) 는, 제2 가스를 저류한다. 제1 가스 및 제2 가스는 임의이다. 일례로서, 제2 가스는 프로세스의 메인 가스, 제1 가스는 프로세스의 첨가 가스로 해도 좋다. 또한, 가스(G1)는 가스 도입구(52a)로부터 처리 공간(Sp)에 도입되는 가스이며, 가스(G2)는 가스 도입구(36c)로부터 처리 공간(Sp)에 도입되는 가스라도 좋다.
가스 공급 시스템(1)은, 제1 주유로(主流路)(L10) 및 제2 주유로(L20)를 구비한다. 제1 주유로(L10)는, 제1 가스 소스(GS1)와 처리 용기(12)의 제1 유로(L1)를 공급구(IN1)를 통해 접속한다. 제2 주유로(L20)는, 제2 가스의 제2 가스 소스(GS2)와 처리 용기(12)의 제2 유로(L2)를 공급구(IN4)를 통해 접속한다. 제1 주유로(L10) 및 제2 주유로(L20)는, 예컨대 배관으로 형성된다. 도 16 및 도 17에 나타내는 제2 유로(L2)는, 도 1에 나타내는 가스 확산실(36a)에 대응하고 있다.
제1유로(L1)는, 제1 가스 소스(GS1)에 접속되고, 처리 용기(12)의 상부 전극(30)(천장 부재의 일례)의 내부, 또는, 처리 용기(12)의 측벽의 내부에 형성된다. 제1 유로(L1)는 제1 가스가 공급되는 공급구(IN1), 및, 제1 가스가 배기되는 배기구(OT1)를 갖고, 공급구(IN1)로부터 배기구(OT1)까지 연장한다. 배기구(OT1)는, 배기 유로(EK)를 통해 처리 용기(12)를 배기하는 배기 장치(51)에 접속되어 있다.
제1유로(L1)와 처리 용기(12) 내의 처리 공간(Sp)은, 복수의 가스 토출 구멍(34b)에 의해서 연통되고 있다. 제1 가스는, 제1 유로(L1)에 각각 접속된 복수의 가스 토출 구멍(34b)으로부터 처리 용기(12)의 처리 공간(Sp)에 공급된다.
제1 유로(L1)와 가스 토출 구멍(34b)의 사이에는, 하나의 가스 토출 구멍(34b)에 대응하여 하나의 다이어프램 밸브가 설치되어 있다. 즉, 가스 공급 시스템(1)은, 복수의 가스 토출 구멍(34b)에 대응한 복수의 다이어프램 밸브를 구비한다. 일례로서, 도 16에서는, 4개의 가스 토출 구멍(34b)에 대응한 4개의 다이어프램 밸브(다이어프램 밸브(DV1)∼다이어프램 밸브(DV4))가 표시되어 있다. 4개의 다이어프램 밸브(다이어프램 밸브(DV1) 등)는, 각각 독립적으로 동작한다.
다이어프램 밸브의 일례는, ON/OFF 밸브이다. 복수의 가스 토출 구멍(34b)은 4개에 한정되는 것은 아니고, 2개 이상이면 좋다. 또한, 복수의 다이어프램 밸브는, 복수의 가스 토출 구멍(34b)의 각각에 대응하여 설치되면 되고, 4개에 한정되는 것은 아니다.
제1유로(L1)와 가스 토출 구멍(34b)의 사이에는, 하나의 가스 토출 구멍(34b)에 대응하여 하나의 오리피스가 설치되어 있어도 좋다. 오리피스는, 다이어프램 밸브보다도 상류측에 배치된다. 일례로서, 도 16에서는, 4개의 오리피스(오리피스(OK1), 오리피스(OK2), 오리피스(OK3), 오리피스(OK4))가 표시되어 있다. 각 다이어프램 밸브는 오리피스의 출구로부터 가스 토출 구멍(34b)에 공급되는 제1 가스의 공급 타이밍을 제어한다. 복수의 오리피스는, 복수의 가스 토출 구멍(34b)의 각각에 대응하여 설치되면 되고, 4개에 한정되는 것은 아니다.
제2 유로(L2)는, 제2 가스 소스(GS2)에 접속되고, 처리 용기(12)의 상부 전극(30)의 내부 또는 처리 용기(12)의 측벽의 내부에 형성된다. 제2 유로(L2)는, 복수의 가스 토출 구멍(34a)에 접속되어 있다. 제2 가스는, 제2 유로(L2)에 각각 접속된 복수의 가스 토출 구멍(34a)으로부터 처리 용기(12)의 처리 공간(Sp)에 공급된다.
가스 공급 시스템(1)은, 압력식 유량 제어 장치(FC)를 구비해도 좋다. 압력식 유량 제어 장치(FC)는, 제2 주유로(L20)에 있어서의 제2 가스 소스(GS2)의 하류측에 배치된다. 압력식 유량 제어 장치(FC)의 상류측에는, 일차 밸브(VL4)가 설치되고, 압력식 유량 제어 장치(FC)의 하류측에는, 이차 밸브(VL5)가 설치된다.
또, 유량 제어 장치는, 압력식 유량 제어 장치에 한정되는 일은 없고, 열식 유량 제어 장치나 그 밖의 원리에 기초한 유량 제어 장치라도 좋다.
제2 가스 소스(GS2)의 제2 가스는, 압력식 유량 제어 장치(FC)에 의해서, 유량 및 압력이 조정되고, 처리 용기(12)의 제2 유로(L2)에 공급구(IN4)를 통해 공급된다.
가스 공급 시스템(1)은, 컨트롤 밸브(VL1)를 구비해도 좋다. 컨트롤 밸브(VL1)는, 제1 주유로(L10)에 있어서의 제1 가스 소스(GS1)의 하류측에 배치된다. 컨트롤 밸브(VL1)는, 공급구(IN1)의 상류에 설치되고, 공급구(IN1)에 공급되는 제 1가스를 미리 설정된 압력으로 제어한다.
컨트롤 밸브(VL1)는, 압력식 유량 제어 장치(FC)가 갖는 컨트롤 밸브와 동일한 기능을 갖는다. 컨트롤 밸브(VL1)와 공급구(IN1)와의 사이의 유로에 있어서, 제1 압력 검출기(PM1)가 배치되어도 좋다.
컨트롤 밸브(VL1)는, 일례로서, 제1 압력 검출기(PM1)의 검출 결과에 기초하여 제1 가스의 유량을 제어한다. 보다 구체적인 일례로서는, 제어 회로(C1)가 컨트롤 밸브(VL1)의 동작을 결정한다.
제어 회로(C1)는, 제1 압력 검출기(PM1)에 의해서 검출된 압력을 입력하고, 검출된 압력의 유량 연산을 행한다. 그리고, 제어 회로(C1)는, 설정된 목표 유량과 산출한 유량을 비교하여, 차분이 작아지도록 컨트롤 밸브(VL1)의 동작을 결정한다.
또, 제1 가스 소스(GS1)와 컨트롤 밸브(VL1)의 사이에 일차 밸브가 설치되어 있어도 좋다. 컨트롤 밸브(VL1)의 하류, 또한, 제1 압력 검출기(PM1)의 상류에 이차 밸브가 설치되어 있어도 좋다. 또한, 제어 회로(C1) 및 컨트롤 밸브(VL1)가 유닛(U1)으로서 유닛화되어 있어도 좋다.
가스 공급 시스템(1)은, 배기구(OT1)로부터 배기된 제1 가스의 압력을 검출하는 제2 압력 검출기(PM2)를 더욱 구비하는 경우가 있다. 이 경우, 컨트롤 밸브(VL1)는, 일례로서, 제1 압력 검출기(PM1) 및 제2 압력 검출기(PM2)의 검출 결과에 기초하여, 제1 가스의 유량을 제어한다.
보다 구체적으로는, 제1 압력 검출기(PM1)의 검출 결과와 제2 압력 검출기(PM2)의 검출 결과에 기초하여, 각 오리피스의 배치 위치의 제1 가스의 압력이 산출된다. 그리고, 압력의 산출 결과에 기초하여, 각 다이어프램 밸브에 의한 제1 가스의 공급 타이밍이 제어된다.
가스 공급 시스템(1)은, 제1 유로(L1)에 있어서의 제1 가스의 온도를 검출하는 온도 검출기(TM)(도 17을 참조)를 구비하는 경우가 있다. 이 경우, 컨트롤 밸브(VL1)는, 압력식 유량 제어 장치(FC)에 구비되는 컨트롤 밸브와 동일하게, 온도 검출기(TM)를 이용하여 유량 보정을 행한다. 구체적으로는, 컨트롤 밸브(VL1)는, 온도 검출기(TM)의 검출 결과에 기초하여 제1 가스의 유량을 제어한다.
제1 가스 소스(GS1)의 제1 가스는, 컨트롤 밸브(VL1)에 의해서 유량 및 압력이 조정되고, 처리 용기(12)의 제1 유로(L1)에 공급구(IN1)를 통해 공급된다. 또, 제 1유로(L1)의 배기구(OT1)에는, 배기용 오리피스(OKEx)가 설치되어 있어도 좋다.
플라즈마 처리 장치(10)의 제어부(Cnt)는, 가스 공급 시스템(1)에 있어서, 컨트롤 밸브(VL1), 복수의 다이어프램 밸브(다이어프램 밸브(DV1)∼다이어프램 밸브(DV4) 등)를 동작시킨다.
제어부(Cnt)는, 가스 공급 시스템(1)에 있어서, 기억부에 기억된 레시피를 입력하고, 컨트롤 밸브(VL1)를 동작시키는 제어 회로(C1)에 신호를 출력한다. 제어부(Cnt)는, 가스 공급 시스템(1)에 있어서, 기억부에 기억된 레시피를 입력하고, 복수의 다이어프램 밸브(다이어프램 밸브(DV1)∼다이어프램 밸브(DV4) 등)의 개폐 동작을 제어한다. 제어부(Cnt)는, 가스 공급 시스템(1)에 있어서, 제어 회로(C1)를 통해 배기 장치(51)를 동작할 수 있다.
배기구(12e)에는, 배기관(52)을 통해 배기 장치(50) 및 배기 장치(51)가 접속되어 있다. 배기 장치(50)는, 터보 분자 펌프이며, 배기 장치(51)는 드라이 펌프이다. 배기 장치(50)는, 처리 용기(12)에 대하여, 배기 장치(51)보다도 상류측에 설치되어 있다.
배기 장치(50)와 배기 장치(51)의 사이의 배관에는, 가스 공급 시스템(1)의 배기 유로(EK)가 접속하고 있다. 배기 장치(50)와 배기 장치(51)의 사이에 배기 유로(EK)가 접속되는 것에 따라서, 배기 유로(EK)로부터 처리 용기(12) 내로의 가스의 역류가 억제된다.
도 17에 나타내는 바와 같이, 상부 전극(30)의 전극 지지체(36)의 내부에는, 수평 방향으로 연장하는 제1 유로(L1) 및 제2 유로(L2)가 설치되어 있다. 제1 유로(L1)는 제2 유로(L2)의 아래쪽에 위치하고 있다.
전극 지지체(36)에는, 제1 유로(L1)와 제1 유로(L1)의 아래쪽에서 연장하는 복수의 가스 토출 구멍(34b)을 접속하는 복수의 가스 통류 구멍(36d)이 설치되어 있다. 전극 지지체(36)의 제1 유로(L1)와 가스 토출 구멍(34b)의 사이에는, 오리피스(OK1) 및 다이어프램 밸브(DV1)가 설치되어 있다. 다이어프램 밸브(DV1)의 하부에는, 밸브 기능을 발휘하는 밀봉 부재(74)가 배치된다.
밀봉 부재(74)는, 가요성을 갖는 부재로 구성될 수 있다. 밀봉 부재(74)는, 예컨대, 탄성 부재, 다이어프램, 벨로우즈 등이어도 좋다.
제1 유로(L1)를 흐르는 제1 가스는, 다이어프램 밸브(DV1)가 개방일 때, 오리피스(OK1)의 출구, 가스 통류 구멍(36d), 및, 가스 토출 구멍(34b)을 통과하여, 처리 공간(Sp)에 공급된다. 다른 가스 토출 구멍(34b)도 동일한 구성을 구비한다. 또, 전극 지지체(36)에는 컨트롤 밸브(VL1)가 유량 보정을 행하기 위한 온도 검출기(TM)가 설치되어 있다.
전극 지지체(36)에는, 제2 유로(L2)와 제2 유로(L2)의 아래쪽에서 연장하는 복수의 가스 토출 구멍(34a)을 접속하는 복수의 가스 통류 구멍(36b)이 설치되어 있다. 제2 가스는, 공급구(IN4)를 통해 공급되고, 복수의 가스 통류 구멍(36b), 복수의 가스 토출 구멍(34a)을 통과하여, 처리 공간(Sp)에 공급된다.
이상, 적합한 실시의 형태에 있어서 본 발명의 원리를 도시하여 설명해 왔지만, 본 발명은, 그러한 원리로부터 일탈하지 않고 배치 및 상세에 있어서 변경될 수 있는 것은, 당업자에 의해서 인식된다. 본 발명은, 본 실시의 형태에 개시된 특정한 구성에 한정되는 일은 없다. 따라서, 특허청구의 범위 및 그 정신의 범위로부터 오는 모든 수정 및 변경에 권리를 청구한다.
1 : 가스 공급 시스템, 10 : 플라즈마 처리 장치, 12 : 처리 용기, 12e : 배기구, 12g : 반입출구, 14 : 지지부, 22 : 직류 전원, 23 : 스위치, 24 : 냉매 유로, 26a : 배관, 26b : 배관, 30 : 상부 전극, 32 : 절연성 차단 부재, 34 : 전극판, 34a : 가스 토출 구멍, 34b : 가스 토출 구멍, 36 : 전극 지지체, 36a : 가스 확산실, 36b : 가스 통류 구멍, 36c : 가스 도입구, 38 : 가스 공급관, 40 : 가스 소스군, 42 : 밸브군, 45 : 유량 제어기군, 46 : 디포지션 실드, 48 : 배기 플레이트, 50 : 배기 장치, 51 : 배기 장치, 52 : 배기관, 52a : 가스 도입구, 54 : 게이트 밸브, 62 : 제1 고주파 전원, 64 : 제2 고주파 전원, 66 : 정합기, 68 : 정합기, 70 : 전원, 74 : 밀봉 부재, 82 : 가스 공급관, AL : 반사 방지막, ALM : 마스크, C1 : 제어 회로, Cnt : 제어부, CON1 : 상태, CON2 : 상태, CON3 : 상태, DV1 : 다이어프램 밸브, DV2 : 다이어프램 밸브, DV3 : 다이어프램 밸브, DV 4 : 다이어프램 밸브, EK : 배기 유로, EL : 피에칭층, ER : 영역, ESC : 정전척, FC : 압력식 유량 제어 장치, FR : 포커스링, GS1 : 제1 가스 소스, GS2 : 제2 가스 소스, HP : 히터 전원, HT : 온도 조절부, IN1 : 공급구, IN4 : 공급구, L1 : 제1 유로, L10 : 제1 주유로, L2 : 제2 유로, L20 : 제2 주유로, LE : 하부 전극, Ly1 : 층, Ly2 : 층, MK1 : 마스크, MK2 : 마스크, MS : 마스크, MT : 방법, MX : 혼합층, OK1 : 오리피스, OK2 : 오리피스, OK3 : 오리피스, OK4 : 오리피스, OKEx : 배기용 오리피스, OL : 유기막, OLM : 마스크, OT1 : 배기구, P1 : 플라즈마, PD : 적재대, PF : 보호막, PM1 : 제1 압력 검출기, PM2 : 제2 압력 검출기, R11 : 영역, R21 : 영역, R31 : 영역, SB : 기판, Sp : 처리 공간, SX : 보호막, SXa : 박막, TM : 온도 검출기, U1 : 유닛, VL1 : 컨트롤 밸브, VL4 : 일차 밸브, VL5 : 이차 밸브, W : 웨이퍼.

Claims (18)

  1. 기판 상에 형성된 패턴에 성막하는 성막 방법으로서, 상기 기판은 감압 환경 하에 있어서 플라즈마 처리 가능한 공간에 설치된 적재대에 배치되고, 상기 공간에는 상기 적재대에 대향하고 있고 고주파 전력이 공급 가능한 상부 전극이 배치되며, 상기 방법은,
    상기 기판의 상기 패턴에 퇴적막을 형성하는 제1 공정과,
    전력을 상기 상부 전극에만 공급하여 상기 공간에 플라즈마를 발생시키는 것에 따라, 상기 공간을 클리닝하는 제2 공정
    을 포함하는 시퀀스를 반복하는 것인, 성막 방법.
  2. 제1항에 있어서,
    상기 제1 공정은,
    전구체의 재료를 포함하는 제1 가스를 상기 공간에 공급하고, 상기 전구체를 상기 패턴의 표면에 흡착시키는 공정과,
    제2 가스의 플라즈마를 발생시켜, 상기 플라즈마를 상기 전구체에 공급하는 공정
    을 포함하는 것인, 성막 방법.
  3. 제2항에 있어서,
    상기 제1 가스는, 아미노실란계 가스인 것인, 성막 방법.
  4. 제2항에 있어서,
    상기 제2 가스는, 산소 또는 질소를 함유하는 것인, 성막 방법.
  5. 제2항에 있어서,
    상기 제2 공정에서는, 상기 공간에 제3 가스의 플라즈마를 발생시키고,
    상기 제3 가스는, 할로겐 화합물을 함유하는 것인, 성막 방법.
  6. 제2항에 있어서,
    상기 제1 가스의 아미노실란계 가스는, 1∼3개의 규소 원자를 갖는 아미노실란을 포함하는 것인, 성막 방법.
  7. 제2항에 있어서,
    상기 제1 가스의 아미노실란계 가스는, 1∼3개의 아미노기를 갖는 아미노실란을 포함하는 것인, 성막 방법.
  8. 제2항에 있어서,
    상기 제1 가스는, 할로겐화텅스텐을 함유하는 것인, 성막 방법.
  9. 제2항에 있어서,
    상기 제1 가스는, 4염화티탄 또는 테트라퀴스디메틸아미노티탄을 함유하는 것인, 성막 방법.
  10. 제2항에 있어서,
    상기 제1 가스는, 할로겐화붕소를 함유하는 것인, 성막 방법.
  11. 제1항에 있어서,
    상기 제1 공정은,
    전자 공여성의 제1 치환기를 포함하는 제1 가스를 상기 공간에 공급하고, 상기 제1 치환기를 상기 패턴의 표면에 흡착시키는 공정과,
    전자 흡인성의 제2 치환기를 포함하는 제2 가스를 상기 제1 치환기에 공급하는 공정
    을 포함하는 것인, 성막 방법.
  12. 제1항에 있어서,
    상기 제1 공정은, 이소시아네이트와 아민의 중합 반응, 또는, 이소시아네이트와 수산기를 갖는 화합물과의 중합 반응에 의해서, 상기 퇴적막을 형성하는 것인, 성막 방법.
  13. 처리 방법으로서,
    챔버 내의 공간에서의 적재대 상에 기판을 제공하는 단계;
    원자 층 성막에 의해 상기 기판 상에 막을 형성하는 단계;
    상기 공간에 플라즈마를 생성함으로써 상기 공간을 클리닝하는 단계; 및
    상기 형성하는 단계 및 상기 클리닝하는 단계를 수회 반복하는 단계
    를 포함하는, 처리 방법.
  14. 제13항에 있어서,
    상기 적재대 상의 상기 기판과 대향(face)하도록 상부 전극을 제공하는 단계; 및
    상기 공간의 클리닝에서 상기 상부 전극에 전력을 공급하는 단계
    를 더 포함하는, 처리 방법.
  15. 제13항에 있어서,
    상기 막을 형성하는 단계는,
    상기 기판의 흡수된 표면을 형성하기 위해 상기 공간에 전구체를 포함하는 제1 가스를 공급하는 단계; 및
    상기 흡수된 표면을 상기 플라즈마에 노출시키기 위해 제2 가스의 플라즈마를 생성하는 단계
    를 포함하는 것인, 처리 방법.
  16. 제13항에 있어서,
    상기 형성하는 단계 및 상기 클리닝하는 단계를 수회 반복한 후에 상기 기판을 에칭하는 단계를 더 포함하는, 처리 방법.
  17. 제13항에 있어서,
    상기 클리닝 단계는 F-함유 가스를 공급하는 단계를 포함하는 것인, 처리 방법.
  18. 제17항에 있어서,
    상기 F-함유 가스는 CF4, NF3, 또는 SF6인 것인, 처리 방법.
KR1020180168346A 2017-12-25 2018-12-24 성막 방법 KR20190077238A (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JPJP-P-2017-247937 2017-12-25
JP2017247937A JP2019114692A (ja) 2017-12-25 2017-12-25 成膜方法

Publications (1)

Publication Number Publication Date
KR20190077238A true KR20190077238A (ko) 2019-07-03

Family

ID=66951394

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020180168346A KR20190077238A (ko) 2017-12-25 2018-12-24 성막 방법

Country Status (5)

Country Link
US (1) US20190198321A1 (ko)
JP (1) JP2019114692A (ko)
KR (1) KR20190077238A (ko)
CN (1) CN110004431A (ko)
TW (1) TW201937596A (ko)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP7071175B2 (ja) * 2017-04-18 2022-05-18 東京エレクトロン株式会社 被処理体を処理する方法
JP7089881B2 (ja) * 2018-01-10 2022-06-23 東京エレクトロン株式会社 成膜方法
US11002063B2 (en) * 2018-10-26 2021-05-11 Graffiti Shield, Inc. Anti-graffiti laminate with visual indicia
JP2022018484A (ja) * 2020-07-15 2022-01-27 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
CN114836730B (zh) * 2021-12-30 2024-01-02 长江存储科技有限责任公司 氧化膜的原子层沉积方法

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2014053644A (ja) 2013-12-11 2014-03-20 Hitachi High-Technologies Corp プラズマ処理装置およびプラズマ処理方法
JP2016072625A (ja) 2014-09-30 2016-05-09 ラム リサーチ コーポレーションLam Research Corporation プラズマ援用原子層堆積におけるrf補償のための方法及び装置
JP2017073535A (ja) 2015-10-06 2017-04-13 東京エレクトロン株式会社 被処理体を処理する方法

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH09167755A (ja) * 1995-12-15 1997-06-24 Nec Corp プラズマ酸化膜処理装置
US8486845B2 (en) * 2005-03-21 2013-07-16 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
CN103035466B (zh) * 2011-10-08 2016-06-08 北京北方微电子基地设备工艺研究中心有限责任公司 一种预清洗方法及等离子体设备
JP5801374B2 (ja) * 2013-12-27 2015-10-28 株式会社日立国際電気 半導体装置の製造方法、プログラム、及び基板処理装置
JP6462477B2 (ja) * 2015-04-27 2019-01-30 東京エレクトロン株式会社 被処理体を処理する方法

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2014053644A (ja) 2013-12-11 2014-03-20 Hitachi High-Technologies Corp プラズマ処理装置およびプラズマ処理方法
JP2016072625A (ja) 2014-09-30 2016-05-09 ラム リサーチ コーポレーションLam Research Corporation プラズマ援用原子層堆積におけるrf補償のための方法及び装置
JP2017073535A (ja) 2015-10-06 2017-04-13 東京エレクトロン株式会社 被処理体を処理する方法

Also Published As

Publication number Publication date
CN110004431A (zh) 2019-07-12
TW201937596A (zh) 2019-09-16
JP2019114692A (ja) 2019-07-11
US20190198321A1 (en) 2019-06-27

Similar Documents

Publication Publication Date Title
KR20190077238A (ko) 성막 방법
US10777422B2 (en) Method for processing target object
US9859126B2 (en) Method for processing target object
CN107026081B (zh) 对被处理体进行处理的方法
CN108735596B (zh) 处理被处理体的方法
CN107731677B (zh) 处理被处理体的方法
KR20190087322A (ko) 플라즈마 처리 장치의 부품의 클리닝 방법
KR102650948B1 (ko) 플라즈마 처리 장치의 부품의 클리닝 방법
CN110029325B (zh) 成膜方法
CN109417029B (zh) 对被处理体进行处理的方法
JP2018182104A (ja) 成膜方法
US9721766B2 (en) Method for processing target object
TWI833726B (zh) 成膜方法
JP2018182103A (ja) エッチング方法

Legal Events

Date Code Title Description
A201 Request for examination