CN110004431A - 成膜方法 - Google Patents

成膜方法 Download PDF

Info

Publication number
CN110004431A
CN110004431A CN201811580087.9A CN201811580087A CN110004431A CN 110004431 A CN110004431 A CN 110004431A CN 201811580087 A CN201811580087 A CN 201811580087A CN 110004431 A CN110004431 A CN 110004431A
Authority
CN
China
Prior art keywords
gas
film
space
plasma
process container
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201811580087.9A
Other languages
English (en)
Inventor
木原嘉英
横山乔大
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of CN110004431A publication Critical patent/CN110004431A/zh
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0338Process specially adapted to improve the resolution of the mask
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/042Coating on selected surface areas, e.g. using masks using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/4554Plasma being used non-continuously in between ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4581Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber characterised by material of construction or surface finish of the means for supporting the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02043Cleaning before device manufacture, i.e. Begin-Of-Line process
    • H01L21/02046Dry cleaning only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02118Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02186Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing titanium, e.g. TiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02334Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment in-situ cleaning after layer formation, e.g. removing process residues
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0335Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by their behaviour during the process, e.g. soluble masks, redeposited masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/32051Deposition of metallic or metal-silicide layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/011Groups of the periodic table
    • H01L2924/01111Halogens
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/06Polymers
    • H01L2924/0695Polyamide

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Electromagnetism (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • Plasma Technology (AREA)
  • Physical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

为了在被处理基片上形成图案时实现随高度集成化带来的精细化,提供一种用于抑制颗粒产生的技术。一实施方式的方法是一种在形成于被处理基片上的图案上成膜的成膜方法,被处理基片被配置在载置台上,载置台被设置在能够在减压环境下进行等离子体处理的空间内,在该空间配置有与载置台相对的能够供给高频电力的上部电极。该方法反复执行下述流程,其中该流程包括:在被处理基片的图案上形成沉积膜的第一步骤;和仅对上部电极供给电力来在空间中产生等离子体,从而对空间进行清扫的第二步骤。

Description

成膜方法
技术领域
本发明的实施方式涉及一种成膜方法。
背景技术
电子器件随高度集成化而变得精细化,从而在被处理基片上形成图案时,要求能够高精度地控制最小线宽(CD:Critical Dimension,关键尺寸)。作为等离子体蚀刻时最小线宽变动的主要原因,通常能够列举的是:在生成等离子体的处理空间中,等离子体处理装置的露出在该处理空间中的构成部件(例如,产生等离子体的处理容器的内壁面、与处理容器连接的各种配管的内壁面等)的表面状态发生变化,导致最小线宽发生变动。针对这样的等离子体处理装置的构成部件表面的状态变化,人们提出了各种应对的技术(例如参照专利文献1~3)。
现有技术文献
专利文献
专利文献1:日本特开2016-072625号公报
专利文献2:日本特开2014-053644号公报
专利文献3:日本特开2017-073535号公报
发明内容
发明想要解决的技术问题
在等离子体处理中,有时会产生可能导致产品缺陷的颗粒。颗粒可能从等离子体处理装置的露出在处理空间中的构成部件的表面产生,附着在晶片上引发产品不良。由于颗粒附着在图案上而妨碍图案转印,所以颗粒可能会妨碍实现高精度的最小线宽。从而,为了在被处理基片上形成图案时实现随高度集成化带来的精细化,需要一种用于抑制颗粒产生的技术。
用于解决技术问题的技术方案
本发明的一个技术方案提供一种在形成于被处理基片上的图案上成膜的成膜方法。被处理基片被配置在载置台上,载置台被设置在可于减压环境下进行等离子体处理的空间内,该空间配置有与载置台相对的能够供给高频电力的上部电极。该成膜方法反复执行下述流程,其中该流程包括:在被处理基片的图案上形成沉积膜的第一步骤;和仅对上部电极供给电力来在空间中产生等离子体,从而对空间进行清扫的第二步骤。
在上述成膜方法中,由于每执行一次第一步骤来形成沉积膜后都对执行了第一步骤的空间进行清扫,所以能够容易地通过清扫来除去形成在该空间的沉积膜。
在一个实施方式中,第一步骤包括:对空间供给包含前体的材料的第一气体,使该前体吸附在图案的表面的步骤;和产生第二气体的等离子体并将该等离子体供给到前体的步骤。像这样,在形成沉积膜的第一步骤中,首先利用包含前体的材料的第一气体使前体吸附在被处理基片的图案的表面,之后对该前体供给第二气体的等离子体,从而在被处理基片的图案的表面形成沉积膜。于是,可采用与ALD法(ALD:Atomic Layer Deposition,原子层沉积)相同的方法,在被处理基片的图案的表面形成沉积膜。
在一个实施方式中,上述第一气体是氨基硅烷类气体,第二气体包含氧或氮。并且,在第二步骤中,在空间内产生第三气体的等离子体,第三气体包含卤素化合物。
在一个实施方式中,作为第一气体的氨基硅烷类气体包含具有1~3个硅原子的氨基硅烷。并且,在一个实施方式中,作为第一气体的氨基硅烷类气体可以包含具有1~3个氨基的氨基硅烷。
在一个实施方式中,第一气体包含卤钨化合物。此外,在一个实施方式中,第一气体包含四氯化钛或四(二甲基氨基)钛。此外,在一个实施方式中,第一气体包含卤化硼。
在一个实施方式中,第一步骤(以下称步骤a)包括:对空间供给包含供电子性的第一取代基的第一气体(以下在其用于步骤a的情况下称气体a1),使第一取代基吸附在图案的表面的步骤;和对第一取代基供给包含吸电子性的第二取代基的第二气体(以下在其用于步骤a的情况下称气体a2)的步骤。
像这样,在形成沉积膜的步骤a中,首先利用包含供电子性的第一取代基的气体a1使第一取代基吸附在被处理基片的图案的表面,之后对该第一取代基供给包含吸电子性的第二取代基的气体a2进行聚合反应,从而能够利用该聚合反应而在被处理基片的图案的表面形成沉积膜。
在一个实施方式中,在上述步骤a中,利用异氰酸酯与胺的聚合反应或异氰酸酯与具有羟基的化合物的聚合反应,形成所述沉积膜。
发明效果
如上面说明的那样,为了在被处理基片上形成图案时实现随高度集成化带来的精细化,本发明能够提供一种用于抑制颗粒产生的技术。
附图说明
图1是表示一实施方式的对被处理基片进行处理的方法的流程图。
图2是表示执行图1所示的方法时使用的一实施方式的等离子体处理装置之一例的图。
图3是作为一例示意性地表示在一实施方式的对被处理基片进行处理的方法中将被处理基片的主面分区得到的多个区域中的一部分的图。
图4包括(a)部分、(b)部分、(c)部分和(d)部分,是表示图1所示的各步骤执行前和执行后的被处理基片的状态的截面图。
图5包括(a)部分、(b)部分、(c)部分,是表示图1所示的方法的各步骤执行后的被处理基片的状态的截面图。
图6是表示在执行图1所示的方法的各步骤中的气体和高频电源的供给状态的图。
图7包括(a)部分、(b)部分、(c)部分,是示意性地表示图1所示的方法中保护膜的形成状况的图。
图8是示意性地表示由图1所示的方法形成的保护膜的膜厚与被处理基片主面的温度的关系的图。
图9包括(a)部分、(b)部分、(c)部分,是表示图1所示的方法中被蚀刻层的蚀刻原理的图。
图10是表示图2所示的处理容器内侧的膜的形成状况的图。
图11是表示图1所示的清扫步骤的执行时间或图1所示的清扫步骤中使用的高频电力与清扫后膜的剩余厚度的相关关系的图。
图12是表示图2所示的处理容器内的位置与等离子体密度的相关关系的图。
图13是表示图2所示的处理容器内的位置与等离子体密度的相关关系的图。
图14是表示每一片被处理基片的处理时间的详细内容的图。
图15是表示每一片被处理基片的薄膜形成步骤的重复次数与处理时间的相关关系的图。
图16是气体供给系统的概要图。
图17是表示使用了图16所示的气体供给系统的情况下的上部电极的概略截面图。
附图标记说明
1……气体供给系统,10……等离子体处理装置,12……处理容器,12e……排气口,12g……搬入搬出口,14……支承部,22……直流电源,23……开关,24……制冷剂流路,26a……配管,26b……配管,30……上部电极,32……绝缘性屏蔽部件,34……电极板,34a……气体喷出孔,34b……气体喷出孔,36……电极支承体,36a……气体扩散室,36b……气体流通孔,36c……气体导入口,38……气体供给管,40……气体源组,42……阀组,45……流量控制器组,46……沉积护罩,48……排气板,50……排气装置,51……排气装置,52……排气管,52a……气体导入口,54……闸阀,62……第一高频电源,64……第二高频电源,66……匹配器,68……匹配器,70……电源,74……密封部件,82……气体供给管,AL……防反射膜,ALM……掩模,C1……控制电路,Cnt……控制部,CON1……状态,CON2……状态,CON3……状态,DV1……隔膜阀,DV2……隔膜阀,DV3……隔膜阀,DV4……隔膜阀,EK……排气流路,EL……被蚀刻层,ER……区域,ESC……静电吸盘,FC……压力式流量控制装置,FR……聚焦环,GS1……第一气体源,GS2……第二气体源,HP……加热器电源,HT……温度调节部,IN1……供给口,IN4……供给口,L1……第一流路,L10……第一主流路,L2……第二流路,L20……第二主流路,LE……下部电极,Ly1……层,Ly2……层,MK1……掩模,MK2……掩模,MS……掩模,MT……方法,MX……混合层,OK1……节流孔,OK2……节流孔,OK3……节流孔,OK4……节流孔,OKEx……排气用节流孔,OL……有机膜,OLM……掩模,OT1……排气口,P1……等离子体,PD……载置台,PF……保护膜,PM1……第一压力检测器,PM2……第二压力检测器,R11……区域,R21……区域,R31……区域,SB……基片,Sp……处理空间,SX……保护膜,SXa……薄膜,TM……温度检测器,U1……单元,VL1……控制阀,VL4……初级阀,VL5……次级阀,W……晶片。
具体实施方式
以下参照附图对各种实施方式详细进行说明。其中,对于各图中相同或对应的部分标注同一标记。图1是表示一实施方式的对被处理基片(下文有时称晶片W)进行处理的方法的流程图。图1所示的方法MT是在被处理基片上成膜的成膜方法的一个实施方式。方法MT(对被处理基片进行处理的方法)由图2所示的等离子体处理装置10执行。
图2是表示执行图1所示的方法MT时使用的一实施方式的等离子体处理装置的一例的图。图2概略地表示了方法MT的各种实施方式中可使用的等离子体处理装置10的截面结构。如图2所示,等离子体处理装置10是具有平行平板电极的等离子体蚀刻装置,包括处理容器12。
处理容器12例如具有大致圆筒形状,构成处理空间Sp。处理容器12例如含有铝材料,在处理容器12的内壁面上实施了阳极氧化处理。处理容器12被保护性接地。
在处理容器12的底部例如设置有大致圆筒状的支承部14。支承部14例如含有绝缘材料。支承部14的绝缘材料像石英那样可包含氧。支承部14在处理容器12内从处理容器12的底部沿铅垂方向(从底部去往顶棚侧的上部电极30的方向)延伸。
处理容器12内设置有载置台PD。载置台PD由支承部14支承。载置台PD在载置台PD的上表面保持晶片W。晶片W的主面位于与载置台PD的上表面接触的晶片W的背面的相反侧,面对上部电极30。载置台PD包括下部电极LE和静电吸盘ESC。下部电极LE包括第一板18a和第二板18b。
第一板18a和第二板18b例如具有铝等金属材料,例如呈大致圆盘形状。第二板18b设置在第一板18a上,与第一板18a电连接。
静电吸盘ESC设置在第二板18b上。静电吸盘ESC具有在一对绝缘层之间或一对绝缘片之间配置有作为导电膜的电极的结构。静电吸盘ESC的电极经开关23与直流电源22电连接。晶片W在被载置于载置台PD上时与静电吸盘ESC接触。
晶片W的背面(主面的相反侧的面)与静电吸盘ESC接触。静电吸盘ESC利用由来自直流电源22的直流电压生成的库仑力等静电力,对晶片W进行吸附。从而,静电吸盘ESC能够保持晶片W。
在第二板18b的周缘部上,以包围晶片W的边缘和静电吸盘ESC的方式配置有聚焦环FR。聚焦环FR是为了提高蚀刻的均匀性而设置的。聚焦环FR包含根据蚀刻对象膜的材料而适当选择的材料,例如可包含石英材料。
在等离子体处理装置10设置有调节晶片W的温度的温度调节部HT。温度调节部HT内置在静电吸盘ESC中。温度调节部HT与加热器电源HP连接。通过从加热器电源HP对温度调节部HT供给电力,来调节静电吸盘ESC的温度,进而调节载置在静电吸盘ESC上的晶片W的温度。其中,温度调节部HT也能够嵌入在第二板18b内。
温度调节部HT包括:用于产生热的多个加热元件;和分别检测该多个加热元件各自周围的温度的多个温度传感器。多个加热元件分别被设置成,在晶片W被对准位置载置在静电吸盘ESC上的情况下,如图3所示与晶片W主面的多个区域ER对应。图3是作为一例示意性地表示在方法MT中将晶片W的主面分区得到的多个区域ER中的一部分的图。在晶片W被对准位置载置在静电吸盘ESC上的情况下,后述的控制部Cnt按照与区域ER相关联的方式,识别与晶片W主面的多个区域ER分别对应的加热元件和温度传感器。对于多个区域ER中的每一个,控制部Cnt能够根据例如数字或字符等编号等,来识别区域ER和与区域ER对应的加热元件和温度传感器。针对一个区域ER的温度,控制部Cnt利用设置在与该一个区域ER对应之处的温度传感器进行检测,并利用设置在与该一个区域ER对应之处的加热元件,进行该一个区域ER的温度调节。并且,在晶片W被载置在静电吸盘ESC上的情况下,一个温度传感器检测出的温度与晶片W中该温度传感器上的区域ER的温度是相同的。
第二板18b的内部设置有制冷剂流路24。制冷剂流路24构成调温机构。制冷剂流路24经配管26a从设置在处理容器12外部的制冷单元(省略图示)供给制冷剂。供给到制冷剂流路24的制冷剂经配管26b返回制冷单元。于是,以在制冷剂流路24中循环的方式供给制冷剂。通过控制该制冷剂的温度,能够控制被静电吸盘ESC支承的晶片W的温度。等离子体处理装置10上设置有气体供给线路28。气体供给线路28将来自传热气体供给机构的传热气体例如He气体,供给到静电吸盘ESC的上表面与晶片W的背面之间。
等离子体处理装置10包括上部电极30。上部电极30被设置在处理容器12内的顶棚侧(处理容器12内设置有支承部14的一侧的相反侧)。上部电极30在载置台PD的上方以与载置台PD相对的方式配置。
下部电极LE与上部电极30被设置成彼此大致平行,构成平行平板电极。在上部电极30与下部电极LE之间,提供用于对晶片W进行等离子体处理的处理空间Sp。上部电极30经绝缘性屏蔽部件32支承在处理容器12的上部。绝缘性屏蔽部件32含有绝缘材料,例如像石英那样可包含氧。上部电极30可包括电极板34和电极支承体36。电极板34面对处理空间Sp,在电极板34上设置有多个气体喷出孔34a。
在一个实施方式中,电极板34包含硅。在另一个实施方式中,电极板34可包含氧化硅(SiO2)。
电极支承体36以可拆装电极板34的方式支承电极板34,可包含例如铝等导电性材料。电极支承体36可具有水冷结构。电极支承体36的内部设置有气体扩散室36a。与多个气体喷出孔34a连通的多个气体流通孔36b从气体扩散室36a向下方延伸。
等离子体处理装置10包括第一高频电源62和第二高频电源64。第一高频电源62是用于产生等离子体生成用的第一高频电力的电源,其产生频率为27~100[MHz],在一个例子中为60[MHz]的高频电力。并且,第一高频电源62具有脉冲规格,例如能够以0.1~50[kHz]的频率、5~100%的占空比进行控制。
第一高频电源62经匹配器66与上部电极30连接。匹配器66是用于使第一高频电源62的输出阻抗与负荷侧(下部电极LE一侧)的输入阻抗匹配的电路。此外,第一高频电源62也可以经匹配器66与下部电极LE连接。
第二高频电源64是用于产生将离子吸引到晶片W上的第二高频电力即高频偏置电力的电源,其产生频率为400[kHz]~40.68[MHz]范围内,在一个例子中频率为13.56[MHz]的高频偏置电力。并且,第二高频电源64具有脉冲规格,例如能够以0.1~50[kHz]的频率、5~100%的占空比进行控制。
第二高频电源64经匹配器68与下部电极LE连接。匹配器68是用于使第二高频电源64的输出阻抗与负荷侧(下部电极LE一侧)的输入阻抗匹配的电路。
等离子体处理装置10还包括电源70。电源70与上部电极30连接。电源70对上部电极30施加用于将存在于处理空间Sp内的正离子吸引到电极板34上的电压。在一个例子中,电源70是产生直流负电压的直流电源。在从电源70对上部电极30施加了这样的电压时,存在于处理空间Sp内的正离子会碰撞到电极板34上。从而,从电极板34能够释放二次电子和/或硅。
在处理容器12内的底部侧(处理容器12内的顶棚侧的相反侧,是处理容器12内设置有支承部14的一侧),在支承部14与处理容器12的侧壁之间设置有排气板48。排气板48例如可在铝材上包覆Y2O3等陶瓷而构成。在排气板48的下方,在处理容器12设置有排气口12e。
排气口12e经排气管52与排气装置50连接。排气装置50包括例如涡轮分子泵等真空泵,能够将处理容器12的处理空间Sp内的空间减压至期望的真空度。在处理容器12的侧壁设置有晶片W的搬入搬出口12g,搬入搬出口12能够由闸阀54开闭。
在等离子体处理装置10中,由于如后文所述要供给包含有机基团的氨基硅烷类气体,因此等离子体处理装置10具有使供给包含有机基团的氨基硅烷类气体的配管和供给其他工艺气体(例如氧气)的配管分离的后混合结构。因为包含有机基团的氨基硅烷类气体的反应性较强,所以在利用同一配管进行包含有机基团的氨基硅烷类气体的供给和其他工艺气体的供给的情况下,吸附在配管内的包含有机基团的氨基硅烷类气体的成分可能会与其他工艺的气体成分反应,导致该反应的反应生成物在配管内堆积。
堆积在配管内的反应生成物难以通过清扫步骤等除去,可能导致产生颗粒以及在配管的位置接近等离子体区域的情况下造成异常放电。从而,需要利用不同的配管分别进行包含有机基团的氨基硅烷类气体的供给和其他工艺气体的供给。采用等离子体处理装置10的后混合结构,能够由不同的配管分别进行包含有机基团的氨基硅烷类气体的供给和其他工艺气体的供给。
等离子体处理装置10的后混合结构至少包括2个配管(气体供给管38、气体供给管82)。气体供给管38和气体供给管82均经阀组42和流量控制器组45与气体源组40连接。
气体源组40包括多个气体源。多个气体源可包括多种气体的供给源,例如包含有机基团的氨基硅烷类气体(例如气体G1中所包含的气体)的供给源,碳氟化合物类气体(CxFy气体(其中x、y是1~10之间的整数))(例如步骤ST3和步骤ST7中使用的气体以及气体G4中所包含的气体)的供给源,包含氧原子的气体(氧气等)(例如气体G2中所包含的气体)的供给源,包含氟原子的气体(例如气体G3中所包含的气体)的供给源,包含氮原子的气体(例如步骤ST8中使用的气体)的供给源,包含氢原子的气体(例如步骤ST8中使用的气体)的供给源,以及Ar气体(例如气体G5中所包含的气体、吹扫气体和防逆流气体)等不活泼气体的供给源。
作为包含有机基团的氨基硅烷类气体能够使用分子结构中氨基数量较少的气体,例如可使用单氨基硅烷(H3-Si-R(R是包含有机基团且可被取代的氨基))。上述包含有机基团的氨基硅烷类气体(后述气体G1中所包含的气体)能够包含可具有1~3个硅原子的氨基硅烷,或包含具有1~3个氨基的氨基硅烷。
具有1~3个硅原子的氨基硅烷可为具有1~3个氨基的甲硅烷(单氨基硅烷),具有1~3个氨基的乙硅烷,或具有1~3个氨基的丙硅烷。并且,上述氨基硅烷可具有可被取代的氨基。而且,上述氨基可由甲基、乙基、丙基和丁基中的任一个取代。另外,上述甲基、乙基、丙基或丁基可由卤素取代。
作为碳氟化合物类气体能够使用CF4气体、C4F6气体和C4F8气体等任意的碳氟化合物类气体。作为不活泼气体能够使用氮气、Ar气体、He气体等任意的气体。
阀组42包括多个阀,流量控制器组45包括质量流量控制器等多个流量控制器。气体源组40的多个气体源分别经阀组42中的对应的阀和流量控制器组45中的对应的流量控制器,与气体供给管38和气体供给管82连接。从而,等离子体处理装置10能够将从气体源组40的多个气体源中选择的一个以上的气体源供来的气体,以独立调节的流量供给到处理容器12的处理空间Sp内。
处理容器12设置有气体导入口36c。气体导入口36c设置于晶片W的上方,其中晶片W在处理容器12内配置在载置台PD上。气体导入口36c与气体供给管38的一端连接。气体供给管38的另一端与阀组42连接。
气体导入口36c被设置于电极支承体36。气体导入口36c经气体扩散室36a对处理空间Sp导入碳氟化合物气体类气体、包含氧原子的气体、包含氟原子的气体、包含氮原子和氢原子的气体、Ar气体、吹扫气体(包含不活泼气体等的气体)、防逆流气体(包含不活泼气体等的气体)等。从气体导入口36c经气体扩散室36a供给到处理空间Sp内的上述各种气体,被供给到晶片W之上即晶片W与上部电极30之间的空间区域中。
处理容器12设置有气体导入口52a。气体导入口52a设置于晶片W的旁侧,其中晶片W在处理容器12内配置在载置台PD上。气体导入口52a与气体供给管82的一端连接。气体供给管82的另一端与阀组42连接。
气体导入口52a被设置于处理容器12的侧壁。气体导入口52a对处理空间Sp导入包括包含有机基团的氨基硅烷类气体的气体、防逆流气体(包含不活泼气体等的气体)等。从气体导入口52a供给到处理空间Sp内的上述各种气体,被从晶片W的旁侧供给到晶片W之上即晶片W与上部电极30之间的空间区域中。
连接在气体导入口36c上的气体供给管38与连接在气体导入口52a上的气体供给管82彼此不相交。换而言之,包括气体导入口36c和气体供给管38的气体的供给路径与包括气体导入口52a和气体供给管82的气体的供给路径彼此不相交。
在等离子体处理装置10中,沿着处理容器12的内壁以可拆装的方式设置有沉积护罩46。沉积护罩46还设置在支承部14的外周。沉积护罩46用于防止蚀刻副产物(沉积物)附着在处理容器12上,例如可在铝材上包覆Y2O3等陶瓷而构成。沉积护罩除了Y2O3之外也可以包含像石英那样包含氧的材料。
控制部Cnt是包括处理器、存储部、输入装置、显示装置等的计算机,对图2所示的等离子体处理装置10的各模块进行控制。控制部Cnt在等离子体处理装置10中与阀组42、流量控制器组45、排气装置50、第一高频电源62、匹配器66、第二高频电源64、匹配器68、电源70、加热器电源HP、制冷单元等连接。
在图1所示的方法MT的各步骤中,控制部Cnt按照用于控制等离子体处理装置10的各模块的计算机程序(基于输入的方案得到的程序)进行动作,发送控制信号。等离子体处理装置10的各模块由来自控制部Cnt的控制信号控制。
具体而言,控制部Cnt可使用控制信号,在图2所示的等离子体处理装置10中,控制从气体源组40供给的气体的选择和流量、排气装置50的排气、来自第一高频电源62和第二高频电源64的电力供给、来自电源70的电压施加、加热器电源HP的电力供给、来自制冷单元的制冷剂流量和制冷剂温度等。
并且,可通过控制部Cnt的控制使等离子体处理装置10的各模块动作,来执行本说明书公开的对被处理基片进行处理的方法MT的各步骤。在控制部Cnt的存储部中,以可读取的方式存储有用于执行方法MT的计算机程序和执行方法MT时使用的各种数据。
再次参照图1,对方法MT详细进行说明。以下针对在执行方法MT时使用了等离子体处理装置10的例子进行说明。在以下的说明中,除了参照图1~图3外,还一并参照图4~图10。
图4包括(a)部分、(b)部分、(c)部分和(d)部分,是表示图1所示的各步骤执行前和执行后的晶片W的状态的截面图。图5包括(a)部分、(b)部分、(c)部分,是表示图1所示的方法的各步骤执行后的晶片W的状态的截面图。图6是表示在执行图1所示的方法MT的各步骤中的气体和高频电源的供给状态的图。图7包括(a)部分、(b)部分、(c)部分,是示意性地表示图1所示的方法MT中保护膜SX的形成状况的图。图8是示意性地表示由图1所示的方法MT的成膜步骤(流程SQ1和步骤ST6)形成的保护膜SX的膜厚与晶片W主面的温度的关系的图。图9包括(a)部分、(b)部分、(c)部分,是表示图1所示的方法MT中被蚀刻层EL的蚀刻原理的图。图10是表示处理容器12内侧的膜的形成状况的图。
方法MT是在形成于晶片W上的图案(图案是由形成在晶片W表面的凹凸构成的图案,例如由后述的掩模MK1构成的图案)上成膜的成膜方法。晶片W被配置在载置台PD上,其中载置台PD设置在能够在减压环境下进行等离子体处理的处理空间Sp内。如上所述,在等离子体处理装置10中,处理空间Sp内配置有与载置台PD相对的能够供给高频电力的上部电极30。如图1所示,方法MT包括步骤ST1~步骤ST10。方法MT包括流程SQ1和流程SQ2。首先,在步骤ST1中,准备图4的(a)部分所示的晶片W,将其作为图2所示的晶片W。在步骤ST1中,如图10的状态CON1所示,等离子体处理装置10的位于处理容器12内侧的全部构成部件的表面(例如,产生等离子体的处理容器12的内壁面等,以下有时简称为处理容器12内侧的表面)都露出在处理空间Sp中。
如图4的(a)部分所示,在步骤ST1中所准备的晶片W包括基片SB、被蚀刻层EL、有机膜OL、防反射膜AL和掩模MK1。被蚀刻层EL设置在基片SB上。被蚀刻层EL是包含能够相对于有机膜OL被选择性地蚀刻的材料的层,其使用绝缘膜。被蚀刻层EL例如可包含氧化硅。此外,有的情况下被蚀刻层EL包含多晶硅等其他的材料。
有机膜OL设置在被蚀刻层EL上。有机膜OL是包含碳的层,例如是SOH(旋涂硬掩模)层。防反射膜AL是包含硅的防反射膜,其设置在有机膜OL上。掩模MK1设置在防反射膜AL上。掩模MK1是包含抗蚀剂材料的抗蚀剂掩模,通过利用光刻技术使抗蚀剂层图案化而制得。掩模MK1将防反射膜AL局部覆盖。掩模MK1构成使防反射膜AL局部露出的开口。掩模MK1的图案例如是线和空间图案。掩模MK1可包括在俯视下提供圆形开口的图案。此外,掩模MK1可包括在俯视下提供椭圆形开口的图案。
在步骤ST1中,准备图4的(a)部分所示的晶片W,并将晶片W收纳在等离子体处理装置10的处理容器12的处理空间Sp内,载置在载置台PD上。
在继步骤ST1之后的步骤ST2中,对晶片W照射二次电子。具体而言,经气体供给管38从气体导入口36c对处理容器12的处理空间Sp内供给氢气和稀有气体,并从第一高频电源62供给高频电力,来生成等离子体。并且,利用电源70对上部电极30施加负的直流电压。由此,处理空间Sp中的正离子被吸引到上部电极30上,该正离子与上部电极30碰撞。由于正离子与上部电极30碰撞,从上部电极30释放二次电子。所释放的二次电子照射到晶片W上,将掩模MK1改性。在步骤ST2结束时,对处理容器12的处理空间Sp内进行吹扫(purge)。
当施加在上部电极30上的负的直流电压的绝对值较高时,作为该电极板34的构成材料的硅会因正离子碰撞到电极板34上而与二次电子一起释放出来。所释放的硅与从等离子体处理装置10的暴露在等离子体中的构成部件释放的氧结合。该氧例如从支承部14、绝缘性屏蔽部件32和沉积护罩46等部件释放。通过这样的硅与氧的结合,生成了氧化硅化合物,该氧化硅化合物沉积在晶片W上覆盖掩模MK1将其保护。
利用上述改性和保护的效果,能够抑制后续步骤对掩模MK1造成损伤。另外,在步骤ST2中,为了照射二次电子进行改性以及保护膜的形成,也可以使第二高频电源64的偏置电力为最小限度,抑制硅的释放。
在继步骤ST2之后的步骤ST3中,对防反射膜AL进行蚀刻。具体而言,从气体源组40的多个气体源中选择的气体源,如图6的标记SRa所示,经气体供给管38和气体导入口36c,对处理容器12的处理空间Sp内供给包含碳氟化合物类气体的气体。此时,如图6的标记SRb所示,不从气体导入口52a供给气体,或者如图6的标记SRb的虚线所示,经气体供给管82和气体导入口52a对处理容器12的处理空间Sp内供给防逆流气体。
此外,如图6的标记SRc所示,从第一高频电源62供给高频电力,并如图6的标记SRd所示,从第二高频电源64供给高频偏置电力。通过使排气装置50动作,将处理容器12的处理空间Sp内的空间的压力设定为预设的压力。由此生成碳氟化合物类气体的等离子体。
所生成的等离子体中的包含氟的活性物质,将防反射膜AL的整个区域中的从掩模MK1露出的区域蚀刻。通过该蚀刻,如图4的(b)部分所示,从防反射膜AL生成了掩模ALM。步骤ST3所形成的有机膜OL的掩模包括掩模MK1和掩模ALM。
在继步骤ST3之后的步骤ST4中,与步骤ST2的方法同样地,如图4的(c)部分所示,在掩模MK1的表面、掩模ALM的表面、有机膜OL的表面形成氧化硅保护膜PF。在步骤ST4结束时,对处理容器12的处理空间Sp内进行吹扫。另外,也可以在步骤ST3之后执行流程SQ1而不进行步骤ST4。
在继步骤ST4之后,在图1所示的方法MT中执行1次以上的流程SQ1。流程SQ1包括步骤ST5a~步骤ST5f。流程SQ1包括:在晶片W的图案上形成沉积膜(构成保护膜SX的薄膜)的第一步骤(步骤ST5a~步骤ST5d);和在继第一步骤之后,仅对上部电极30供给电力来在处理空间Sp中产生等离子体从而对处理空间Sp进行清扫的第二步骤(步骤ST5e~步骤ST5f)。由流程SQ1和步骤ST6构成的成膜步骤包括薄膜形成步骤(步骤ST5a、步骤ST5b、步骤ST5c、步骤ST5d)和清扫步骤(步骤ST5e、步骤ST5f),其中,在薄膜形成步骤中,如图4的(d)部分所示,利用与ALD(Atomic Layer Deposition,原子层沉积)法相同的方法,在收纳于等离子体处理装置10的处理容器12内的晶片W的主面上保形地形成薄膜(构成保护膜SX的膜),在清扫步骤中,继薄膜形成步骤之后对处理容器12内位于晶片W上方(处理容器12内的顶棚侧)的区域进行清扫。
在成膜步骤中,夹着步骤ST6反复执行包括薄膜形成步骤和清扫步骤的流程SQ1,如图4的(d)部分所示,在晶片W的主面上形成保护膜SX。在流程SQ1每执行1次的过程中,通过执行薄膜形成步骤来在晶片W的主面上形成薄膜(构成保护膜SX的膜),并对于因该薄膜的形成而形成在处理容器12内侧的薄膜(图10所示的薄膜SXa),通过执行清扫步骤而除去其中位于处理容器12上部(处理容器12内的顶棚侧)的部分。
在步骤ST5a中,对处理空间Sp供给包含前体(层Ly1)的材料的第一气体(气体G1),使该前体吸附在图案(由掩模MK1构成的图案)的表面。在步骤ST5a中,将气体G1导入处理容器12的处理空间Sp内。具体而言,从气体源组40的多个气体源中选择的气体源,如图6的标记SRb所示,经气体供给管82和气体导入口52a,对处理容器12的处理空间Sp内供给气体G1。此时,如图6的标记SRa所示,不从气体导入口36c供给气体,或者如图6的标记SRa的虚线所示,经气体供给管38和气体导入口36c对处理容器12的处理空间Sp内供给防逆流气体。
在步骤ST5a中,如图6的标记SRc、标记SRd所示,不生成气体G1的等离子体。气体G1例如是包含有机基团的氨基硅烷类气体。作为包含有机基团的氨基硅烷类气体,气体G1包含单氨基硅烷(H3-Si-R(R是氨基))。
如图7的(a)部分所示,气体G1的分子作为反应前体附着在晶片W的主面上。气体G1的分子(例如单氨基硅烷)是由化学键的化学吸附作用而附着在晶片W的主面上的,未使用等离子体。在步骤ST5a中,晶片W的温度为摄氏0度以上、掩模MK1中所包含材料的玻璃转变温度以下(例如摄氏200度以下)的程度。
此外,也可以利用单氨基硅烷以外的气体,只要可在该温度范围内通过化学键附着在晶片表面且包含硅即可。至于双氨基硅烷(H2-Si-R2(R是氨基))和三氨基硅烷(H-Si-R3(R是氨基)),由于具有比单氨基硅烷复杂的分子结构,故为了在用作气体G1的情况下实现均匀的膜形成,有时会为了使氨基自分解而进行热处理。
之所以选择单氨基硅烷类气体作为气体G1之一例,其理由是,由于单氨基硅烷具有较高的电负性且分子结构具有极性,故化学吸附较易进行。关于因气体G1的分子附着在晶片W的主面上而形成的层Ly1(参照图7的(b)部分),由于该附着是化学吸附,故形成为接近单分子层(单层)的状态。
单氨基硅烷的氨基(R)越小则吸附在晶片W主面上的分子的分子结构也越小,因此能够减小因分子的大小而引起的位阻效应,从而气体G1的分子能够均匀地吸附在晶片W的主面上,能够以均匀的膜厚在晶片W的主面上形成层Ly1。例如,气体G1中所包含的单氨基硅烷(H3-Si-R)与晶片W主面的OH基反应而形成反应前体H3-Si-O,从而形成H3-Si-O的单分子层即层Ly1。于是,在晶片W的主面上,能够与晶片W的图案密度无关地以均匀的膜厚保形地形成反应前体的层Ly1。
在继步骤ST5a之后的步骤ST5b中,对处理容器12的处理空间Sp内的空间进行吹扫。具体而言,将步骤ST5a中供给的气体G1排出。在步骤ST5b中,也可以对处理容器12的处理空间Sp内供给氮气等不活泼气体作为吹扫气体。即,步骤ST5b的吹扫可以是使不活泼气体流入处理容器12的处理空间Sp内的气体吹扫,也可以是通过抽真空来进行的吹扫。在步骤ST5b中,过量地附着在晶片W上的分子也能够被除去。通过以上处理,反应前体的层Ly1成为极薄的单分子层。
继步骤ST5b之后的步骤ST5c是产生第二气体(气体G2)的等离子体,并对前体(由步骤ST5a形成的前体,即层Ly1)供给该等离子体的步骤。在步骤ST5c中,在处理容器12的处理空间Sp内生成气体G2的等离子体P1。在步骤ST5c中,生成气体G2的等离子体P1时的晶片W的温度为摄氏0度以上、掩模MK1中所包含材料的玻璃转变温度以下(例如摄氏200度以下)。具体而言,从气体源组40的多个气体源中选择的气体源,如图6的标记SRa所示,经气体供给管38和气体导入口36c,对处理容器12的处理空间Sp内供给包含氧(O)的气体G2。气体G2包含氧或氮。气体G2例如可包含O2气体(氧气)。此时,如图6的标记SRb所示,不从气体导入口52a供给气体,或者如图6的标记SRb的虚线所示,经气体供给管82和气体导入口52a对处理容器12的处理空间Sp内供给防逆流气体。
此外,如图6的标记SRc所示,从第一高频电源62供给高频电力,并如图6的标记SRd所示,不施加第二高频电源64的偏置电力。通过使排气装置50动作,将处理容器12的处理空间Sp内的空间的压力设定为预设的压力。另外,也可以不使用第一高频电源62而仅使用第二高频电源64来生成等离子体。
如上所述,因执行步骤ST5a而附着在晶片W的主面上的分子(构成层Ly1的单分子层的分子)包含硅与氢的键。硅与氢的键能比硅与氧的键能低。从而,如图7的(b)部分所示,在生成包含氧气的气体G2的等离子体P1时,生成了氧的活性物质例如氧自由基,构成层Ly1的单分子层的分子中的氢被氧取代,如图7的(c)部分所示,作为单分子层形成了氧化硅层Ly2。
在继步骤ST5c之后的步骤ST5d中,对处理容器12的处理空间Sp内的空间进行吹扫。具体而言,将步骤ST5c中供给的气体G2排出。在步骤ST5d中,也可以对处理容器12的处理空间Sp内供给例如氮气等不活泼气体作为吹扫气体。即,步骤ST5d的吹扫可以是使不活泼气体流入处理容器12的处理空间Sp内的气体吹扫,也可以是通过抽真空来进行的吹扫。
如以上说明的那样,在步骤ST5b中进行吹扫,并在继步骤ST5b之后的步骤ST5c中,构成层Ly1的分子中的氢被氧取代。从而,通过执行薄膜形成步骤(步骤ST5a~步骤ST5d),在晶片W的主面上形成了膜厚为原子层量级的薄膜(构成保护膜SX的膜)。通过执行1次薄膜形成步骤,能够与ALD法同样,与掩模MK1的疏密无关地以薄且均匀的膜厚在晶片W的主面上保形地形成氧化硅层Ly2。此外,因执行了薄膜形成步骤,如图10的状态CON2所示,在处理容器12内侧的表面附着了薄膜SXa。
在继步骤ST5d之后的步骤ST5e中,对处理容器12内位于晶片W上方的区域进行清扫。更具体而言,步骤ST5e对处理容器12内侧的上部电极30侧的表面进行清扫。在步骤ST5e中,如图10的状态CON3所示,除去因执行薄膜形成步骤而附着在处理容器12内侧的表面的薄膜SXa之中附着于上部电极30侧的表面的部分(位于处理容器12内晶片W上方的区域的部分)。
步骤ST5e在处理空间Sp内产生第三气体(气体G3)的等离子体。在步骤ST5e中,在处理容器12的处理空间Sp内生成气体G3的等离子体。步骤ST5e使用从位于晶片W上方的上部电极30供给的高频电力,在处理容器12内生成气体G3的等离子体。步骤ST5e不施加使用第二高频电源64的偏置电压。具体而言,从气体源组40的多个气体源中选择的气体源,如图6的标记SRa所示,经气体供给管38和气体导入口36c,对处理容器12的处理空间Sp内供给气体G3。此时,如图6的标记SRb所示,不从气体导入口52a供给气体,或者如图6的标记SRb的虚线所示,经气体供给管82和气体导入口52a对处理容器12的处理空间Sp内供给防逆流气体。
步骤ST5e使用以下的工艺条件(以下称条件组CND)。即,条件组CND包括这样的条件,如图6的标记SRc所示,从第一高频电源62供给高频电力,并如图6的标记SRd所示,不施加第二高频电源64的偏置电力。条件组CND还包括宽间隙条件。在本说明书中,宽间隙条件指的是电极间隔为30[mm]以上的状态。例如,在压力100[mTorr]的条件下,可实验上确认,当电极间隔小于30[mm]时,依赖于间隙长度的电子/离子密度的变动会减小,从而,优选电极间隔至少为30[mm]以上。条件组CND还包括这样的条件,即,通过使排气装置50动作,将处理容器12的处理空间Sp内的空间的压力设定为预设的较高的压力。本说明书中的较高的压力是大致100[mTorr]以上的压力。在100[mTorr]以上的压力下,平均自由程为1[mm]以下,充分降低了自由基、离子对晶片W侧的入射,晶片W侧的蚀刻速率可得到抑制。
通过采用步骤ST5e的上述工艺条件(条件组CND),步骤ST5e的清扫处理时的蚀刻速率在上部电极30侧(处理容器12内的上部)比晶片W侧(处理容器12内的下部)高。如上所述,条件组CND包括仅从第一高频电源62供给高频电力的条件、将处理容器12的处理空间Sp内的压力设定为较高的压力的条件和宽间隙条件。
由于条件组CND中的仅从第一高频电源62供给高频电力这一条件,可使等离子体密度和电子密度偏倚在上部电极30侧。由于条件组CND中的将处理容器12的处理空间Sp内的压力设定为较高的压力之条件和宽间隙条件,可使等离子体密度和电子密度各自的密度分布进一步偏倚在上部电极30侧。
鞘层(Sheath)宽度随电子密度的变动而变动,鞘层电压由阳极/阴极比决定。本说明书中,阳极/阴极比指的是面积比,例如可以是上部电极30和与其导通(与该电极同电位的)部分的面积相加得到的合计面积、下部电极LE和与其导通(与该电极同电位的)部分的面积相加得到的合计面积。在条件组CND下,阴极包括上部电极30,阳极包括晶片W(下部电极LE)和处理容器12内的内壁,相比阴极侧的区域,阳极侧的区域相对较大,因此能够减小鞘层电压。
从而,在条件组CND下,如图12和图13所示,电子密度和鞘层电压以及离子能量在远离上部电极30的晶片W侧充分降低,因此,在使用了条件组CND的步骤ST5e的清扫处理中,晶片W侧的蚀刻速率小于上部电极30侧。
图12表示处理容器12内的位置与等离子体密度的相关关系,图12的横轴表示处理容器12内的位置,图12的纵轴表示等离子体密度。图13表示处理容器12内的位置与等离子体密度的相关关系,图13的横轴表示处理容器12内的位置,图13的纵轴表示离子能量。此处,等离子体密度指的是等离子体中的电子密度和离子密度。并且,电子密度与离子密度大致相等,故等离子体密度的增减反映了电子密度和离子密度的增减。
基于条件组CND,如图11所示,相比晶片W侧(处理容器12内的下部)的薄膜SXa,上部电极30侧(处理容器12内的上部)的薄膜SXa能更早结束除去。
图11是表示图1所示的清扫步骤的清扫处理(步骤ST5e)的执行时间或图1所示的清扫步骤的清扫处理(步骤ST5e)中使用的高频电力与该清扫处理后薄膜SXa的剩余厚度的相关关系的图。图11的横轴表示步骤ST5e的清扫处理的执行时间或步骤ST5e的清扫处理中使用的第一高频电源62的高频电力,图11的纵轴表示步骤ST5e的清扫处理后薄膜SXa的剩余厚度。
在步骤ST5e的清扫处理中,上部电极30侧的蚀刻量(ET[nm])是上部电极30侧的蚀刻速率(ER[nm/sec])与蚀刻时间(T[sec])之积(ET[nm]=ER[nm/sec]×T[sec])。蚀刻时间(T[sec])是步骤ST5e的清扫处理的执行时间。蚀刻速率与第一高频电源62的高频电力(RF[W])大致成正比,因此在步骤ST5e的清扫处理中,上部电极30侧的蚀刻量(ET[nm])与RF[W]×T[sec]成正比。
从而,只要将执行步骤ST5e的清扫处理时的上部电极30侧的薄膜SXa的膜厚(FT[nm])设定为蚀刻量(ET[nm])(FT[nm]=ET[nm]),则通过使用满足FT[nm]=RF[W]×T[sec]的RF[W]、T[sec],能够如图11所示,在充分抑制对晶片W的蚀刻的同时,充分地除去上部电极30侧的薄膜SXa。这样,步骤ST5e的清扫处理中能够设定的RF[W]、T[sec]的组合具有较高的自由度,可适当地选择以与条件组CND匹配。
气体G3中的气体种类可根据气体G1中的气体种类与气体G2中的气体种类的组合、即形成在处理容器12内侧的薄膜SXa的材料来适当选择。
在薄膜SXa为包含SiO2的物质的情况下,例如气体G1可为包括包含有机基团的氨基硅烷类气体的气体,或者为包含四氯化硅(SiCl4)的气体,气体G2可为O2气体、CO2气体、CO气体等包含氧(O)的气体,气体G3可包含卤素化合物,例如为CF4气体、NF3气体、SF6气体等包含氟(F)的气体。
在薄膜SXa为包含钨(W)的物质的情况下,例如气体G1可为WF6气体等包含卤钨化合物的气体,气体G2可为包含氢(H2)的气体,气体G3可为CF4气体、NF3气体、SF6气体等包含氟(F)的气体。
在薄膜SXa为TiO、TiN等包含钛(Ti)的物质的情况下,例如气体G1可为包含四氯化钛(TiCl4)或四(二甲基氨基)钛(TDMAT)的气体,气体G2可为包含水(H2O)或氨(NH3)的气体,气体G3可为CF4气体、NF3气体、SF6气体、Cl2气体等包含卤素(F、Cl等)的气体。
在薄膜SXa为BOx、BN等包含硼(B)的物质的情况下,例如气体G1可为BBr3气体、BCl3气体等包含卤化硼的气体,气体G2可为包含水(H2O)或氨(NH3)的气体,气体G3可为CF4气体、NF3气体、SF6气体、Cl2气体等包含卤素(F、Cl等)的气体。
在薄膜SXa为有机膜的情况下,气体G1和气体G2均包含有机化合物气体。更具体而言,在薄膜SXa为有机膜的情况下,关于气体G1和气体G2,(a)气体G1可包含供电子性取代基(第一取代基),且气体G2可包含吸电子性取代基(第二取代基)。或者,(b)气体G1可包含吸电子性取代基,且气体G2可包含供电子性取代基。在薄膜SXa为有机膜的情况下,气体G3为O2气体、CO2气体、CO气体等包含氧(O)的气体。此外,在薄膜SXa为有机膜的情况下,第一步骤(步骤ST5a~步骤ST5d)是对处理空间Sp供给包含供电子性取代基的气体G1,使供电子性取代基吸附在图案(由形成在晶片W表面的凹凸构成的图案,例如由掩模MK1构成的图案)的表面上的步骤,第二步骤(步骤ST5e~步骤ST5f)是对供电子性取代基供给包含吸电子性取代基的气体G2的步骤。这样,包含供电子性取代基的气体G1的材料与包含吸电子性取代基的气体G2的材料发生聚合反应,可形成沉积膜(构成保护膜SX的薄膜)。
在薄膜SXa为有机膜的情况下,在步骤ST5c中不生成等离子体,作为有机膜的薄膜SXa由气体G1的材料与气体G2的材料发生聚合或热聚合而形成。在像这样由气体G1的材料与气体G2的材料发生聚合或热聚合的情况下,也与ALD法同样是自限制(self-limiting)的。
在薄膜SXa为有机膜的情况下,在薄膜形成步骤(尤其是步骤ST5a和步骤ST5c)中,有时会将晶片W的温度调节至例如摄氏30度以上、摄氏200度以下。
对薄膜SXa为有机膜的情况更具体地进行说明。在薄膜SXa为有机膜的情况下的以下说明中,为方便起见,将气体G1和气体G2中的任一种气体称作气体GA,将气体G1和气体G2中的该气体GA之外的剩余的一种气体称作气体GB。
在薄膜SXa为有机膜(尿素树脂)的情况下,例如气体GA是包含具有供电子性取代基的二胺化合物的气体,气体GB是包含具有吸电子性取代基的异氰酸酯化合物的气体。在薄膜SXa为尿素树脂的情况下,例如气体GA是包含具有供电子性取代基的尿素的气体,气体GB是包含具有吸电子性取代基的醛类化合物的气体。
在第一步骤中,可利用异氰酸酯与胺的聚合反应或异氰酸酯与具有羟基的化合物的聚合反应形成沉积膜(构成保护膜SX的薄膜)。
在薄膜SXa为聚酰胺树脂的情况下,例如气体GA是包含具有供电子性取代基的二胺化合物的气体,气体GB是包含具有吸电子性取代基的二羧酸化合物的气体。
在薄膜SXa为聚酯树脂的情况下,例如气体GA是包含具有供电子性取代基的二醇化合物的气体,气体GB是包含具有吸电子性取代基的二羧酸化合物的气体。
在薄膜SXa为聚碳酸酯树脂的情况下,例如气体GA是包含具有供电子性取代基的双酚化合物的气体,气体GB是包含具有吸电子性取代基的碳酰氯化合物的气体。
在保护膜SX为聚氨酯树脂的情况下,例如气体GA是包含具有供电子性取代基的醇类化合物的气体,气体GB是包含具有吸电子性取代基的异氰酸酯化合物的气体。
在薄膜SXa为环氧树脂的情况下,例如气体GA是包含具有供电子性取代基的胺化合物或酸酐的气体,气体GB是包含具有吸电子性取代基的环氧化合物的气体。
在薄膜SXa为酚醛树脂的情况下,例如气体GA是包含具有供电子性取代基的酚醛化合物的气体,气体GB是包含具有吸电子性取代基的醛类化合物的气体。
在薄膜SXa为三聚氰胺树脂的情况下,例如气体GA是包含具有供电子性取代基的三聚氰胺化合物的气体,气体GB是包含具有吸电子性取代基的醛类化合物的气体。
在继步骤ST5e之后的步骤ST5f中,对处理容器12的处理空间Sp内的空间进行吹扫。具体而言,将步骤ST5e中供给的气体G3排出。在步骤ST5f中,也可以对处理容器12的处理空间Sp内供给例如氮气等不活泼气体作为吹扫气体。即,步骤ST5f的吹扫可以是使不活泼气体流入处理容器12的处理空间Sp内的气体吹扫,也可以是通过抽真空来进行的吹扫。
在继流程SQ1之后的步骤ST6中,判断是否结束流程SQ1的执行。具体而言,在步骤ST6中,判断流程SQ1的执行次数是否达到预设的次数。决定流程SQ1的执行次数,意味着决定形成在晶片W上的保护膜SX的膜厚。
即,执行1次(单位循环的)流程SQ1而形成的薄膜的膜厚与流程SQ1的执行次数的积,实质上决定了最终形成在晶片W上的保护膜SX的膜厚。从而,根据形成在晶片W上的保护膜SX的期望膜厚,设定流程SQ1的执行次数。
在步骤ST6中判断为流程SQ1的执行次数未达到预设的次数的情况下(步骤ST6:否),再次重复执行流程SQ1。而在步骤ST6中判断为流程SQ1的执行次数达到了预设的次数的情况下(步骤ST6:是),结束流程SQ1的执行,转移至步骤ST7。
由此,如图4的(d)部分所示,在晶片W的主面上形成了氧化硅保护膜SX。即,通过使流程SQ1反复执行预设的次数,在晶片W的主面上,与掩模MK1的疏密无关地以均匀的膜厚保形地形成了具有预设的膜厚的保护膜SX。
如图4的(d)部分所示,保护膜SX包括区域R11、区域R21和区域R31。区域R31是在掩模MK1的侧面上和掩模ALM的侧面上沿该侧面延伸的区域。区域R31从有机膜OL的表面延伸到区域R11的下侧。区域R11在掩模MK1的上表面之上和区域R31上延伸。区域R21在相邻的区域R31之间于有机膜OL的表面上延伸。
如上所述,流程SQ1采用与ALD法相同的方法形成保护膜SX,所以与掩模MK1的疏密无关地,区域R11、区域R21和区域R31各自的膜厚为彼此大致相等的膜厚。
另外,上述流程SQ1和步骤ST6的成膜步骤中形成的保护膜SX的膜厚随晶片W主面的温度而增减,因此,通过在步骤ST4执行后、流程SQ1执行前,在晶片W的主面的多个区域ER(参照图3)中的每个区域使用温度调节部HT调节晶片W主面的温度,能够在晶片W的主面上调节保护膜SX的膜厚。
参照图8进行说明。图8所示的线GRa表示通过流程SQ1形成的薄膜(构成保护膜SX的膜)的膜厚与形成了该膜的晶片W主面的温度的对应关系,对应于阿列纽斯(Arrhenius)方程(阿列纽斯作图法)。图8的横轴表示通过流程SQ1形成了薄膜的晶片W主面的温度。图8的纵轴表示通过流程SQ1形成的薄膜的膜厚。尤其是,图8的纵轴所示的膜厚是在流程SQ1中经过下述时间形成的薄膜的膜厚,其中该时间大于等于到达所使用的ALD法的自限制(self-limited)区域所需的时间。
如图8所示,在晶片W主面的温度为值T1的情况下,形成在晶片W的该主面上的膜的膜厚为值W1,在晶片W的该主面的温度为值T2(T2>T1)的情况下,形成在晶片W的该主面上的膜的膜厚为值W2(W2>W1)。像这样,在使用ALD法的情况下,越提高晶片W主面的温度,越能够增大形成在该主面上的保护膜SX的膜厚。
如上所述,流程SQ1包括利用与ALD法相同的方法进行成膜的薄膜形成步骤(步骤ST5a~步骤ST5d),和每执行1次该薄膜形成步骤后对晶片W上方(处理容器12内的顶棚侧)的处理容器12内侧的部分进行清扫的清扫步骤(步骤ST5e、步骤ST5f)。薄膜形成步骤采用与ALD法相同的方法,因此通过1次薄膜形成步骤而形成在处理容器12内侧的膜的膜厚为原子层量级的膜厚。因此,在每执行1次薄膜形成步骤后进行的清扫步骤中,除去的是这样的膜厚为原子层量级的膜,因此即使清扫步骤的执行时间足够短,也能够充分除去处理容器12内侧的膜中的晶片W上方的部分。
例如,对1片晶片W反复执行20次流程SQ1所需的处理时间,能够短于由不进行清扫步骤而仅反复执行20次薄膜形成步骤所需的处理时间加上在该薄膜形成步骤后对处理容器12的内侧进行1次清扫所需的处理时间(在使用了晶片的清扫的情况下包括该晶片的搬运所需的处理时间)得到的处理时间。
图14是表示进行了20次薄膜形成步骤的情况下每一片晶片W的处理时间的详细内容的图。图15是表示每一片晶片W的薄膜形成步骤的重复次数与处理时间的相关关系的图。
在图14的长方形GR1中,表示不进行清扫步骤而仅反复执行20次薄膜形成步骤,并且在反复执行了20次薄膜形成步骤后使用晶片对处理容器12的内侧仅进行1次清扫的情况下的处理时间(称作处理时间TP1)的详细内容。长方形GR1中标记ALD1所示的部分表示20次薄膜形成步骤的处理时间。令1次薄膜形成步骤的处理时间为40[s/回]左右,则20次薄膜形成步骤的处理时间为800[s](=40[s/次]×20[次])左右。
长方形GR1中标记DC1所示的部分表示反复执行了20次薄膜形成步骤的情况下对处理容器12的内侧进行清扫所需的处理时间。在反复执行了20次薄膜形成步骤的情况下,对处理容器12的内侧进行清扫所需的处理时间为300[s]左右。长方形GR1中标记TR1所示的部分表示对处理容器12的内侧进行清扫时使用的晶片的搬运所需的处理时间。晶片的搬运所需的处理时间为60[s]左右。
从而,长方形GR1所示的处理时间,即不进行清扫步骤而仅反复执行20次薄膜形成步骤,并且在反复执行了20次薄膜形成步骤后使用晶片对处理容器12的内侧仅进行1次清扫的情况下的处理时间TP1为1160[s]左右。
在图14的长方形GR2中,表示不进行清扫步骤而仅反复执行20次薄膜形成步骤,并且在反复执行了20次薄膜形成步骤后对处理容器12的内侧仅进行1次清扫但不使用晶片的情况下的处理时间(称作处理时间TP2)的详细内容。长方形GR2中标记ALD2所示的部分表示20次薄膜形成步骤的处理时间。令1次薄膜形成步骤的处理时间为40[s/回]左右,则20次薄膜形成步骤的处理时间为800[s](=40[s/回]×20[回])左右。
长方形GR2中标记DC2所示的部分表示反复执行了20次薄膜形成步骤的情况下对处理容器12的内侧进行清扫所需的处理时间。在反复执行了20次薄膜形成步骤的情况下,对处理容器12的内侧进行清扫所需的处理时间为300[s]左右。
从而,长方形GR2所示的处理时间,即不进行清扫步骤而仅反复执行20次薄膜形成步骤,并且在反复执行了20次薄膜形成步骤后对处理容器12的内侧仅进行1次清扫但不使用晶片的情况下的处理时间TP2为1100[s]左右。
在图14的长方形GR3中,表示反复执行20次包括薄膜形成步骤和在薄膜形成步骤后进行的清扫步骤的流程SQ1的情况下的处理时间(称作处理时间TP3)的详细内容。长方形GR3中标记ALD3所示的部分表示20次包括薄膜形成步骤和在薄膜形成步骤后进行的清扫步骤的流程SQ1的处理时间。令1次包括薄膜形成步骤和清扫步骤的流程SQ1的处理时间为45[s/回]左右,则20次流程SQ1的处理时间为900[s](=45[s/次]×20[次])左右。
如图15所示,薄膜形成步骤的重复次数越多,上述处理时间TP1和处理时间TP2相比本实施方式的上述处理时间TP3越长,两者的差越显著。
回到图1进行说明。在继步骤ST6之后的步骤ST7中,以除去区域R11和区域R21的方式对保护膜SX进行蚀刻(深蚀刻(etch back))。为了除去区域R11和区域R21需要各向异性的蚀刻条件。因此,在步骤ST7中,从气体源组40的多个气体源中选择的气体源,经气体供给管38和气体导入口36c,对处理容器12的处理空间Sp内供给包含碳氟化合物类气体的气体。
并且,从第一高频电源62供给高频电力。从第二高频电源64供给高频偏置电力。通过使排气装置50动作,将处理容器12的处理空间Sp内的空间的压力设定为预设的压力。由此生成碳氟化合物类气体的等离子体。
所生成的等离子体中的包含氟的活性物质在高频偏置电力产生的铅垂方向上的吸引的作用下,优先蚀刻区域R11和区域R21。其结果,如图5的(a)部分所示,区域R11和区域R21被有选择地除去,由剩余的区域R31形成了掩模MS。掩模MS与保护膜PF、掩模ALM构成有机膜OL的表面上的掩模MK2。
在继步骤ST7之后的步骤ST8中,对有机膜OL进行蚀刻。具体而言,从气体源组40的多个气体源中选择的气体源,经气体供给管38和气体导入口36c,对处理容器12的处理空间Sp内供给包含氮气和氢气的气体。
并且,从第一高频电源62供给高频电力。从第二高频电源64供给高频偏置电力。通过使排气装置50动作,将处理容器12的处理空间Sp内的空间的压力设定为预设的压力。由此,生成包含氮气和氢气的气体的等离子体。
所生成的等离子体中的氢的活性物质即氢自由基将有机膜OL的整个区域中的从掩模MK2露出的区域蚀刻。由此,如图5的(b)部分所示,从有机膜OL形成了掩模OLM。其中,作为蚀刻有机膜OL的气体也可以使用包含氧的气体。
在图1所示的方法MT中,继步骤ST8之后,执行1次以上的流程SQ2。流程SQ2是如图5的(b)部分和图5的(c)部分所示,利用与ALE(Atomic Layer Etching,原子层蚀刻)法相同的方法,对被蚀刻层EL中的未被掩模OLM覆盖的区域,与掩模OLM的疏密无关地精密地以高选择比进行蚀刻的步骤,包括流程SQ2中依次执行的步骤ST9a、步骤ST9b、步骤ST9c和步骤ST9d。
在步骤ST9a中,在处理容器12的处理空间Sp内生成气体G4的等离子体,并如图5的(b)部分所示,在被蚀刻层EL表面的原子层形成包含该等离子体中所包含的自由基的混合层MX。混合层MX形成于被蚀刻层EL中的未被掩模OLM覆盖的区域表面的原子层。在步骤ST9a中,在晶片W被载置在静电吸盘ESC上的状态下,对处理容器12的处理空间Sp内供给气体G4,生成气体G4的等离子体。
气体G4是适于蚀刻包含硅的被蚀刻层EL的蚀刻气体,例如包含碳氟化合物类气体和稀有气体,例如可以是CxFy/Ar气体。CxFy例如可以是CF4。具体而言,从气体源组40的多个气体源中选择的气体源,经气体供给管38和气体导入口36c,对处理容器12的处理空间Sp内供给包含碳氟化合物类气体和稀有气体的气体G4。
并且,从第一高频电源62供给高频电力,从第二高频电源64供给高频偏置电力,并通过使排气装置50动作来将处理容器12的处理空间Sp内的空间的压力设定为预设的压力。采用这样的方式,在处理容器12的处理空间Sp内生成气体G4的等离子体。气体G4的等离子体包含碳自由基和氟自由基。
在图9中,空心的圆(白色圆形)表示构成被蚀刻层EL的原子,涂黑的圆(黑色圆形)表示自由基,被圆包围的“+”表示后述的气体G5中所包含的稀有气体原子的离子(例如Ar原子的离子)。如图9的(a)部分所示,通过执行步骤ST9a,气体G4的等离子体中所包含的碳自由基和氟自由基被供给到被蚀刻层EL的表面。
像这样,通过执行步骤ST9a,如图5的(b)部分所示,在被蚀刻层EL的表面形成了混合层MX,该混合层MX包含构成被蚀刻层EL的原子、碳自由基和氟自由基。
如上所述,由于气体G4包含碳氟化合物类气体,因此能够在步骤ST9a中将氟自由基和碳自由基供给到被蚀刻层EL表面的原子层,在该原子层形成包含这两种自由基的混合层MX。
在继步骤ST9a之后的步骤ST9b中,对处理容器12的处理空间Sp内的空间进行吹扫。具体而言,将步骤ST9a中供给的气体G4排出。在步骤ST9b中,也可以对处理容器12的处理空间Sp内供给例如氮气或稀有气体(例如Ar气体等)等不活泼气体作为吹扫气体。即,步骤ST9b的吹扫可以是使不活泼气体流入处理容器12的处理空间Sp内的气体吹扫,也可以是通过抽真空来进行的吹扫。
在继步骤ST9b之后的步骤ST9c中,在处理容器12的处理空间Sp内生成气体G5的等离子体,并对该等离子体施加偏置电压,除去混合层MX。气体G5包含稀有气体,例如可包含Ar气体。
具体而言,从气体源组40的多个气体源中选择的气体源,经气体供给管38和气体导入口36c对处理容器12的处理空间Sp内供给包含稀有气体(例如Ar气体)的气体G5,并从第一高频电源62供给高频电力,从第二高频电源64供给高频偏置电力,而且通过使排气装置50动作来将处理容器12的处理空间Sp内的空间的压力设定为预设的压力。采用这样的方式,在处理容器12的处理空间Sp内生成了气体G5的等离子体。
所生成的等离子体中的气体G5的原子的离子(例如Ar原子的离子)在高频偏置电力产生的铅垂方向上的吸引的作用下,碰撞到被蚀刻层EL表面的混合层MX上,对该混合层MX供给能量。如图9的(b)部分所示,通过执行步骤ST9c,经气体G5的原子的离子对形成在被蚀刻层EL表面的混合层MX供给能量,能够利用该能量从被蚀刻层EL除去混合层MX。
如上所述,由于气体G5包含稀有气体,因此在步骤ST9c中,能够利用由该稀有气体的等离子体从偏置电压得到的能量,将形成在被蚀刻层EL表面的混合层MX从该表面除去。
在继步骤ST9c之后的步骤ST9d中,对处理容器12的处理空间Sp内的空间进行吹扫。具体而言,将步骤ST9c中供给的气体G5排出。在步骤ST9d中,也可以对处理容器12供给例如氮气或稀有气体(例如Ar气体等)等不活泼气体作为吹扫气体。即,步骤ST9d的吹扫可以是使不活泼气体流入处理容器12的处理空间Sp内的气体吹扫,也可以是通过抽真空来进行的吹扫。
如图9的(c)部分所示,利用步骤ST9d中进行的吹扫,被蚀刻层EL表面的构成混合层MX的原子和气体G5的等离子体中所包含的过剩的离子(例如Ar原子的离子)也能够被充分地除去。
在继流程SQ2之后的步骤ST10中,判断是否结束流程SQ2的执行。具体而言,在步骤ST10中,判断流程SQ2的执行次数是否达到预设的次数。决定流程SQ2的执行次数,意味着决定对被蚀刻层EL的蚀刻的程度(深度)。
流程SQ2可反复执行,直到将被蚀刻层EL蚀刻至基片SB的表面。即,可按这样的方式决定流程SQ2的执行次数,其中,执行1次(单位循环的)流程SQ2而蚀刻掉的被蚀刻层EL的厚度与流程SQ2的执行次数的积,等于整个被蚀刻层EL本身的厚度。从而,能够根据被蚀刻层EL的厚度来设定流程SQ2的执行次数。
在步骤ST10中判断为流程SQ2的执行次数未达到预设的次数的情况下(步骤ST10:否),再次重复执行流程SQ2。而在步骤ST10中判断为流程SQ2的执行次数达到了预设的次数的情况下(步骤ST10:是),结束流程SQ2的执行。
如图10的状态CON1所示,因流程SQ1的薄膜形成步骤(步骤ST5a~步骤ST5d)而形成在处理容器12内侧的薄膜SXa(更具体而言,是形成在处理容器12内侧的薄膜SXa中的经清扫步骤(步骤ST5e、步骤ST5f)清扫后剩余的部分,即图10的状态CON2所示状态的薄膜SXa),会被以上说明的包括流程SQ2和步骤ST10的步骤完全除去。
如上所述,包括流程SQ2和步骤ST10的步骤采用与ALE法相同的方法,使用掩模OLM反复执行流程SQ2来一个原子层一个原子层地除去被蚀刻层EL,精密地对被蚀刻层EL进行蚀刻。
通过执行上述图1所示的方法MT,作为一例能够获得例如下述效果。由于每执行1次薄膜形成步骤(步骤ST5a~步骤ST5d)生成薄膜都进行清扫步骤(步骤ST5e、步骤ST5f),所以容易利用清扫步骤除去处理容器12内位于晶片W上方的区域(处理容器12内的上部电极30侧的区域)的该薄膜。
并且,在薄膜形成步骤中,能够利用气体G1在晶片W的主面上形成反应前体(例如图7的(b)部分所示的层Ly1),并利用气体G2将该反应前体保形地形成为薄膜。该薄膜虽然也形成在处理容器12内,但可使用从处理容器12的上部电极30供给的高频电力生成气体G3等离子体,利用该等离子体除去(清扫)处理容器12内位于晶片W上方的区域(处理容器12内的上部电极30侧的区域)的薄膜。
此外,作为供给气体的结构不限于图2所示。即,也可以不使用图2所示的气体导入口36c、气体供给管38、气体源组40、阀组42、流量控制器组45、气体导入口52a、气体供给管82,而是使用图16所示的气体供给系统1。图16表示气体供给系统1的概要图。图16所示的气体供给系统1是对等离子体处理装置10的处理容器12内的处理空间Sp供给气体的系统的一例。图16所示的气体供给系统1包括第一流路L1、第二流路L2、气体喷出孔34a、气体喷出孔34b、多个隔膜阀(隔膜阀DV1、隔膜阀DV2、隔膜阀DV3、隔膜阀DV4)。
第一流路L1与第一气体的第一气体源GS1连接。第一流路L1形成在构成处理空间Sp的顶棚的顶棚部件(例如上部电极30)的内部,或处理容器12的侧壁的内部。多个气体喷出孔34b将第一流路L1与处理空间Sp连通。第二流路L2与第二气体的第二气体源GS2连接。第二流路L2形成在上述顶棚部件的内部,或处理容器12的侧壁的内部。多个气体喷出孔34a将第二流路L2与处理空间Sp连通。多个隔膜阀(隔膜阀DV1~隔膜阀DV4)分别与气体喷出孔34b对应地设置在第一流路L1与气体喷出孔34b之间。
与图16一起,参照图17进一步详细说明气体供给系统1的结构。图17是表示使用了图16所示的气体供给系统1的情况下的上部电极30的概略截面图。气体供给系统1包括第一气体源GS1和第二气体源GS2。第一气体源GS1贮存第一气体。第二气体源GS2贮存第二气体。第一气体和第二气体是任意的。作为一例,第二气体可以是工艺中的主气体,第一气体可以是工艺中的添加气体。此外,气体G1可以是从气体导入口52a导入处理空间Sp的气体,气体G2可以是从气体导入口36c导入处理空间Sp的气体。
气体供给系统1包括第一主流路L10和第二主流路L20。第一主流路L10经供给口IN1将第一气体源GS1与处理容器12的第一流路L1连接。第二主流路L20经供给口IN4将第二气体的第二气体源GS2与处理容器12的第二流路L2连接。第一主流路L10和第二主流路L20例如由配管形成。图16和图17所示的第二流路L2对应于图1所示的气体扩散室36a。
第一流路L1与第一气体源GS1连接,形成在处理容器12的上部电极30(顶棚部件之一例)的内部,或处理容器12的侧壁的内部。第一流路L1具有用于供给第一气体的供给口IN1和用于排出第一气体的排气口OT1,从供给口IN1延伸至排气口OT1。排气口OT1经排气流路EK与对处理容器12进行排气的排气装置51连接。
第一流路L1与处理容器12内的处理空间Sp由多个气体喷出孔34b连通。第一气体从与第一流路L1分别连接的多个气体喷出孔34b供给到处理容器12的处理空间Sp。
在第一流路L1与气体喷出孔34b之间,与气体喷出孔34b一对一地设置有隔膜阀。即,气体供给系统1包括与多个气体喷出孔34b对应的多个隔膜阀。作为一例,在图16中表示了与4个气体喷出孔34b对应的4个隔膜阀(隔膜阀DV1~隔膜阀DV4)。4个隔膜阀(隔膜阀DV1等)各自能够独立动作。
作为隔膜阀的一例为开关阀。多个气体喷出孔34b不限于4个,只要是2个以上即可。并且,多个隔膜阀只要与多个气体喷出孔34b分别对应地设置即可,不限于4个。
在第一流路L1与气体喷出孔34b之间,也可以与气体喷出孔34b一对一地设置节流孔。节流孔配置在隔膜阀的上游侧。作为一例,在图16表示了4个节流孔(节流孔OK1、节流孔OK2、节流孔OK3、节流孔OK4)。各隔膜阀控制从节流孔的出口供给到气体喷出孔34b的第一气体的供给时机。多个节流孔只要与多个气体喷出孔34b分别对应地设置即可,不限于4个。
第二流路L2与第二气体源GS2连接,形成在处理容器12的上部电极30的内部,或处理容器12的侧壁的内部。第二流路L2与多个气体喷出孔34a连接。第二气体从与第二流路L2分别连接的多个气体喷出孔34a供给到处理容器12的处理空间Sp。
气体供给系统1可以包括压力式流量控制装置FC。压力式流量控制装置FC配置在第二主流路L20中的第二气体源GS2的下游侧。在压力式流量控制装置FC的上游侧设置有初级阀VL4,并且在压力式流量控制装置FC的下游侧设置有次级阀VL5。
其中,流量控制装置不限于压力式流量控制装置,也可以是热式流量控制装置和基于其他原理的流量控制装置。
第二气体源GS2的第二气体由压力式流量控制装置FC调节流量和压力,经供给口IN4供给到处理容器12的第二流路L2。
气体供给系统1可以包括控制阀VL1。控制阀VL1配置在第一主流路L10中的第一气体源GS1的下游侧。控制阀VL1设置在供给口IN1的上游,将供给到供给口IN1的第一气体控制为预设的压力。
控制阀VL1与压力式流量控制装置FC的控制阀具有相同的功能。在控制阀VL1与供给口IN1之间的流路上,可以配置有第一压力检测器PM1。
作为一例,控制阀VL1基于第一压力检测器PM1的检测结果控制第一气体的流量。作为更具体之一例,由控制电路C1决定控制阀VL1的动作。
控制电路C1以第一压力检测器PM1检测到的压力为输入,计算检测到的压力的流量。接着,控制电路C1对设定的目标流量与计算出的流量进行比较,决定控制阀VL1的动作以使得减小差异。
其中,在第一气体源GS1与控制阀VL1之间可以设置有初级阀。并且,在控制阀VL1的下游、第一压力检测器PM1的上游可以设置有次级阀。并且,控制电路C1和控制阀VL1可以统一成单元U1。
气体供给系统1可以还包括检测从排气口OT1排出的第一气体的压力的第二压力检测器PM2。该情况下,作为一例,控制阀VL1基于第一压力检测器PM1和第二压力检测器PM2的检测结果控制第一气体的流量。
更具体而言,基于第一压力检测器PM1的检测结果和第二压力检测器PM2的检测结果,计算各节流孔的配置位置处的第一气体的压力。并且,基于压力的计算结果,控制各隔膜阀的第一气体的供给时机。
气体供给系统1可以包括检测第一流路L1中的第一气体的温度的温度检测器TM(参照图17)。该情况下,控制阀VL1与压力式流量控制装置FC具有的控制阀同样地,使用温度检测器TM进行流量修正。具体而言,控制阀VL1基于温度检测器TM的检测结果控制第一气体的流量。
第一气体源GS1的第一气体在由控制阀VL1调节了流量和压力后,经供给口IN1供给到处理容器12的第一流路L1。其中,在第一流路L1的排气口OT1也可以设置有排气用节流孔OKEx。
等离子体处理装置10的控制部Cnt使气体供给系统1中的控制阀VL1、多个隔膜阀(隔膜阀DV1~隔膜阀DV4等)动作。
控制部Cnt将存储在存储部中的方案输入到气体供给系统1中,对控制电路C1输出使控制阀VL1动作的信号。控制部Cnt将存储在存储部中的方案输入到气体供给系统1中,控制多个隔膜阀(隔膜阀DV1~隔膜阀DV4等)的开闭动作。控制部Cnt可在气体供给系统1中经控制电路C1使排气装置51动作。
排气口12e经排气管52与排气装置50和排气装置51连接。排气装置50是涡轮分子泵,排气装置51是干泵。相对于处理容器12,排气装置50比排气装置51设置在靠上游侧。
气体供给系统1的排气流路EK与排气装置50与排气装置51之间的配管连接。通过将排气流路EK连接在排气装置50与排气装置51之间,能够抑制气体从排气流路EK逆流到处理容器12内。
如图17所示,在上部电极30的电极支承体36的内部,设置有在水平方向上延伸的第一流路L1和第二流路L2。第一流路L1位于第二流路L2的下方。
电极支承体36中设置有将第一流路L1与在第一流路L1的下方延伸的多个气体喷出孔34b连接的多个气体流通孔36d。在电极支承体36的第一流路L1与气体喷出孔34b之间,设置有节流孔OK1和隔膜阀DV1。在隔膜阀DV1的下部配置有发挥阀功能的密封部件74。
密封部件74能够由具有柔性的部件构成。密封部件74例如可以是弹性部件、隔膜、波纹管等。
在第一流路L1中流动的第一气体,在隔膜阀DV1打开时经过节流孔OK1的出口、气体流通孔36d和气体喷出孔34b,被供给到处理空间Sp。其他的气体喷出孔34b也具有相同的结构。此外,在电极支承体36中,设置有用于控制阀VL1进行流量修正的温度检测器TM。
在电极支承体36设置有将第二流路L2与在第二流路L2的下方延伸的多个气体喷出孔34a连接的多个气体流通孔36b。第二气体经供给口IN4供给,并经过多个气体流通孔36b、多个气体喷出孔34a,被供给到处理空间Sp。
以上,在优选的实施方式中使用图示说明了本发明的原理,但本领域技术人员了解,本发明在不脱离其原理的前提下,其配置和细节是可变更的。本发明并不限定于本实施方式公开的特定结构。因而,要求保护基于技术方案的范围及其技术思想的范围所能够得到的所有修改和变更。

Claims (12)

1.一种在形成于被处理基片上的图案上成膜的成膜方法,所述被处理基片被配置在载置台上,所述载置台被设置在能够在减压环境下进行等离子体处理的空间内,在该空间配置有与所述载置台相对的能够供给高频电力的上部电极,所述成膜方法的特征在于:
反复执行下述流程,其中该流程包括:
在所述被处理基片的所述图案上形成沉积膜的第一步骤;和
仅对所述上部电极供给电力来在所述空间中产生等离子体,从而对该空间进行清扫的第二步骤。
2.如权利要求1所述的成膜方法,其特征在于:
所述第一步骤包括:
对所述空间供给包含前体的材料的第一气体,使该前体吸附在所述图案的表面的步骤;和
产生第二气体的等离子体并将该等离子体供给到所述前体的步骤。
3.如权利要求2所述的成膜方法,其特征在于:
所述第一气体是氨基硅烷类气体。
4.如权利要求2所述的成膜方法,其特征在于:
所述第二气体包含氧或氮。
5.如权利要求2所述的成膜方法,其特征在于:
在所述第二步骤中,在所述空间内产生第三气体的等离子体,所述第三气体包含卤素化合物。
6.如权利要求2所述的成膜方法,其特征在于:
作为所述第一气体的氨基硅烷类气体包含具有1~3个硅原子的氨基硅烷。
7.如权利要求2所述的成膜方法,其特征在于:
作为所述第一气体的氨基硅烷类气体包含具有1~3个氨基的氨基硅烷。
8.如权利要求2所述的成膜方法,其特征在于:
所述第一气体包含卤化钨。
9.如权利要求2所述的成膜方法,其特征在于:
所述第一气体包含四氯化钛或四(二甲基氨基)钛。
10.如权利要求2所述的成膜方法,其特征在于:
所述第一气体包含卤化硼。
11.如权利要求1所述的成膜方法,其特征在于:
所述第一步骤包括:
对所述空间供给包含供电子性的第一取代基的第一气体,使所述第一取代基吸附在所述图案的表面的步骤;和
对所述第一取代基供给包含吸电子性的第二取代基的第二气体的步骤。
12.如权利要求1所述的成膜方法,其特征在于:
在所述第一步骤中,利用异氰酸酯与胺的聚合反应或异氰酸酯与具有羟基的化合物的聚合反应,形成所述沉积膜。
CN201811580087.9A 2017-12-25 2018-12-24 成膜方法 Pending CN110004431A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2017247937A JP2019114692A (ja) 2017-12-25 2017-12-25 成膜方法
JP2017-247937 2017-12-25

Publications (1)

Publication Number Publication Date
CN110004431A true CN110004431A (zh) 2019-07-12

Family

ID=66951394

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201811580087.9A Pending CN110004431A (zh) 2017-12-25 2018-12-24 成膜方法

Country Status (5)

Country Link
US (1) US20190198321A1 (zh)
JP (1) JP2019114692A (zh)
KR (1) KR20190077238A (zh)
CN (1) CN110004431A (zh)
TW (1) TW201937596A (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110029325A (zh) * 2018-01-10 2019-07-19 东京毅力科创株式会社 成膜方法
CN114836730A (zh) * 2021-12-30 2022-08-02 长江存储科技有限责任公司 氧化膜的原子层沉积方法

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP7071175B2 (ja) * 2017-04-18 2022-05-18 東京エレクトロン株式会社 被処理体を処理する方法
US11002063B2 (en) * 2018-10-26 2021-05-11 Graffiti Shield, Inc. Anti-graffiti laminate with visual indicia
JP7521229B2 (ja) * 2020-03-30 2024-07-24 東京エレクトロン株式会社 エッチング方法及びエッチング装置
JP7504686B2 (ja) * 2020-07-15 2024-06-24 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1154644A (zh) * 1995-12-15 1997-07-16 日本电气株式会社 等离子体工艺设备的腔体腐蚀
CN101147248A (zh) * 2005-03-21 2008-03-19 东京毅力科创株式会社 等离子体增强原子层沉积系统和方法
CN103035466A (zh) * 2011-10-08 2013-04-10 北京北方微电子基地设备工艺研究中心有限责任公司 一种预清洗方法及等离子体设备
CN106098523A (zh) * 2015-04-27 2016-11-09 东京毅力科创株式会社 处理被处理体的方法

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5750496B2 (ja) 2013-12-11 2015-07-22 株式会社日立ハイテクノロジーズ プラズマ処理方法
JP5801374B2 (ja) * 2013-12-27 2015-10-28 株式会社日立国際電気 半導体装置の製造方法、プログラム、及び基板処理装置
US9624578B2 (en) 2014-09-30 2017-04-18 Lam Research Corporation Method for RF compensation in plasma assisted atomic layer deposition
JP6537473B2 (ja) 2015-10-06 2019-07-03 東京エレクトロン株式会社 被処理体を処理する方法

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1154644A (zh) * 1995-12-15 1997-07-16 日本电气株式会社 等离子体工艺设备的腔体腐蚀
CN101147248A (zh) * 2005-03-21 2008-03-19 东京毅力科创株式会社 等离子体增强原子层沉积系统和方法
CN103035466A (zh) * 2011-10-08 2013-04-10 北京北方微电子基地设备工艺研究中心有限责任公司 一种预清洗方法及等离子体设备
CN106098523A (zh) * 2015-04-27 2016-11-09 东京毅力科创株式会社 处理被处理体的方法

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110029325A (zh) * 2018-01-10 2019-07-19 东京毅力科创株式会社 成膜方法
US11367610B2 (en) 2018-01-10 2022-06-21 Tokyo Electron Limited Film forming and process container cleaning method
CN114836730A (zh) * 2021-12-30 2022-08-02 长江存储科技有限责任公司 氧化膜的原子层沉积方法
CN114836730B (zh) * 2021-12-30 2024-01-02 长江存储科技有限责任公司 氧化膜的原子层沉积方法

Also Published As

Publication number Publication date
TW201937596A (zh) 2019-09-16
KR20190077238A (ko) 2019-07-03
JP2019114692A (ja) 2019-07-11
US20190198321A1 (en) 2019-06-27

Similar Documents

Publication Publication Date Title
CN110004431A (zh) 成膜方法
TWI679713B (zh) 半導體基板處理設備之真空室的調節方法
CN110029325A (zh) 成膜方法
EP3051576B1 (en) Method of processing a target object comprising forming a silicon oxide film
US10777422B2 (en) Method for processing target object
KR102363052B1 (ko) 피처리체를 처리하는 방법
CN105489485B (zh) 处理被处理体的方法
CN108735596A (zh) 处理被处理体的方法
TW201608053A (zh) 背面沉積設備及方法
CN107026081A (zh) 对被处理体进行处理的方法
TWI750269B (zh) 處理方法及處理裝置
CN108885990A (zh) 对被处理物进行处理的方法
KR20190087322A (ko) 플라즈마 처리 장치의 부품의 클리닝 방법
CN107731677A (zh) 处理被处理体的方法
CN108293292B (zh) 等离子电极以及等离子处理装置
KR20180128943A (ko) 피처리체를 처리하는 방법
US20200273699A1 (en) Film forming method
CN109417029A (zh) 对被处理体进行处理的方法
CN108885991A (zh) 对被处理物进行处理的方法
JP5232512B2 (ja) プラズマ処理装置及びプラズマ処理方法
JP2018182103A (ja) エッチング方法
US9721766B2 (en) Method for processing target object
CN109427607A (zh) 处理被处理体的方法

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
RJ01 Rejection of invention patent application after publication

Application publication date: 20190712

RJ01 Rejection of invention patent application after publication